Sample records for al2o3 passivation layer

  1. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  2. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    PubMed

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  3. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  4. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  5. Trapped charge densities in Al{sub 2}O{sub 3}-based silicon surface passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jordan, Paul M., E-mail: Paul.Jordan@namlab.com; Simon, Daniel K.; Dirnstorfer, Ingo

    2016-06-07

    In Al{sub 2}O{sub 3}-based passivation layers, the formation of fixed charges and trap sites can be strongly influenced by small modifications in the stack layout. Fixed and trapped charge densities are characterized with capacitance voltage profiling and trap spectroscopy by charge injection and sensing, respectively. Al{sub 2}O{sub 3} layers are grown by atomic layer deposition with very thin (∼1 nm) SiO{sub 2} or HfO{sub 2} interlayers or interface layers. In SiO{sub 2}/Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} stacks, both fixed charges and trap sites are reduced by at least a factor of 5 compared with the value measured inmore » pure Al{sub 2}O{sub 3}. In Al{sub 2}O{sub 3}/SiO{sub 2}/Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/HfO{sub 2}/Al{sub 2}O{sub 3} stacks, very high total charge densities of up to 9 × 10{sup 12} cm{sup −2} are achieved. These charge densities are described as functions of electrical stress voltage, time, and the Al{sub 2}O{sub 3} layer thickness between silicon and the HfO{sub 2} or the SiO{sub 2} interlayer. Despite the strong variation of trap sites, all stacks reach very good effective carrier lifetimes of up to 8 and 20 ms on p- and n-type silicon substrates, respectively. Controlling the trap sites in Al{sub 2}O{sub 3} layers opens the possibility to engineer the field-effect passivation in the solar cells.« less

  6. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  7. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  8. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  9. Retardation mechanism of ultrathin Al2O3 interlayer on Y2O3 passivated gallium nitride surface.

    PubMed

    Quah, Hock Jin; Cheong, Kuan Yew

    2014-05-28

    A systematic investigation was carried out by incorporating an ultrathin aluminum oxide (Al2O3) as an interlayer between yttrium oxide (Y2O3) passivation layer and GaN substrate. The sandwiched samples were then subjected to postdeposition annealing in oxygen ambient from 400 to 800 °C. The Al2O3 interlayer was discovered to play a significant role in slowing down inward diffusion of oxygen through the Y2O3 passivation layer as well as in impeding outward diffusion of Ga(3+) and N(3-) from the decomposed GaN surface. These beneficial effects have suppressed subsequent formation of interfacial layer. A mechanism in association with the function of Al2O3 as an interlayer was suggested and discussed. The mechanism was explicitly described on the basis of the obtained results from X-ray diffraction, X-ray photoelectron spectroscopy, energy-filtered transmission electron microscopy (TEM), high resolution TEM, and electron energy loss spectroscopy line scan. A correlation between the proposed mechanism and metal-oxide-semiconductor characteristics of Y2O3/Al2O3/GaN structure has been proposed.

  10. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  11. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    PubMed

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  12. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    PubMed

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  13. The effect of light soaking on crystalline silicon surface passivation by atomic layer deposited Al2O3

    NASA Astrophysics Data System (ADS)

    Liao, Baochen; Stangl, Rolf; Mueller, Thomas; Lin, Fen; Bhatia, Charanjit S.; Hoex, Bram

    2013-01-01

    The effect of light soaking of crystalline silicon wafer lifetime samples surface passivated by thermal atomic layer deposited (ALD) Al2O3 is investigated in this paper. Contrary to other passivation materials used in solar cell applications (i.e., SiO2, SiNx), using thermal ALD Al2O3, an increase in effective carrier lifetime after light soaking under standard testing conditions is observed for both p-type (˜45%) and n-type (˜60%) FZ c-Si lifetime samples. After light soaking and storing the samples in a dark and dry environment, the effective lifetime decreases again and practically returns to the value before light soaking. The rate of lifetime decrease after light soaking is significantly slower than the rate of lifetime increase by light soaking. To investigate the underlying mechanism, corona charge experiments are carried out on p-type c-Si samples before and after light soaking. The results indicate that the negative fixed charge density Qf present in the Al2O3 films increases due to the light soaking, which results in an improved field-effect passivation. Numerical calculations also confirm that the improved field-effect passivation is the main contributor for the increased effective lifetime after light soaking. To further understand the light soaking phenomenon, a kinetic model—a charge trapping/de-trapping model—is proposed to explain the time dependent behavior of the lifetime increase/decrease observed under/after light soaking. The trap model fits the experimental results very well. The observed light enhanced passivation for ALD Al2O3 passivated c-Si is of technological relevance, because solar cell devices operate under illumination, thus an increase in solar cell efficiency due to light soaking can be expected.

  14. Deposition temperature dependence of material and Si surface passivation properties of O{sub 3}-based atomic layer deposited Al{sub 2}O{sub 3}-based films and stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bordihn, Stefan, E-mail: s.bordihn2@q-cells.com; Mertens, Verena; Müller, Jörg W.

    2014-01-15

    The material composition and the Si surface passivation of aluminum oxide (Al{sub 2}O{sub 3}) films prepared by atomic layer deposition using Al(CH{sub 3}){sub 3} and O{sub 3} as precursors were investigated for deposition temperatures (T{sub Dep}) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H] < 0.5 at. % at 400 °C and 500 °C. The surface passivation performance was investigated after annealing at 300 °C–450 °C and also after firing stepsmore » in the typical temperature range of 800 °C–925 °C. A similar high level of the surface passivation performance, i.e., surface recombination velocity values <10 cm/s, was obtained after annealing and firing. Investigations of Al{sub 2}O{sub 3}/SiN{sub x} stacks complemented the work and revealed similar levels of surface passivation as single-layer Al{sub 2}O{sub 3} films, both for the chemical and field-effect passivation. The fixed charge density in the Al{sub 2}O{sub 3}/SiN{sub x} stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10{sup 12} cm{sup −2} to 3·10{sup 11} cm{sup −2} when T{sub Dep} was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T{sub Dep}. When firing films prepared at of low T{sub Dep}, blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al{sub 2}O{sub 3}-based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen.« less

  15. Surface passivation of Fe{sub 3}O{sub 4} nanoparticles with Al{sub 2}O{sub 3} via atomic layer deposition in a rotating fluidized bed reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Chen-Long; Deng, Zhang; Cao, Kun

    2016-07-15

    Iron(II,III) oxide (Fe{sub 3}O{sub 4}) nanoparticles have shown great promise in many magnetic-related applications such as magnetic resonance imaging, hyperthermia treatment, and targeted drug delivery. Nevertheless, these nanoparticles are vulnerable to oxidation and magnetization loss under ambient conditions, and passivation is usually required for practical applications. In this work, a home-built rotating fluidized bed (RFB) atomic layer deposition (ALD) reactor was employed to form dense and uniform nanoscale Al{sub 2}O{sub 3} passivation layers on Fe{sub 3}O{sub 4} nanoparticles. The RFB reactor facilitated the precursor diffusion in the particle bed and intensified the dynamic dismantling of soft agglomerates, exposing every surfacemore » reactive site to precursor gases. With the aid of in situ mass spectroscopy, it was found that a thicker fluidization bed formed by larger amount of particles increased the residence time of precursors. The prolonged residence time allowed more thorough interactions between the particle surfaces and the precursor gas, resulting in an improvement of the precursor utilization from 78% to nearly 100%, even under a high precursor feeding rate. Uniform passivation layers around the magnetic cores were demonstrated by both transmission electron microscopy and the statistical analysis of Al mass concentrations. Individual particles were coated instead of the soft agglomerates, as was validated by the specific surface area analysis and particle size distribution. The results of thermogravimetric analysis suggested that 5 nm-thick ultrathin Al{sub 2}O{sub 3} coatings could effectively protect the Fe{sub 3}O{sub 4} nanoparticles from oxidation. The x-ray diffraction patterns also showed that the magnetic core crystallinity of such passivated nanoparticles could be well preserved under accelerated oxidation conditions. The precise thickness control via ALD maintained the saturation magnetization at 66.7 emu/g with a 5

  16. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    PubMed

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  17. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures

    PubMed Central

    2013-01-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C. PMID:23452508

  18. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    PubMed

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  19. The role of cleaning conditions and epitaxial layer structure on reliability of Sc 2O 3 and MgO passivation on AlGaN/GaN HEMTS

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R. M.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Fitch, R. C.; Gillespie, J.; Dellmer, R.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2002-12-01

    The effect of layer structure (GaN versus AlGaN cap) and cleaning procedure prior to Sc 2O 3 or MgO deposition at 100 °C were examined for their effects on the long-term bias-stress stability of AlGaN/GaN high electron mobility transistors (HEMTs). Surface cleaning by itself was not sufficient to prevent current collapse in the devices. The forward and reverse gate leakage currents were decreased under most conditions upon deposition of the oxide passivation layers. After ≈13 h of bias-stressing, the MgO-passivated HEMTs retain ⩾90% their initial drain-source current. The Sc 2O 3-passivated devices retained ˜80% recovery of the current under the same conditions.

  20. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  1. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    PubMed

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  2. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer

    PubMed Central

    2017-01-01

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a POx layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since POx is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al2O3 capping layer to form a POx/Al2O3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm–2), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as nanolasers and

  3. Passivation of phosphorus diffused silicon surfaces with Al2O3: Influence of surface doping concentration and thermal activation treatments

    NASA Astrophysics Data System (ADS)

    Richter, Armin; Benick, Jan; Kimmerle, Achim; Hermle, Martin; Glunz, Stefan W.

    2014-12-01

    Thin layers of Al2O3 are well known for the excellent passivation of p-type c-Si surfaces including highly doped p+ emitters, due to a high density of fixed negative charges. Recent results indicate that Al2O3 can also provide a good passivation of certain phosphorus-diffused n+ c-Si surfaces. In this work, we studied the recombination at Al2O3 passivated n+ surfaces theoretically with device simulations and experimentally for Al2O3 deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal due to depletion or weak inversion of the charge carriers at the c-Si/Al2O3 interface. This pronounced maximum was also observed experimentally for n+ surfaces passivated either with Al2O3 single layers or stacks of Al2O3 capped by SiNx, when activated with a low temperature anneal (425 °C). In contrast, for Al2O3/SiNx stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n+ diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al2O3/SiNx stacks can provide not only excellent passivation on p+ surfaces but also on n+ surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.

  4. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  5. Al2O3/SiON stack layers for effective surface passivation and anti-reflection of high efficiency n-type c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Thi Thanh Nguyen, Huong; Balaji, Nagarajan; Park, Cheolmin; Triet, Nguyen Minh; Le, Anh Huy Tuan; Lee, Seunghwan; Jeon, Minhan; Oh, Donhyun; Dao, Vinh Ai; Yi, Junsin

    2017-02-01

    Excellent surface passivation and anti-reflection properties of double-stack layers is a prerequisite for high efficiency of n-type c-Si solar cells. The high positive fixed charge (Q f) density of N-rich hydrogenated amorphous silicon nitride (a-SiNx:H) films plays a poor role in boron emitter passivation. The more the refractive index ( n ) of a-SiNx:H is decreased, the more the positive Q f of a-SiNx:H is increased. Hydrogenated amorphous silicon oxynitride (SiON) films possess the properties of amorphous silicon oxide (a-SiOx) and a-SiNx:H with variable n and less positive Q f compared with a-SiNx:H. In this study, we investigated the passivation and anti-reflection properties of Al2O3/SiON stacks. Initially, a SiON layer was deposited by plasma enhanced chemical vapor deposition with variable n and its chemical composition was analyzed by Fourier transform infrared spectroscopy. Then, the SiON layer was deposited as a capping layer on a 10 nm thick Al2O3 layer, and the electrical and optical properties were analyzed. The SiON capping layer with n = 1.47 and a thickness of 70 nm resulted in an interface trap density of 4.74 = 1010 cm-2 eV-1 and Q f of -2.59 = 1012 cm-2 with a substantial improvement in lifetime of 1.52 ms after industrial firing. The incorporation of an Al2O3/SiON stack on the front side of the n-type solar cells results in an energy conversion efficiency of 18.34% compared to the one with Al2O3/a-SiNx:H showing 17.55% efficiency. The short circuit current density and open circuit voltage increase by up to 0.83 mA cm-2 and 12 mV, respectively, compared to the Al2O3/a-SiNx:H stack on the front side of the n-type solar cells due to the good anti-reflection and front side surface passivation.

  6. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  7. Electrical characteristics of proton-irradiated Sc2O3 passivated AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Luo, B.; Kim, Jihyun; Ren, F.; Gillespie, J. K.; Fitch, R. C.; Sewell, J.; Dettmer, R.; Via, G. D.; Crespo, A.; Jenkins, T. J.; Gila, B. P.; Onstine, A. H.; Allums, K. K.; Abernathy, C. R.; Pearton, S. J.; Dwivedi, R.; Fogarty, T. N.; Wilkins, R.

    2003-03-01

    Sc2O3-passivated AlGaN/GaN high electron mobility transistors (HEMTs) were irradiated with 40 MeV protons to a fluence corresponding to approximately 10 years in low-earth orbit (5×109 cm-2). Devices with an AlGaN cap layer showed less degradation in dc characteristics than comparable GaN-cap devices, consistent with differences in average band energy. The changes in device performance could be attributed completely to bulk trapping effects, demonstrating that the effectiveness of the Sc2O3 layers in passivating surface states in the drain-source region was undiminished by the proton irradiation. Sc2O3-passivated AlGaN/HEMTs appear to be attractive candidates for space and terrestrial applications where resistance to high fluxes of ionizing radiation is a criteria.

  8. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  9. Passivation of phosphorus diffused silicon surfaces with Al{sub 2}O{sub 3}: Influence of surface doping concentration and thermal activation treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richter, Armin, E-mail: armin.richter@ise.fraunhofer.de; Benick, Jan; Kimmerle, Achim

    2014-12-28

    Thin layers of Al{sub 2}O{sub 3} are well known for the excellent passivation of p-type c-Si surfaces including highly doped p{sup +} emitters, due to a high density of fixed negative charges. Recent results indicate that Al{sub 2}O{sub 3} can also provide a good passivation of certain phosphorus-diffused n{sup +} c-Si surfaces. In this work, we studied the recombination at Al{sub 2}O{sub 3} passivated n{sup +} surfaces theoretically with device simulations and experimentally for Al{sub 2}O{sub 3} deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal duemore » to depletion or weak inversion of the charge carriers at the c-Si/Al{sub 2}O{sub 3} interface. This pronounced maximum was also observed experimentally for n{sup +} surfaces passivated either with Al{sub 2}O{sub 3} single layers or stacks of Al{sub 2}O{sub 3} capped by SiN{sub x}, when activated with a low temperature anneal (425 °C). In contrast, for Al{sub 2}O{sub 3}/SiN{sub x} stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n{sup +} diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al{sub 2}O{sub 3}/SiN{sub x} stacks can provide not only excellent passivation on p{sup +} surfaces but also on n{sup +} surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.« less

  10. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  11. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  12. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  13. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  14. Improved DC and RF performance of InAlAs/InGaAs InP based HEMTs using ultra-thin 15 nm ALD-Al2O3 surface passivation

    NASA Astrophysics Data System (ADS)

    Asif, Muhammad; Chen, Chen; Peng, Ding; Xi, Wang; Zhi, Jin

    2018-04-01

    Owing to the great influence of surface passivation on DC and RF performance of InP-based HEMTs, the DC and RF performance of InAlAs/InGaAs InP HEMTs were studied before and after passivation, using an ultra-thin 15 nm atomic layer deposition Al2O3 layer. Increase in Cgs and Cgd was significantly limited by scaling the thickness of the Al2O3 layer. For verification, an analytical small-signal equivalent circuit model was developed. A significant increase in maximum transconductance (gm) up to 1150 mS/mm, drain current (IDS) up to 820 mA/mm and fmax up to 369.7 GHz was observed, after passivation. Good agreement was obtained between the measured and the simulated results. This shows that the RF performance of InP-based HEMTs can be improved by using an ultra-thin ALD-Al2O3 surface passivation.

  15. POx/Al2O3 stacks: Highly effective surface passivation of crystalline silicon with a large positive fixed charge

    NASA Astrophysics Data System (ADS)

    Black, Lachlan E.; Kessels, W. M. M. Erwin

    2018-05-01

    Thin-film stacks of phosphorus oxide (POx) and aluminium oxide (Al2O3) are shown to provide highly effective passivation of crystalline silicon (c-Si) surfaces. Surface recombination velocities as low as 1.7 cm s-1 and saturation current densities J0s as low as 3.3 fA cm-2 are obtained on n-type (100) c-Si surfaces passivated by 6 nm/14 nm thick POx/Al2O3 stacks deposited in an atomic layer deposition system and annealed at 450 °C. This excellent passivation can be attributed in part to an unusually large positive fixed charge density of up to 4.7 × 1012 cm-2, which makes such stacks especially suitable for passivation of n-type Si surfaces.

  16. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  17. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    PubMed Central

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-01-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400–900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells. PMID:27924911

  18. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    NASA Astrophysics Data System (ADS)

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-12-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400-900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells.

  19. n-MoS2/p-Si Solar Cells with Al2O3 Passivation for Enhanced Photogeneration.

    PubMed

    Rehman, Atteq Ur; Khan, Muhammad Farooq; Shehzad, Muhammad Arslan; Hussain, Sajjad; Bhopal, Muhammad Fahad; Lee, Sang Hee; Eom, Jonghwa; Seo, Yongho; Jung, Jongwan; Lee, Soo Hong

    2016-11-02

    Molybdenum disulfide (MoS 2 ) has recently emerged as a promising candidate for fabricating ultrathin-film photovoltaic devices. These devices exhibit excellent photovoltaic performance, superior flexibility, and low production cost. Layered MoS 2 deposited on p-Si establishes a built-in electric field at MoS 2 /Si interface that helps in photogenerated carrier separation for photovoltaic operation. We propose an Al 2 O 3 -based passivation at the MoS 2 surface to improve the photovoltaic performance of bulklike MoS 2 /Si solar cells. Interestingly, it was observed that Al 2 O 3 passivation enhances the built-in field by reduction of interface trap density at surface. Our device exhibits an improved power conversion efficiency (PCE) of 5.6%, which to our knowledge is the highest efficiency among all bulklike MoS 2 -based photovoltaic cells. The demonstrated results hold the promise for integration of bulklike MoS 2 films with Si-based electronics to develop highly efficient photovoltaic cells.

  20. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  1. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  2. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  3. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  4. Photo-stability and time-resolved photoluminescence study of colloidal CdSe/ZnS quantum dots passivated in Al{sub 2}O{sub 3} using atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Chih-Yi; Mao, Ming-Hua, E-mail: mhmao@ntu.edu.tw; Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan

    2016-08-28

    We report photo-stability enhancement of colloidal CdSe/ZnS quantum dots (QDs) passivated in Al{sub 2}O{sub 3} thin film using the atomic layer deposition (ALD) technique. 62% of the original peak photoluminescence (PL) intensity remained after ALD. The photo-oxidation and photo-induced fluorescence enhancement effects of both the unpassivated and passivated QDs were studied under various conditions, including different excitation sources, power densities, and environment. The unpassivated QDs showed rapid PL degradation under high excitation due to strong photo-oxidation in air while the PL intensity of Al{sub 2}O{sub 3} passivated QDs was found to remain stable. Furthermore, recombination dynamics of the unpassivated andmore » passivated QDs were investigated by time-resolved measurements. The average lifetime of the unpassivated QDs decreases with laser irradiation time due to photo-oxidation. Photo-oxidation creates surface defects which reduces the QD emission intensity and enhances the non-radiative recombination rate. From the comparison of PL decay profiles of the unpassivated and passivated QDs, photo-oxidation-induced surface defects unexpectedly also reduce the radiative recombination rate. The ALD passivation of Al{sub 2}O{sub 3} protects QDs from photo-oxidation and therefore avoids the reduction of radiative recombination rate. Our experimental results demonstrated that passivation of colloidal QDs by ALD is a promising method to well encapsulate QDs to prevent gas permeation and to enhance photo-stability, including the PL intensity and carrier lifetime in air. This is essential for the applications of colloidal QDs in light-emitting devices.« less

  5. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  6. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  7. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  8. Influence of LaSiOx passivation interlayer on band alignment between PEALD-Al2O3 and 4H-SiC determined by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Wang, Qian; Cheng, Xinhong; Zheng, Li; Shen, Lingyan; Zhang, Dongliang; Gu, Ziyue; Qian, Ru; Cao, Duo; Yu, Yuehui

    2018-01-01

    The influence of lanthanum silicate (LaSiOx) passivation interlayer on the band alignment between plasma enhanced atomic layer deposition (PEALD)-Al2O3 films and 4H-SiC was investigated by high resolution X-ray photoelectron spectroscopy (XPS). An ultrathin in situ LaSiOx interfacial passivation layer (IPL) was introduced between the Al2O3 gate dielectric and the 4H-SiC substrate to enhance the interfacial characteristics. The valence band offset (VBO) and corresponding conduction band offset (CBO) for the Al2O3/4H-SiC interface without any passivation were extracted to be 2.16 eV and 1.49 eV, respectively. With a LaSiOx IPL, a VBO of 1.79 eV and a CBO of 1.86 eV could be obtained across the Al2O3/4H-SiC interface. The difference in the band alignments was dominated by the band bending or band shift in the 4H-SiC substrate as a result of different interfacial layers (ILs) formed at the interface. This understanding of the physical details of the band alignment could be a good foundation for Al2O3/LaSiOx/4H-SiC heterojunctions applied in the 4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs).

  9. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  10. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  11. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  12. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  13. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  14. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  15. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  16. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  17. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  18. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  19. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    PubMed

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  2. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  3. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  4. Piezo-tunnel effect in Al/Al2O3/Al junctions elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Rafael, R.; Puyoo, E.; Malhaire, C.

    2017-11-01

    In this work, the electrical transport in Al/Al2O3/Al junctions under mechanical stress is investigated in the perspective to use them as strain sensors. The metal/insulator/metal junctions are elaborated with a low temperature process (≤200 °C) fully compatible with CMOS back-end-of-line. The conduction mechanism in the structure is found to be Fowler-Nordheim tunneling, and efforts are made to extract the relevant physical parameters. Gauge factors up to -32.5 were found in the fabricated devices under tensile stress. Finally, theoretical mechanical considerations give strong evidence that strain sensitivity in Al/Al2O3/Al structures originates not only from geometrical deformations but also from the variation of interface barrier height and/or effective electronic mass in the tunneling oxide layer.

  5. Surface morphological properties of Ag-Al2O3 nanocermet layers using dip-coating technique

    NASA Astrophysics Data System (ADS)

    Muhammad, Nor Adhila; Suhaimi, Siti Fatimah; Zubir, Zuhana Ahmad; Daud, Sahhidan

    2017-12-01

    Ag-Al2O3 nanocermet layer was deposited on Cu coated glass substrate using dip-coating technique. The aim of this study was to observe the surface morphology properties of Ag-Al2O3 nanocermet layers after annealing process at 350°C in H2. The surface morphology of Ag-Al2O3 nanocermet will be characterized by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM) and X-Ray Diffractometer (XRD), respectively. The results show that nearly isolated Ag particles having a large and small size were present in the Al2O3 dielectric matrix after annealing process. The face centered cubic crystalline structure of Ag nanoparticles inclusion in the amorphous alumina dielectric matrix was confirmed using XRD pattern and supported by EDX spectra analysis.

  6. Enhanced Charge Collection with Passivation Layers in Perovskite Solar Cells.

    PubMed

    Lee, Yong Hui; Luo, Jingshan; Son, Min-Kyu; Gao, Peng; Cho, Kyung Taek; Seo, Jiyoun; Zakeeruddin, Shaik M; Grätzel, Michael; Nazeeruddin, Mohammad Khaja

    2016-05-01

    The Al2 O3 passivation layer is beneficial for mesoporous TiO2 -based perovskite solar cells when it is deposited selectively on the compact TiO2 surface. Such a passivation layer suppressing surface recombination can be formed by thermal decomposition of the perovskite layer during post-annealing. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  8. Thermo-Optical Properties of Thin-Film TiO2Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  9. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    NASA Astrophysics Data System (ADS)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  10. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  11. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  12. Gamma and proton irradiation effects and thermal stability of electrical characteristics of metal-oxide-silicon capacitors with atomic layer deposited Al 2O 3 dielectric

    DOE PAGES

    J. M. Rafi; Lynn, D.; Pellegrini, G.; ...

    2015-12-11

    The radiation hardness and thermal stability of the electrical characteristics of atomic layer deposited Al 2O 3 layers to be used as passivation films for silicon radiation detectors with slim edges are investigated. To directly measure the interface charge and to evaluate its change with the ionizing dose, metal-oxide-silicon (MOS) capacitors implementing differently processed Al 2O 3 layers were fabricated on p-type silicon substrates. Qualitatively similar results are obtained for degradation of capacitance–voltage and current–voltage characteristics under gamma and proton irradiations up to equivalent doses of 30 Mrad and 21.07 Mrad, respectively. While similar negative charge densities are initially extractedmore » for all non-irradiated capacitors, superior radiation hardness is obtained for MOS structures with alumina layers grown with H 2O instead of O 3 as oxidant precursor. Competing effects between radiation-induced positive charge trapping and hydrogen release from the H 2O-grown Al 2O 3 layers may explain their higher radiation resistance. Finally, irradiated and non-irradiated MOS capacitors with differently processed Al 2O 3 layers have been subjected to thermal treatments in air at temperatures ranging between 100 °C and 200 °C and the thermal stability of their electrical characteristics has been evaluated. Partial recovery of the gamma-induced degradation has been noticed for O 3-grown MOS structures. Lastly, this can be explained by a trapped holes emission process, for which an activation energy of 1.38 ± 0.15 eV has been extracted.« less

  13. Influence of deposition temperature of thermal ALD deposited Al{sub 2}O{sub 3} films on silicon surface passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir

    2015-06-15

    The effect of deposition temperature (T{sub dep}) and subsequent annealing time (t{sub anl}) of atomic layer deposited aluminum oxide (Al{sub 2}O3) films on silicon surface passivation (in terms of surface recombination velocity, SRV) is investigated. The pristine samples (as-deposited) show presence of positive fixed charges, Q{sub F}. The interface defect density (D{sub it}) decreases with increase in T{sub dep} which further decreases with t{sub anl} up to 100s. An effective surface passivation (SRV<8 cm/s) is realized for T{sub dep} ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized bymore » high thermal budget process (t{sub anl} between 10 to 30 min)« less

  14. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  15. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  16. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  17. Microstructure and properties of Ti-Al intermetallic/Al2O3 layers produced on Ti6Al2Mo2Cr titanium alloy by PACVD method

    NASA Astrophysics Data System (ADS)

    Sitek, R.; Bolek, T.; Mizera, J.

    2018-04-01

    The paper presents investigation of microstructure and corrosion resistance of the multi-component surface layers built of intermetallic phases of the Ti-Al system and an outer Al2O3 ceramic sub-layer. The layers were produced on a two phase (α + β) Ti6Al2Mo2Cr titanium alloy using the PACVD method with the participation of trimethylaluminum vapors. The layers are characterized by a high surface hardness and good corrosion, better than that of these materials in the starting state. In order to find the correlation between their structure and properties, the layers were subjected to examinations using optical microscopy, X-ray diffraction analysis (XRD), surface analysis by XPS, scanning electron microscopy (SEM), and analyses of the chemical composition (EDS). The properties examined included: the corrosion resistance and the hydrogen absorptiveness. Moreover growth of the Al2O3 ceramic layer and its influence on the residual stress distribution was simulated using finite element method [FEM]. The results showed that the produced layer has amorphous-nano-crystalline structure, improved corrosion resistance and reduces the permeability of hydrogen as compared with the base material of Ti6Al2Mo2Cr -titanium alloy.

  18. Effect of substrate roughness on the corrosion behaviour of the Al2O3/MA 956 system.

    PubMed

    García-Alonso, M C; Escudero, M L; González-Carrasco, J L; Chao, J

    2000-01-01

    This paper presents the influence of substrate roughness on the corrosion behaviour of the Al2O3/MA 956 system. An alumina layer of thickness 1-5 microm was generated of the MA956 alloy by thermal oxidation at 1100 degrees C using different exposure times. This Al2O3/MA 956 system with a polished substrate has shown excellent corrosion behaviour in a physiological fluid, due to the fact that the alpha-Al2O3 layer formed is dense, continuous and firmly adhered to the substrate, irrespective of the scale thickness. This good adherence allows it to withstand potentials above 1.7 V. Specimens with rough finish substrate and treatment times above 10 h present spallation of the alumina layer at the crests of the roughness profile. In this case a mixed corrosion behaviour between an alumina coated material and one with a passive layer is observed. In both types of specimens, rough and smooth, once the passivation layer is broken the repassivation capacity of the substrate is ensured due to the high chromium content of the alloy, under oxygenation conditions.

  19. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  20. First-Principles Study on the Thermal Stability of LiNiO2 Materials Coated by Amorphous Al2O3 with Atomic Layer Thickness.

    PubMed

    Kang, Joonhee; Han, Byungchan

    2015-06-03

    Using first-principles calculations, we study how to enhance thermal stability of high Ni compositional cathodes in Li-ion battery application. Using the archetype material LiNiO2 (LNO), we identify that ultrathin coating of Al2O3 (0001) on LNO(012) surface, which is the Li de-/intercalation channel, substantially improves the instability problem. Density functional theory calculations indicate that the Al2O3 deposits show phase transition from the corundum-type crystalline (c-Al2O3) to amorphous (a-Al2O3) structures as the number of coating layers reaches three. Ab initio molecular dynamic simulations on the LNO(012) surface coated by a-Al2O3 (about 0.88 nm) with three atomic layers oxygen gas evolution is strongly suppressed at T=400 K. We find that the underlying mechanism is the strong contacting force at the interface between LNO(012) and Al2O3 deposits, which, in turn, originated from highly ionic chemical bonding of Al and O at the interface. Furthermore, we identify that thermodynamic stability of the a-Al2O3 is even more enhanced with Li in the layer, implying that the protection for the LNO(012) surface by the coating layer is meaningful over the charging process. Our approach contributes to the design of innovative cathode materials with not only high-energy capacity but also long-term thermal and electrochemical stability applicable for a variety of electrochemical energy devices including Li-ion batteries.

  1. High-pressure synthesis and electrochemical behavior of layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shinova, E.; Zhecheva, E.; Stoyanova, R.

    Layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides, 0=O, Al{sub 2}O{sub 3} and Li{sub 2}O{sub 2} under high pressure. The structural characterization of the layered oxides was performed using powder XRD, IR spectroscopy and EPR spectroscopy at 9.23 and 115GHz. It has been found that the high-pressure favors Al substitution for Ni in the NiO{sub 2}-layers of layered LiNiO{sub 2}. A random Al/Ni distribution in the layer was found. The incorporation of extra Li in the Ni{sub 1-y}Al{sub y}O{sub 2}-layer starts at a precursor composition Li/(Ni+Al)>1.2. While pure NiO{sub 2}-layersmore » are able to incorporate under high-pressure up to 1/3Li, the appearance of Al in the NiO{sub 2}-layers hinders Li{sup +} dissolution (Li<(1-y)/3). In addition, with increasing Al content there is a strong cationic mixing between the layers. High-frequency EPR of Ni{sup 3+} indicates that the structural interaction of LiAl{sub y}Ni{sub 1-y}O{sub 2} with Li[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} proceeds via the formation of domains comprising different amount of Ni{sup 3+} ions. The use of Li{sub 1.08}Al{sub 0.09}Ni{sub 0.83}O{sub 2} as a cathode material in a lithium ion cells displays a first irreversible Li extraction at 4.8V, after which a reversible lithium insertion/extraction between 3.0 and 4.5V is observed on further cycling.« less

  2. Ethanol Sensor of CdO/Al2O3/CeO2 Obtained from Ce-DOPED Layered Double Hydroxides with High Response and Selectivity

    NASA Astrophysics Data System (ADS)

    Xu, Dongmei; Guan, Meiyu; Xu, Qinghong; Guo, Ying; Wang, Yao

    2013-04-01

    In this paper, Ce-doped CdAl layered double hydroxide (LDH) was first synthesized and the derivative CdO/Al2O3/CeO2 composite oxide was prepared by calcining Ce-doped CdAl LDH. The structure, morphology and chemical state of the Ce doped CdAl LDH and CdO/Al2O3/CeO2 were also investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR), solid state nuclear magnetic resonance (SSNMR), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The gas sensing properties of CdO/Al2O3/CeO2 to ethanol were further studied and compared with CdO/Al2O3 prepared from CdAl LDH, CeO2 powder as well as the calcined Ce salt. It turns out that CdO/Al2O3/CeO2 sensor shows best performance in ethanol response. Besides, CdO/Al2O3/CeO2 possesses short response/recovery time (12/72 s) as well as remarkable selectivity in ethanol sensing, which means composite oxides prepared from LDH are very promising in gas sensing application.

  3. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  4. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    NASA Astrophysics Data System (ADS)

    Xiao, Hai-Qing; Zhou, Chun-Lan; Cao, Xiao-Ning; Wang, Wen-Jing; Zhao, Lei; Li, Hai-Ling; Diao, Hong-Wei

    2009-08-01

    Al2O3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 1012 cm-2 is detected in the Al2O3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO2 and plasma enhanced chemical vapor deposition SiNx:H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al2O3.

  5. Nano SnO 2-Al 2O 3 mixed oxide and SnO 2-Al 2O 3-carbon composite oxides as new and novel electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Jayalakshmi, M.; Venugopal, N.; Raja, K. Phani; Rao, M. Mohan

    New nano-materials like SnO 2-Al 2O 3 and SnO 2-Al 2O 3-carbon were synthesized by a single step hydrothermal method in searching for novel mixed oxides with high electrochemical double layer capacitance. A SnO 2-Al 2O 3-carbon sample was calcined at 600 °C and tested for its performance. The source of carbon was tetrapropyl ammonium hydroxide. The capacitive behavior of SnO 2 was compared to the performance of SnO 2-Al 2O 3, SnO 2-Al 2O 3-carbon and calcined SnO 2-Al 2O 3-carbon using the techniques of cyclic voltammetry, double potential step, chronopotentiometry and E-log I polarization. In 0.1 M NaCl solutions, SnO 2-Al 2O 3 gave the best performance with a value of 119 Fg -1 and cycled 1000 times. The nano-material mixed oxides were characterized by TEM, XRD, ICP-AES and SEM-EDAX.

  6. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  7. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  8. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  9. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  10. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  11. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  12. Investigating the electronic properties of Al2O3/Cu(In,Ga)Se2 interface

    NASA Astrophysics Data System (ADS)

    Kotipalli, R.; Vermang, B.; Joel, J.; Rajkumar, R.; Edoff, M.; Flandre, D.

    2015-10-01

    Atomic layer deposited (ALD) Al2O3 films on Cu(In,Ga)Se2 (CIGS) surfaces have been demonstrated to exhibit excellent surface passivation properties, which is advantageous in reducing recombination losses at the rear metal contact of CIGS thin-film solar cells. Here, we report, for the first time, experimentally extracted electronic parameters, i.e. fixed charge density (Qf) and interface-trap charge density (Dit), for as-deposited (AD) and post-deposition annealed (PDA) ALD Al2O3 films on CIGS surfaces using capacitance-voltage (C-V) and conductance-frequency (G-f) measurements. These results indicate that the AD films exhibit positive fixed charges Qf (approximately 1012 cm-2), whereas the PDA films exhibit a very high density of negative fixed charges Qf (approximately 1013 cm-2). The extracted Dit values, which reflect the extent of chemical passivation, were found to be in a similar range of order (approximately 1012 cm-2 eV-1) for both AD and PDA samples. The high density of negative Qf in the bulk of the PDA Al2O3 film exerts a strong Coulomb repulsive force on the underlying CIGS minority carriers (ns), preventing them to recombine at the CIGS/Al2O3 interface. Using experimentally extracted Qf and Dit values, SCAPS simulation results showed that the surface concentration of minority carriers (ns) in the PDA films was approximately eight-orders of magnitude lower than in the AD films. The electrical characterization and estimations presented in this letter construct a comprehensive picture of the interfacial physics involved at the Al2O3/CIGS interface.

  13. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  14. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  15. Controlled carrier screening in p-n NiO/GaN piezoelectric generators by an Al2O3 insertion layer

    NASA Astrophysics Data System (ADS)

    Johar, Muhammad Ali; Jeong, Dae Kyung; Afifi Hassan, Mostafa; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2017-12-01

    The performance of a piezoelectric generator (PG) depends significantly on the internal screening process inside the device. As piezoelectric charges appear on both ends of the piezoelectric crystal, internal screening starts to decrease the piezoelectric bias. Therefore, the piezoelectric energy generated by external stress is not fully utilized by external circuit, which is the most challenging aspect of high-efficiency PGs. In this work, the internal screening effect of a NiO/GaN p-n PG was analyzed and controlled with an Al2O3 insertion layer. Internal screening in the p-n diode PG was categorized into free-carrier screening in neutral regions and junction screening due to charge drift across the junction. It was observed that junction screening could be significantly suppressed by inserting an Al2O3 layer and that effect was dominant in a leaky diode PG. With this implementation, the piezoelectric bias of the NiO/GaN PG was improved by a factor of ~100 for high-leakage diodes and a factor of ~1.6 for low-leakage diodes. Consequently, NiO/Al2O3/GaN PGs under a stress of 5 MPa provided a piezoelectric bias of 12.1 V and a current density of 2.25 µA cm-2. The incorporation of a highly resistive Al2O3 layer between p-NiO and n-GaN layers in NiO/GaN heterojunctions provides an efficient means of improving the piezoelectric performance by controlling the internal screening of the piezoelectric field.

  16. Atomic to Nanoscale Investigation of Functionalities of Al2O3 Coating Layer on Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-01-06

    Surface coating of cathode has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin layer of coating, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration corrected scanning transmission electron microscopy and high efficient spectroscopy to probe the delicate functioning mechanism of Al2O3 coating layer on Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between cathode and the electrolyte upon the battery cycling. At the same time,more » the Al2O3 coating layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore avoiding the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will initiate from the particle surface and propagate towards the interior of the particle with the progression of the battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight for optimized design of coating layer on cathode to enhance the battery properties.« less

  17. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  18. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  19. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  20. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  1. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  2. Role of interfacial transition layers in VO2/Al2O3 heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Honghui; Chisholm, Matthew F; Yang, Tsung-Han

    2011-01-01

    Epitaxial VO2 films grown by pulsed laser deposition (PLD) on c-cut sapphire substrates ((0001) Al2O3) were studied by aberration-corrected scanning transmission electron microscopy (STEM). A number of film/substrate orientation relationships were found and are discussed in the context of the semiconductor-metal transition (SMT) characteristics. A structurally and electronically modified buffer layer was revealed on the interface and was attributed to the interface free-energy minimization process of accommodating the symmetry mismatch between the substrate and the film. This interfacial transition layer is expected to affect the SMT behavior when the interfacial region is a significant fraction of the VO2 film thickness.

  3. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  4. Rambutan-like CNT-Al2O3 scaffolds for high-performance cathode catalyst layers of polymer electrolyte fuel cells

    NASA Astrophysics Data System (ADS)

    Chang, KwangHyun; Cho, Seonghun; Lim, Eun Ja; Park, Seok-Hee; Yim, Sung-Dae

    2018-03-01

    Rambutan-like CNT-Al2O3 scaffolds are introduced as a potential candidate for CNT-based catalyst supports to overcome the CNT issues, such as the easy bundling in catalyst ink and the poor pore structure of the CNT-based catalyst layers, and to achieve high MEA performance in PEFCs. Non-porous α-phase Al2O3 balls are introduced to enable the growth of multiwalled CNTs, and Pt nanoparticles are loaded onto the CNT surfaces. In a half-cell, the Pt/CNT-Al2O3 catalyst shows much higher durability than those of a commercial Pt/C catalyst even though it shows lower oxygen reduction reaction (ORR) activity than Pt/C. After using the decal process for MEA formation, the Pt/CNT-Al2O3 shows comparable initial performance characteristics to Pt/C, overcoming the lower ORR activity, mainly due to the facile oxygen transport in the cathode catalyst layers fabricated with the CNT-Al2O3 scaffolds. The Pt/CNT-Al2O3 also exhibits much higher durability against carbon corrosion than Pt/C owing to the durable characteristics of CNTs. Systematic analysis of single cell performance for both initial and after degradation is provided to understand the origin of the high initial performance and durable behavior of Pt/CNT-Al2O3-based catalyst layers. This will provide insights into the design of electrocatalysts for high-performance MEAs in PEFCs.

  5. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    PubMed Central

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-01-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I–V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later. PMID:28252106

  6. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    NASA Astrophysics Data System (ADS)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  7. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    PubMed

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  8. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  9. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  10. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  11. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  12. Electrochemical corrosion, wear and cell behavior of ZrO2/TiO2 alloyed layer on Ti-6Al-4V.

    PubMed

    Li, Jianfang; He, Xiaojing; Zhang, Guannan; Hang, Ruiqiang; Huang, Xiaobo; Tang, Bin; Zhang, Xiangyu

    2018-06-01

    Ti-6Al-4V (TC4) has received increasing attention as biomaterial but also raised concerns about the long-term safety of releasing of metal ions and poor wear resistance. In this work, an ZrO 2 /TiO 2 alloyed layer was prepared on TC4 by plasma surface alloying with Zr and subsequently annealed in the air for improved corrosion and wear resistant. To assess the corrosion performance of the alloyed layer, the specimens were measured by open circuit potential, electrochemical impedance spectroscopy and potentiodynamic polarization in simulated body fluid solution. The result shows that the ZrO 2 /TiO 2 alloyed layer exhibits strikingly high polarization resistance, wide passive region and very low current density, indicating the excellent corrosion resistance. The layer also displays significant improvement of wear resistance. Furthermore, the alloyed layer restricts cell adhesion and spreading. We infer that the ZrO 2 /TiO 2 alloyed layer might be potentially useful implanted devices such as biosensors, bioelectronics or drug delivery devices. Copyright © 2018 Elsevier B.V. All rights reserved.

  13. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  14. Synthesis and characterization of two layered aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) and ( T)H 2Al 2P 3O 12 ( T=pyH +)

    NASA Astrophysics Data System (ADS)

    Chippindale, Ann M.; Powell, Anthony V.; Bull, Lucy M.; Jones, Richard H.; Cheetham, Anthony K.; Thomas, John M.; Xu, Ruren

    1992-01-01

    Two new aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) ( I) and ( T)H 2Al 2P 3O 12 ( T=pyH +) ( II) with the same framework stoichiometry but different layer structures have been prepared under nonaqueous conditions and the structures determined by single-crystal X-ray diffraction. Compound ( I) crystallizes in the monoclinic space group P2 1/ c ( Z=4), with lattice parameters a=9.261(1) b=8.365(6), c=27.119(4) Å, β=91.50(1)δ, and V=2100.1 Å 3 ( R=0.072 and R w=0.090). The structure consists of Al-and P-centered tetrahedra linked to form layers. Protonated 2-butylamine molecules are located in the interlayer spaces and hydrogen bonded to the layers through NH 3+ groups. Weak hydrophobic van der Waals' interactions between alkyl groups of the 2-BuNH 3+ cations hold the layers together. Compound ( II) crystallizes in the triclinic space group P-1 ( Z=2), with a=8.574(2), b=8.631(3), c=10.371(2) Å, α=81.84(3), β=87.53(2), γ=69.07(2)δ, and V=709.49Å 3 ( R=0.039 and R w=0.052). The structure contains tetrahedrally coordinated P atoms and both tetrahedral and trigonal pyramidal Al atoms linked to form layers which are held together through hydrogen bonding, creating cavities in which pyH + cations reside.

  15. Proton irradiation of MgO- or Sc 2O 3 passivated AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Luo, B.; Ren, F.; Allums, K. K.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Dwivedi, R.; Fogarty, T. N.; Wilkins, R.; Fitch, R. C.; Gillespie, J. K.; Jenkins, T. J.; Dettmer, R.; Sewell, J.; Via, G. D.; Crespo, A.; Baca, A. G.; Shul, R. J.

    2003-06-01

    AlGaN/GaN high electron mobility transistors with either MgO or Sc 2O 3 surface passivation were irradiated with 40 MeV protons at a dose of 5×10 9 cm -2. While both forward and reverse bias current were decreased in the devices as a result of decreases in channel doping and introduction of generation-recombination centers, there was no significant change observed in gate lag measurements. By sharp contrast, unpassivated devices showed significant decreases in drain current under pulsed conditions for the same proton dose. These results show the effectiveness of the oxide passivation in mitigating the effects of surface states present in the as-grown structures and also of surface traps created by the proton irradiation.

  16. ALD Produced B{sub 2}O{sub 3}, Al{sub 2}O{sub 3} and TiO{sub 2} Coatings on Gd{sub 2}O{sub 3} Burnable Poison Nanoparticles and Carbonaceous TRISO Coating Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weimer, Alan

    2012-11-26

    This project will demonstrate the feasibility of using atomic layer deposition (ALD) to apply ultrathin neutron-absorbing, corrosion-resistant layers consisting of ceramics, metals, or combinations thereof, on particles for enhanced nuclear fuel pellets. Current pellet coating technology utilizes chemical vapor deposition (CVD) in a fluidized bed reactor to deposit thick, porous layers of C (or PyC) and SiC. These graphitic/carbide materials degrade over time owing to fission product bombardment, active oxidation, thermal management issues, and long-term irradiation effects. ALD can be used to deposit potential ceramic barrier materials of interest, including ZrO{sub 2}, Y{sub 2}O{sub 3}:ZrO{sub 2} (YSZ), Al{sub 2}O{sub 3},more » and TiO{sub 2}, or neutron-absorbing materials, namely B (in BN or B{sub 2}O{sub 3}) and Gd (in Gd{sub 2}O{sub 3}). This project consists of a two-pronged approach to integrate ALD into the next-generation nuclear plant (NGNP) fuel pellet manufacturing process:« less

  17. The Phase Relations in the In 2O 3-Al 2ZnO 4-ZnO System at 1350°C

    NASA Astrophysics Data System (ADS)

    Nakamura, Masaki; Kimizuka, Noboru; Mohri, Takahiko; Isobe, Mitsumasa

    1993-08-01

    Phase relations in the In 2O 3-Al 2ZnO 4-ZnO system at 1350°C are determined by a classical quenching method. This system consists of In 2O 3, Al 2ZnO 4, ZnO, and homologous phases InAlO 3(ZnO) m ( m = 2, 3, …) having solid solutions with LuFeO 3(ZnO) m-type crystal structures. These solid solution ranges are as follows: In 1+ x1Al 1- x1O 3(ZnO) 2 ( x1 = 0.70)-In 1+ x2Al 1- x2O 3(ZnO) 2 ( x2 = 0.316-0.320), In 2O 3(ZnO) 3-In 1+ xAl 1- xO 3(ZnO) 3 ( x = 0.230), In 2O 3(ZnO) 4-In 1+ xAl 1- xO 3(ZnO) 4 ( x = 0.15-0.16), In 2O 3(ZnO) 5-In 1+ xAl 1- xO 3(ZnO) 5 ( x = 0.116-0.130), In 2O 3(ZnO) 6-In 1+ xAl 1- xO 3(ZnO) 6 ( x = 0.000-0.111), In 2O 3(ZnO) 7-In 1+ xAl 1- xO 3(ZnO) 7 ( x = 0.08), In 2O 3(ZnO) 8-In 1+ xAl 1- xO 3(ZnO) 8 ( x: undetermined), and In 2O 3(ZnO) m-InAlO 3(ZnO) m ( m = 9, 10, 11, 13, 15, 17, and 19). The space groups of these homologous phases belong to R3¯ m for m = odd or P6 3/ mmc for m = even. Their crystal structures, In 1+ xAl 1- xO 3(ZnO) m (0 < x < 1), consist of three kinds of layers: an InO 1.5 layer, an (In xAl 1- xZn)O 2.5 layer, and ZnO layers. A comparison of the phase relations in the In 2O 3- M2ZnO 4-ZnO systems ( M = Fe, Ga, or Al) is made and their characteristic features are discussed in terms of the ionic radii and site preferences of the M cations.

  18. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    PubMed

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  19. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  20. Improvement of the GaSb/Al2O3 interface using a thin InAs surface layer

    NASA Astrophysics Data System (ADS)

    Greene, Andrew; Madisetti, Shailesh; Nagaiah, Padmaja; Yakimov, Michael; Tokranov, Vadim; Moore, Richard; Oktyabrsky, Serge

    2012-12-01

    The highly reactive GaSb surface was passivated with a thin InAs layer to limit interface trap state density (Dit) at the III-V/high-k oxide interface. This InAs surface was subjected to various cleaning processes to effectively reduce native oxides before atomic layer deposition (ALD). Ammonium sulfide pre-cleaning and trimethylaluminum/water ALD were used in conjunction to provide a clean interface and annealing in forming gas (FG) at 350 °C resulted in an optimized fabrication for n-GaSb/InAs/high-k gate stacks. Interface trap density, Dit ≈ 2-3 × 1012 cm-2eV-1 resided near the n-GaSb conductance band which was extracted and compared with three different methods. Conductance-voltage-frequency plots showed efficient Fermi level movement and a sub-threshold slope of 200 mV/dec. A composite high-k oxide process was also developed using ALD of Al2O3 and HfO2 resulting in a Dit ≈ 6-7 × 1012 cm-2eV-1. Subjecting these samples to a higher (450 °C) processing temperature results in increased oxidation and a thermally unstable interface. p-GaSb displayed very fast minority carrier generation/recombination likely due to a high density of bulk traps in GaSb.

  1. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  2. Atomic to Nanoscale Investigation of Functionalities of an Al2O3 Coating Layer on a Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-02-09

    Surface coating has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin coating layer, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration-corrected scanning transmission electron microscopy and high-efficiency spectroscopy to probe the delicate functioning mechanism of an Al2O3 coating layer on a Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between the cathode and the electrolyte during battery cycling. At the same time, the Al2O3 coatingmore » layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore preventing the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will be initiated from the particle surface and propagate toward the interior of the particle with the progression of battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight into the optimized design of a coating layer on a cathode to enhance the battery properties.« less

  3. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  4. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  5. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  6. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  7. Improved dc and power performance of AlGaN/GaN high electron mobility transistors with Sc 2O 3 gate dielectric or surface passivation

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R. C.; Moser, N.; Gillespie, J. K.; Jessen, G. H.; Jenkins, T. J.; Yannuzi, M. J.; Via, G. D.; Crespo, A.

    2003-10-01

    The dc and power characteristics of AlGaN/GaN MOS-HEMTs with Sc 2O 3 gate dielectrics were compared with that of conventional metal-gate HEMTs fabricated on the same material. The MOS-HEMT shows higher saturated drain-source current (˜0.75 A/mm) and significantly better power-added efficiency (PAE, 27%) relative to the HEMT (˜0.6 A/mm and ˜5%). The Sc 2O 3 also provides effective surface passivation, with higher drain current, lower leakage currents and higher three-terminal breakdown voltage in passivated devices relative to unpassivated devices. The PAE also increases (from ˜5% to 12%) on the surface passivated HEMTs, showing that Sc 2O 3 is an attractive option for reducing gate and surface leakage in AlGaN/GaN heterostructure transistors.

  8. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  9. Some TEM observations of Al2O3 scales formed on NiCrAl alloys

    NASA Technical Reports Server (NTRS)

    Smialek, J.; Gibala, R.

    1979-01-01

    The microstructural development of Al2O3 scales on NiCrAl alloys has been examined by transmission electron microscopy. Voids were observed within grains in scales formed on a pure NiCrAl alloy. Both voids and oxide grains grew measurably with oxidation time at 1100 C. The size and amount of porosity decreased towards the oxide-metal growth interface. The voids resulted from an excess number of oxygen vacancies near the oxidemetal interface. Short-circuit diffusion paths were discussed in reference to current growth stress models for oxide scales. Transient oxidation of pure, Y-doped, and Zr-doped NiCrAl was also examined. Oriented alpha-(Al, Cr)2O3 and Ni(Al, Cr)2O4 scales often coexisted in layered structures on all three alloys. Close-packed oxygen planes and directions in the corundum and spinel layers were parallel. The close relationship between oxide layers provided a gradual transition from initial transient scales to steady state Al2O3 growth.

  10. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    NASA Astrophysics Data System (ADS)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  11. Passive mode locking of 2.09 microm Cr,Tm,Ho:Y3Sc2Al3O12 laser using PbS quantum-dot-doped glass.

    PubMed

    Denisov, Igor A; Skoptsov, Nikolai A; Gaponenko, Maxim S; Malyarevich, Alexander M; Yumashev, Konstantin V; Lipovskii, Andrei A

    2009-11-01

    Passive Q-switched mode locking of a 2.09 microm flashlamp-pumped Cr(3+),Tm(3+),Ho(3+):Y(3)Sc(2)Al(3)O(12) laser by use of a phosphate glass doped with PbS quantum dots of 5 nm in radius was demonstrated. Mode-locked pulses of 290 ps in duration and up to 0.5 mJ in energy were registered.

  12. Organic and inorganic passivation of p-type SnO thin-film transistors with different active layer thicknesses

    NASA Astrophysics Data System (ADS)

    Qu, Yunxiu; Yang, Jia; Li, Yunpeng; Zhang, Jiawei; Wang, Qingpu; Song, Aimin; Xin, Qian

    2018-07-01

    Bottom gated thin-film transistors (TFTs) with various sputtered SnO active layer thicknesses ranging from 10 to 30 nm and different passivation layers have been investigated. The device with 20 nm SnO showed the highest on/off ratio of 1.7 × 104 and the smallest subthreshold swing of 8.43 V dec‑1, and the mobility (0.76 cm2 V‑1 s‑1) was only slightly lower than in TFTs with a thicker SnO layer. However, both the mobility and the on/off ratio of the 15 nm SnO TFT dropped significantly by one order of magnitude. This indicated a strong influence of the top surface on the carrier transport, and we thus applied an organic or an inorganic encapsulation material to passivate the top surface. In the 20 nm TFT, the on/off ratio was doubled after passivation. The performance of the 15 nm TFT was improved even more dramatically with the on/off ratio increased by one order of magnitude and the mobility increased also significantly. Our experiment shows that polymethyl methacrylate passivation is more effective to reduce the shallow trap states, and Al2O3 is more effective in reducing the deep traps in the SnO channel.

  13. Surface Crystallization of a MgO/Y2O3/SiO2/Al2O3/ZrO2 Glass: Growth of an Oriented β-Y2Si2O7 Layer and Epitaxial ZrO2

    PubMed Central

    Wisniewski, Wolfgang; Seidel, Sabrina; Patzig, Christian; Rüssel, Christian

    2017-01-01

    The crystallization behavior of a glass with the composition 54.7 SiO2·10.9 Al2O3·15.0 MgO·3.4 ZrO2·16.0 Y2O3 is studied using X-ray diffraction (XRD), scanning electron microscopy (SEM) including electron backscatter diffraction (EBSD) and (scanning) transmission electron microscopy [(S)TEM] including energy-dispersive X-ray spectrometry (EDXS). This glass shows the sole surface crystallization of four different yttrium silicates of the composition Y2Si2O7 (YS). The almost simultaneous but independent nucleation of α-, β-, δ-, and ε-YS at the surface is followed by growth into the bulk, where ε-YS quickly dominates a first crystallized layer. An accumulation of Mg at the growth front probably triggers a secondary nucleation of β-YS, which forms a thin compact layer before fragmenting into a highly oriented layer of fine grained crystals occupying the remaining bulk. The residual glass between the YS growth structures allows the crystallization of indialite, yttrium stabilized ZrO2 (Y-ZrO2) and very probably μ-cordierite during cooling. Hence, this glass basically shows the inverted order of crystallization observed in other magnesium yttrium alumosilicate glasses containing less Y2O3. An epitaxial relationship between Y-ZrO2 and ε-YS is proven and multiple twinning relationships occur in the YS phases. PMID:28281661

  14. Spectroscopy analysis of graphene like deposition using DC unbalanced magnetron sputtering on γ‐Al{sub 2}O{sub 3} buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aji, A. S., E-mail: yudi@fi.itb.ac.id; Darma, Y., E-mail: yudi@fi.itb.ac.id

    In this work, graphene-like deposition using DC unbalanced magnetron-sputtering technique on γ‐Al{sub 2}O{sub 3} layer at low temperature has been systematically studied. The γ‐Al{sub 2}O{sub 3} was growth on silicon substrate using thermal evaporation of Al wire and continuing with dry oxidation of Al at 550 °C. Sputtering process were carried out using Fe-doped carbon pellet as a target by maintain the chamber pressure of 4.6×10{sup −2} Torr at substrate temperature of 300 °C for time deposition range of 1 to 4 hours. The quality of Al{sub 2}O{sub 3} on Si(100) and the characteristic of carbon thin film on γ‐Al{submore » 2}O{sub 3} were analized by mean XRD, opctical microscopy, EDAX, FTIR, and Raman spectra. XRD and optical microscopy analysis shows that Al{sub 2}O{sub 3} film is growth uniformly on Si substrate and forming the γ phase of Al{sub 2}O{sub 3}. Raman and FTIR spectra confirm the formation of graphene like carbon layer on Al{sub 2}O{sub 3}. Additionally, thermal annealing for some sample series have been performed to study their structural stability. The change of atomic structure due to thermal annealing were analized by XRD spectra. The quality and the number of graphene layers are investigated by using Raman spectra peaks analysis.« less

  15. Multishelled CaO Microspheres Stabilized by Atomic Layer Deposition of Al2 O3 for Enhanced CO2 Capture Performance.

    PubMed

    Armutlulu, Andac; Naeem, Muhammad Awais; Liu, Hsueh-Ju; Kim, Sung Min; Kierzkowska, Agnieszka; Fedorov, Alexey; Müller, Christoph R

    2017-11-01

    CO 2 capture and storage is a promising concept to reduce anthropogenic CO 2 emissions. The most established technology for capturing CO 2 relies on amine scrubbing that is, however, associated with high costs. Technoeconomic studies show that using CaO as a high-temperature CO 2 sorbent can significantly reduce the costs of CO 2 capture. A serious disadvantage of CaO derived from earth-abundant precursors, e.g., limestone, is the rapid, sintering-induced decay of its cyclic CO 2 uptake. Here, a template-assisted hydrothermal approach to develop CaO-based sorbents exhibiting a very high and cyclically stable CO 2 uptake is exploited. The morphological characteristics of these sorbents, i.e., a porous shell comprised of CaO nanoparticles coated by a thin layer of Al 2 O 3 (<3 nm) containing a central void, ensure (i) minimal diffusion limitations, (ii) space to accompany the substantial volumetric changes during CO 2 capture and release, and (iii) a minimal quantity of Al 2 O 3 for structural stabilization, thus maximizing the fraction of CO 2 -capture-active CaO. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Passivation of surface states of α-Fe2O3(0001) surface by deposition of Ga2O3 overlayers: A density functional theory study.

    PubMed

    Ulman, Kanchan; Nguyen, Manh-Thuong; Seriani, Nicola; Gebauer, Ralph

    2016-03-07

    There is a big debate in the community regarding the role of surface states of hematite in the photoelectrochemical water splitting. Experimental studies on non-catalytic overlayers passivating the hematite surface states claim a favorable reduction in the overpotential for the water splitting reaction. As a first step towards understanding the effect of these overlayers, we have studied the system Ga2O3 overlayers on hematite (0001) surfaces using first principles computations in the PBE+U framework. Our computations suggest that stoichiometric terminations of Ga2O3 overlayers are energetically more favored than the bare surface, at ambient oxygen chemical potentials. Energetics suggest that the overlayers prefer to grow via a layer-plus-island (Stranski-Krastanov) growth mode with a critical layer thickness of 1-2 layers. Thus, a complete wetting of the hematite surface by an overlayer of gallium oxide is thermodynamically favored. We establish that the effect of deposition of the Ga2O3 overlayers on the bare hematite surface is to passivate the surface states for the stoichiometric termination. For the oxygen terminated surface which is the most stable termination under photoelectrochemical conditions, the effect of deposition of the Ga2O3 overlayer is to passivate the hole-trapping surface state.

  17. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  18. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  19. Determination of the strain distribution for the Si3N4 passivated AlGaN/AlN/GaN heterostructure field-effect transistors

    NASA Astrophysics Data System (ADS)

    Fu, Chen; Lin, Zhaojun; Liu, Yan; Cui, Peng; Lv, Yuanjie; Zhou, Yang; Dai, Gang; Luan, Chongbiao

    2017-11-01

    A method to determine the strain distribution of the AlGaN barrier layer after the device fabrication and the passivation process has been presented. By fitting the calculated parasitic source access resistance with the measured ones for the AlGaN/AlN/GaN HFETs and using the polarization Coulomb field scattering theory, the strain variation of the AlGaN barrier layer after the passivation process has been quantitatively studied. The results show that the tensile strain in the access regions of the AlGaN barrier layer has been increased by 4.62% for the 250 nm-Si3N4 passivated device, and has been decreased by 2.0% for the 400 nm-Si3N4 passivated device, compared to that of before the passivation, respectively. For the gate region of the two devices, the tensile strain has been decreased by 60.77% and increased by 3.60% after the passivation of different thicknesses, oppositely.

  20. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  1. Atomic Layer Deposition of Al2O3-Ga2O3 Alloy Coatings for Li[Ni0.5Mn0.3Co0.2]O2 Cathode to Improve Rate Performance in Li-Ion Battery.

    PubMed

    Laskar, Masihhur R; Jackson, David H K; Guan, Yingxin; Xu, Shenzhen; Fang, Shuyu; Dreibelbis, Mark; Mahanthappa, Mahesh K; Morgan, Dane; Hamers, Robert J; Kuech, Thomas F

    2016-04-27

    Metal oxide coatings can improve the electrochemical stability of cathodes and hence, their cycle-life in rechargeable batteries. However, such coatings often impose an additional electrical and ionic transport resistance to cathode surfaces leading to poor charge-discharge capacity at high C-rates. Here, a mixed oxide (Al2O3)1-x(Ga2O3)x alloy coating, prepared via atomic layer deposition (ALD), on Li[Ni0.5Mn0.3Co0.2]O2 (NMC) cathodes is developed that has increased electron conductivity and demonstrated an improved rate performance in comparison to uncoated NMC. A "co-pulsing" ALD technique was used which allows intimate and controlled ternary mixing of deposited film to obtain nanometer-thick mixed oxide coatings. Co-pulsing allows for independent control over film composition and thickness in contrast to separate sequential pulsing of the metal sources. (Al2O3)1-x(Ga2O3)x alloy coatings were demonstrated to improve the cycle life of the battery. Cycle tests show that increasing Al-content in alloy coatings increases capacity retention; whereas a mixture of compositions near (Al2O3)0.5(Ga2O3)0.5 was found to produce the optimal rate performance.

  2. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  3. Fabrication and mechanical properties of Al2O3/SiC/ZrO2 functionally graded material by electrophoretic deposition.

    PubMed

    Askari, E; Mehrali, M; Metselaar, I H S C; Kadri, N A; Rahman, Md M

    2012-08-01

    This study describes the synthesis of Al(2)O(3)/SiC/ZrO(2) functionally graded material (FGM) in bio-implants (artificial joints) by electrophoretic deposition (EPD). A suitable suspension that was based on 2-butanone was applied for the EPD of Al(2)O(3)/SiC/ZrO(2), and a pressureless sintering process was applied as a presintering. Hot isostatic pressing (HIP) was used to densify the deposit, with beneficial mechanical properties after 2 h at 1800 °C in Ar atmosphere. The maximum hardness in the outer layer (90 vol.% Al(2)O(3)+10 vol.% SiC) and maximum fracture toughness in the core layer (75 vol.% Al(2)O(3)+10 vol.% SiC + 15 vol.% ZrO(2)) composite were 20.8±0.3 GPa and 8±0.1 MPa m(1/2), respectively. The results, when compared with results from Al(2)O(3)/ZrO(2) FGM, showed that SiC increased the compressive stresses in the outer layers, while the inner layers were under a residual tensile stress. Copyright © 2012 Elsevier Ltd. All rights reserved.

  4. Substitutional and Interstitial Diffusion in alpha2-Ti3Al(O)

    NASA Technical Reports Server (NTRS)

    Copland, Evan; Young, David J.; Gleeson, Brian; Jacobson, Nathan

    2007-01-01

    The reaction between Al2O3 and alpha2-Ti3Al was studied with a series of Al2O3/alpha2-Ti3Al multiphase diffusion couples annealed at 900, 1000 and 1100 C. The diffusion-paths were found to strongly depend on alpha2- Ti3Al(O) composition. For alloys with low oxygen concentrations the reaction involved the reduction of Al2O3, the formation of a gamma-TiAl reaction-layer and diffusion of Al and O into the alpha2-Ti3Al substrate. Measured concentration profiles across the interaction-zone showed "up-hill" diffusion of O in alpha2-Ti3Al(O) indicating a significant thermodynamic interaction between O and Al, Ti or both. Diffusion coefficients for the interstitial O in alpha2-Ti3Al(O) were determined independently from the interdiffusion of Ti and Al on the substitutional lattice. Diffusion coefficients are reported for alpha2-Ti3Al(O) as well as gamma-TiAl. Interpretation of the results were aided with the subsequent measurement of the activities of Al, Ti and O in alpha 2-Ti3Al(O) by Knudsen effusion-cell mass spectrometry.

  5. High-pressure oxygen annealing of Al2O3 passivation layer for performance enhancement of graphene field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kim, Yun Ji; Kim, Seung Mo; Heo, Sunwoo; Lee, Hyeji; In Lee, Ho; Chang, Kyoung Eun; Lee, Byoung Hun

    2018-02-01

    High-pressure annealing in oxygen ambient at low temperatures (∼300 °C) was effective in improving the performance of graphene field-effect transistors. The field-effect mobility was improved by 45% and 83% for holes and electrons, respectively. The improvement in the quality of Al2O3 and the reduction in oxygen-related charge generation at the Al2O3-graphene interface, are suggested as the reasons for this improvement. This process can be useful for the commercial implementation of graphene-based electronic devices.

  6. Comparison and characterization of different tunnel layers, suitable for passivated contact formation

    NASA Astrophysics Data System (ADS)

    Ling, Zhi Peng; Xin, Zheng; Ke, Cangming; Jammaal Buatis, Kitz; Duttagupta, Shubham; Lee, Jae Sung; Lai, Archon; Hsu, Adam; Rostan, Johannes; Stangl, Rolf

    2017-08-01

    Passivated contacts for solar cells can be realized using a variety of differently formed ultra-thin tunnel oxide layers. Assessing their interface properties is important for optimization purposes. In this work, we demonstrate the ability to measure the interface defect density distribution D it(E) and the fixed interface charge density Q f for ultra-thin passivation layers operating within the tunnel regime (<2 nm). Various promising tunnel layer candidates [i.e., wet chemically formed SiO x , UV photo-oxidized SiO x , and atomic layer deposited (ALD) AlO x ] are investigated for their potential application forming electron or hole selective tunnel layer passivated contacts. In particular, ALD AlO x is identified as a promising tunnel layer candidate for hole-extracting passivated contact formation, stemming from its high (negative) fixed interface charge density in the order of -6 × 1012 cm-2. This is an order of magnitude higher compared to wet chemically or UV photo-oxidized formed silicon oxide tunnel layers, while keeping the density of interface defect states D it at a similar level (in the order of ˜2 × 1012 cm-2 eV-1). This leads to additional field effect passivation and therefore to significantly higher measured effective carrier lifetimes (˜2 orders of magnitude). A surface recombination velocity of ˜40 cm/s has been achieved for a 1.5 nm thin ALD AlO x tunnel layer prior to capping by an additional hole transport material, like p-doped poly-Si or PEDOT:PSS.

  7. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  8. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  9. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  10. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2017-12-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage (J-V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density (J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance (R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode (C μ ) and electron lifetime (t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  11. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2018-03-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage ( J- V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density ( J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance ( R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode ( C μ ) and electron lifetime ( t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  12. Atomic layer deposition of highly-doped Er:Al2O3 and Tm:Al2O3 for silicon-based waveguide amplifiers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Roenn, John; Karvonen, Lasse; Pyymäki-Perros, Alexander; Peyghambarian, Nasser; Lipsanen, Harri; Säynätjoki, Antti; Sun, Zhipei

    2016-05-01

    Recently, rare-earth doped waveguide amplifiers (REDWAs) have drawn significant attention as a promising solution to on-chip amplification of light in silicon photonics and integrated optics by virtue of their high excited state lifetime (up to 10 ms) and broad emission spectrum (up to 200 nm) at infrared wavelengths. In the family of rare-earths, at least erbium, holmium, thulium, neodymium and ytterbium have been demonstrated to be good candidates for amplifier operation at moderate concentrations (< 0.1 %). However, efficient amplifier operation in REDWAs is a very challenging task because high concentration of ions (<0.1%) is required in order to produce reasonable amplification over short device length. Inevitably, high concentration of ions leads to energy-transfer between neighboring ions, which results as decreased gain and increased noise in the amplifier system. It has been shown that these energy-transfer mechanisms in highly-doped gain media are inversely proportional to the sixth power of the distance between the ions. Therefore, novel fabrication techniques with the ability to control the distribution of the rare-earth ions within the gain medium are urgently needed in order to fabricate REDWAs with high efficiency and low noise. Here, we show that atomic layer deposition (ALD) is an excellent technique to fabricate highly-doped (<1%) RE:Al2O3 gain materials by using its nanoscale engineering ability to delicately control the incorporation of RE ions during the deposition. In our experiment, we fabricated Er:Al2O3 and Tm:Al2O3 thin films with ALD by varying the concentration of RE ions from 1% to 7%. By measuring the photoluminescence response of the fabricated samples, we demonstrate that it is possible to incorporate up to 5% of either Er- or Tm-ions in Al2O3 host before severe quenching occurs. We believe that this technique can be extended to other RE ions as well. Therefore, our results show the exceptionality of ALD as a deposition technique for

  13. Role of Al2O3 thin layer on improving the resistive switching properties of Ta5Si3-based conductive bridge random accesses memory device

    NASA Astrophysics Data System (ADS)

    Kumar, Dayanand; Aluguri, Rakesh; Chand, Umesh; Tseng, Tseung-Yuen

    2018-04-01

    Ta5Si3-based conductive bridge random access memory (CBRAM) devices have been investigated to improve their resistive switching characteristics for their application in future nonvolatile memory technology. Changes in the switching characteristics by the addition of a thin Al2O3 layer of different thicknesses at the bottom electrode interface of a Ta5Si3-based CBRAM devices have been studied. The double-layer device with a 1 nm Al2O3 layer has shown improved resistive switching characteristics over the single layer one with a high on/off resistance ratio of 102, high endurance of more than 104 cycles, and good retention for more than 105 s at the temperature of 130 °C. The higher thermal conductivity of Al2O3 over Ta5Si3 has been attributed to the enhanced switching properties of the double-layer devices.

  14. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    NASA Astrophysics Data System (ADS)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  15. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  16. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  17. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D.

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substratemore » (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.« less

  18. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  19. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  20. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  1. Active metal brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%)

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2018-01-01

    The application of an active braze alloy (ABA) known as Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%) to join Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) has been investigated. This ABA was selected to increase the operating temperature of the joint beyond the capabilities of typically used ABAs such as Ag-Cu-Ti-based alloys. Silica present as a secondary phase in the Al2O3 at a level of 5 wt.% enabled the ceramic component to bond to the ABA chemically by forming a layer of Si3Ti5 at the ABA/Al2O3 interface. Appropriate brazing conditions to preserve a near-continuous Si3Ti5 layer on the Al2O3 and a continuous Fe3Si layer on the Kovar® were found to be a brazing time of ≤15 min at 1025 °C or ≤2 min at 1050 °C. These conditions produced joints that did not break on handling and could be prepared easily for microscopy. Brazing for longer periods of time, up to 45 min, at these temperatures broke down the Si3Ti5 layer on the Al2O3, while brazing at ≥1075 °C for 2-45 min broke down the Fe3Si layer on the Kovar® significantly. Further complications of brazing at ≥1075 °C included leakage of the ABA out of the joint and the formation of a new brittle silicide, Ni16Si7Ti6, at the ABA/Al2O3 interface. This investigation demonstrates that it is not straightforward to join Al2O3 to Kovar® using Copper ABA®, partly because the ranges of suitable values for the brazing temperature and time are quite limited. Other approaches to increase the operating temperature of the joint are discussed.

  2. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  3. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn; State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China; Zhu, Jian

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0°more » to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher

  4. Silver nanoparticles-incorporated Nb2O5 surface passivation layer for efficiency enhancement in dye-sensitized solar cells.

    PubMed

    Suresh, S; Unni, Gautam E; Satyanarayana, M; Sreekumaran Nair, A; Mahadevan Pillai, V P

    2018-08-15

    Guiding and capturing photons at the nanoscale by means of metal nanoparticles and interfacial engineering for preventing back-electron transfer are well documented techniques for performance enhancement in excitonic solar cells. Drifting from the conventional route, we propose a simple one-step process to integrate both metal nanoparticles and surface passivation layer in the porous photoanode matrix of a dye-sensitized solar cell. Silver nanoparticles and Nb 2 O 5 surface passivation layer are simultaneously deposited on the surface of a highly porous nanocrystalline TiO 2 photoanode, facilitating an absorption enhancement in the 465 nm and 570 nm wavelength region and a reduction in back-electron transfer in the fabricated dye-sensitized solar cells together. The TiO 2 photoanodes were prepared by spray pyrolysis deposition method from a colloidal solution of TiO 2 nanoparticles. An impressive 43% enhancement in device performance was accomplished in photoanodes having an Ag-incorporated Nb 2 O 5 passivation layer as against a cell without Ag nanoparticles. By introducing this idea, we were able to record two benefits - the metal nanoparticles function as the absorption enhancement agent, and the Nb 2 O 5 layer as surface passivation for TiO 2 nanoparticles and as an energy barrier layer for preventing back-electron transfer - in a single step. Copyright © 2018 Elsevier Inc. All rights reserved.

  5. Low-frequency noise in multilayer MoS2 field-effect transistors: the effect of high-k passivation.

    PubMed

    Na, Junhong; Joo, Min-Kyu; Shin, Minju; Huh, Junghwan; Kim, Jae-Sung; Piao, Mingxing; Jin, Jun-Eon; Jang, Ho-Kyun; Choi, Hyung Jong; Shim, Joon Hyung; Kim, Gyu-Tae

    2014-01-07

    Diagnosing of the interface quality and the interactions between insulators and semiconductors is significant to achieve the high performance of nanodevices. Herein, low-frequency noise (LFN) in mechanically exfoliated multilayer molybdenum disulfide (MoS2) (~11.3 nm-thick) field-effect transistors with back-gate control was characterized with and without an Al2O3 high-k passivation layer. The carrier number fluctuation (CNF) model associated with trapping/detrapping the charge carriers at the interface nicely described the noise behavior in the strong accumulation regime both with and without the Al2O3 passivation layer. The interface trap density at the MoS2-SiO2 interface was extracted from the LFN analysis, and estimated to be Nit ~ 10(10) eV(-1) cm(-2) without and with the passivation layer. This suggested that the accumulation channel induced by the back-gate was not significantly influenced by the passivation layer. The Hooge mobility fluctuation (HMF) model implying the bulk conduction was found to describe the drain current fluctuations in the subthreshold regime, which is rarely observed in other nanodevices, attributed to those extremely thin channel sizes. In the case of the thick-MoS2 (~40 nm-thick) without the passivation, the HMF model was clearly observed all over the operation regime, ensuring the existence of the bulk conduction in multilayer MoS2. With the Al2O3 passivation layer, the change in the noise behavior was explained from the point of formation of the additional top channel in the MoS2 because of the fixed charges in the Al2O3. The interface trap density from the additional CNF model was Nit = 1.8 × 10(12) eV(-1) cm(-2) at the MoS2-Al2O3 interface.

  6. Growth of C60 thin films on Al2O3/NiAl(100) at early stages

    NASA Astrophysics Data System (ADS)

    Hsu, S.-C.; Liao, C.-H.; Hung, T.-C.; Wu, Y.-C.; Lai, Y.-L.; Hsu, Y.-J.; Luo, M.-F.

    2018-03-01

    The growth of thin films of C60 on Al2O3/NiAl(100) at the earliest stage was studied with scanning tunneling microscopy and synchrotron-based photoelectron spectroscopy under ultrahigh-vacuum conditions. C60 molecules, deposited from the vapor onto an ordered thin film of Al2O3/NiAl(100) at 300 K, nucleated into nanoscale rectangular islands, with their longer sides parallel to direction either [010] or [001] of NiAl. The particular island shape resulted because C60 diffused rapidly, and adsorbed and nucleated preferentially on the protrusion stripes of the crystalline Al2O3 surface. The monolayer C60 film exhibited linear protrusions of height 1-3 Å, due to either the structure of the underlying Al2O3 or the lattice mismatch at the boundaries of the coalescing C60 islands; such protrusions governed also the growth of the second layer. The second layer of the C60 film grew only for a C60 coverage >0.60 ML, implying a layer-by-layer growth mode, and also ripened in rectangular shapes. The thin film of C60 was thermally stable up to 400 K; above 500 K, the C60 islands dissociated and most C60 desorbed.

  7. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  8. Nondestructive depth profile of the chemical state of ultrathin Al2O3/Si interface

    NASA Astrophysics Data System (ADS)

    Lee, Jong Cheol; Oh, S.-J.

    2004-05-01

    We investigated a depth profile of the chemical states of an Al2O3/Si interface using nondestructive photon energy-dependent high-resolution x-ray photoelectron spectroscopy (HRXPS). The Si 2p binding energy, attributed to the oxide interfacial layer (OIL), was found to shift from 102.1 eV to 102.9 eV as the OIL region closer to Al2O3 layer was sampled, while the Al 2p binding energy remains the same. This fact strongly suggests that the chemical state of the interfacial layer is not Al silicate as previously believed. We instead propose from the HRXPS of Al 2p and Si 2p depth-profile studies that the chemical states of the Al2O3/Si interface mainly consist of SiO2 and Si2O3.

  9. Optical properties of white organic light-emitting devices fabricated utilizing a mixed CaAl12O19:Mn4+ and Y3Al5O12:Ce3+ color conversion layer.

    PubMed

    Jeong, H S; Kim, S H; Lee, K S; Jeong, J M; Yoo, T W; Kwon, M S; Yoo, K H; Kim, T W

    2013-06-01

    White organic light-emitting devices (OLEDs) were fabricated by combining a blue OLED with a color conversion layer made of mixed Y3Al5O12:Ce3+ green and Ca2AlO19:Mn4+ red phosphors. The X-ray diffraction patterns showed that Ce3+ ions in the Y3Al5O12:Ce3+ phosphors completely substituted for the Y3+ ions and the Mn4+ ions in the CaAl12O19:Mn4+ phosphors completely substituted for the Ca2+ ions. Electroluminescence spectra at 11 V for the OLEDs fabricated utilizing a color conversion layer showed that the Commission Internationale de l'Eclairage coordinates for the Y3Al5O12:Ce3+ and CaAl12O19:Mn4+ phosphors mixed at the ratio of 1:5 and 1:10 were (0.31, 0.34) and (0.32, 0.37), respectively, indicative of a good white color.

  10. Band Alignment for Rectification and Tunneling Effects in Al2O3 Atomic-Layer-Deposited on Back Contact for CdTe Solar Cell.

    PubMed

    Su, Yantao; Xin, Chao; Feng, Yancong; Lin, Qinxian; Wang, Xinwei; Liang, Jun; Zheng, Jiaxin; Lin, Yuan; Pan, Feng

    2016-10-11

    The present work intends to explain why ultrathin Al 2 O 3 atomic-layer-deposited (ALD) on the back contact with rectification and tunneling effects can significantly improve the performance of CdTe solar cells in our previous work [ Liang , J. ; et al. Appl. Phys. Lett. 2015 , 107 , 013907 ]. Herein, we further study the mechanism through establishing the interfacial energy band diagram configuration of the ALD Al 2 O 3 /Cu x Te by experiment of X-ray photoelectron spectroscopy and first-principles calculations and conclude to find the band alignment with optimized layer thickness (about 1 nm ALD Al 2 O 3 ) as the key factor for rectification and tunneling effects.

  11. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  12. In situ transmission electron microscopy observation of pulverization of aluminum nanowires and evolution of the thin surface Al2O3 layers during lithiation-delithiation cycles.

    PubMed

    Liu, Yang; Hudak, Nicholas S; Huber, Dale L; Limmer, Steven J; Sullivan, John P; Huang, Jian Yu

    2011-10-12

    Lithiation-delithiation cycles of individual aluminum nanowires (NWs) with naturally oxidized Al(2)O(3) surface layers (thickness 4-5 nm) were conducted in situ in a transmission electron microscope. Surprisingly, the lithiation was always initiated from the surface Al(2)O(3) layer, forming a stable Li-Al-O glass tube with a thickness of about 6-10 nm wrapping around the NW core. After lithiation of the surface Al(2)O(3) layer, lithiation of the inner Al core took place, which converted the single crystal Al to a polycrystalline LiAl alloy, with a volume expansion of about 100%. The Li-Al-O glass tube survived the 100% volume expansion, by enlarging through elastic and plastic deformation, acting as a solid electrolyte with exceptional mechanical robustness and ion conduction. Voids were formed in the Al NWs during the initial delithiation step and grew continuously with each subsequent delithiation, leading to pulverization of the Al NWs to isolated nanoparticles confined inside the Li-Al-O tube. There was a corresponding loss of capacity with each delithiation step when arrays of NWs were galvonostatically cycled. The results provide important insight into the degradation mechanism of lithium-alloy electrodes and into recent reports about the performance improvement of lithium ion batteries by atomic layer deposition of Al(2)O(3) onto the active materials or electrodes.

  13. Enhanced two dimensional electron gas transport characteristics in Al2O3/AlInN/GaN metal-oxide-semiconductor high-electron-mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Freedsman, J. J.; Watanabe, A.; Urayama, Y.; Egawa, T.

    2015-09-01

    The authors report on Al2O3/Al0.85In0.15N/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistor (MOS-HEMT) on Si fabricated by using atomic layer deposited Al2O3 as gate insulator and passivation layer. The MOS-HEMT with the gate length of 2 μm exhibits excellent direct-current (dc) characteristics with a drain current maximum of 1270 mA/mm at a gate bias of 3 V and an off-state breakdown voltage of 180 V for a gate-drain spacing of 4 μm. Also, the 1 μm-gate MOS-HEMT shows good radio-frequency (rf) response such as current gain and maximum oscillation cut-off frequencies of 10 and 34 GHz, respectively. The capacitance-voltage characteristics at 1 MHz revealed significant increase in two-dimensional electron gas (2DEG) density for the MOS-HEMT compared to conventional Schottky barrier HEMTs. Analyses using drain-source conductivity measurements showed improvements in 2DEG transport characteristics for the MOS-HEMT. The enhancements in dc and rf performances of the Al2O3/Al0.85In0.15N/GaN MOS-HEMT are attributed to the improvements in 2DEG characteristics.

  14. Preparation and characterization of 6-layered functionally graded nickel-alumina (Ni-Al2O3) composites

    NASA Astrophysics Data System (ADS)

    Latiff, M. I. A.; Nuruzzaman, D. M.; Basri, S.; Ismail, N. M.; Jamaludin, S. N. S.; Kamaruzaman, F. F.

    2018-04-01

    The present research study deals with the preparation of 6-layered functionally graded (FG) metal-ceramic composite materials through powder metallurgy technique. Using a cylindrical die-punch set made of steel, the nickel-alumina (Ni-Al2O3) graded composite structure was fabricated. The samples consist of four gradual inter layers of varied nickel composition (80wt.%, 60wt.%, 40wt.%, 20wt.%) sandwiched with pure Ni and Al2O3 powders at the ends (100wt.% and 0wt.% nickel) were fabricated under 30 ton compaction load using a hydraulic press. After that, two-step sintering was carried out at sintering temperature 1200ºC and soaking time 3 hours was maintained in a tube furnace. The properties of the prepared samples were characterized by radial shrinkage, optical microscopy and hardness testing. Results showed that larger shrinkage occurred within the ceramic phase which proves that more porosities were eliminated in the ceramic rich layers. From the microstructural analysis, it was observed that alumina particles are almost uniformly distributed in nickel matrix, so as nickel particles in the ceramic matrix of alumina-dominant layers. From interfacial analyses, it was observed that a smooth transition in microstructure from one layer to the next confirms a good interfacial solid state bonding between metal-ceramic constituents and good compaction process. On the other hand, microhardness test results suggest that there might be increasing percentage of porosities in the graded structure as the ceramic content rises.

  15. Small signal measurement of Sc 2O 3 AlGaN/GaN moshemts

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kang, B. S.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J. K.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2004-02-01

    The rf performance of 1 × 200 μm 2 AlGaN/GaN MOS-HEMTs with Sc 2O 3 used as both the gate dielectric and as a surface passivation layer is reported. A maximum fT of ˜11 GHz and fMAX of 19 GHz were obtained. The equivalent device parameters were extracted by fitting this data to obtain the transconductance, drain resistance, drain-source resistance, transfer time and gate-drain and gate-source capacitance as a function of gate voltage. The transfer time is in the order 0.5-1 ps and decreases with increasing gate voltage.

  16. Improvement of performance in low temperature solid oxide fuel cells operated on ethanol and air mixtures using Cu-ZnO-Al2O3 catalyst layer

    NASA Astrophysics Data System (ADS)

    Morales, M.; Espiell, F.; Segarra, M.

    2015-10-01

    Anode-supported single-chamber solid oxide fuel cells with and without Cu-ZnO-Al2O3 catalyst layers deposited on the anode support have been operated on ethanol and air mixtures. The cells consist of gadolinia-doped ceria electrolyte, Ni-doped ceria anode, and La0.6Sr0.4CoO3-δ-doped ceria cathode. Catalyst layers with different Cu-ZnO-Al2O3 ratios are deposited and sintered at several temperatures. Since the performance of single-chamber fuel cells strongly depends on catalytic properties of electrodes for partial oxidation of ethanol, the cells are electrochemically characterized as a function of the temperature, ethanol-air molar ratio and gas flow rate. In addition, catalytic activities of supported anode, catalytic layer-supported anode and cathode for partial oxidation of ethanol are analysed. Afterwards, the effect of composition and sintering temperature of catalyst layer on the cell performance are determined. The results indicate that the cell performance can be significantly enhanced using catalyst layers of 30:35:35 and 40:30:30 wt.% Cu-ZnO-Al2O3 sintered at 1100 °C, achieving power densities above 50 mW cm-2 under 0.45 ethanol-air ratio at temperatures as low as 450 °C. After testing for 15 h, all cells present a gradual loss of power density, without carbon deposition, which is mainly attributed to the partial re-oxidation of Ni at the anode.

  17. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  18. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  19. InP MOS capacitor and E-mode n-channel FET with ALD Al2O3-based high- k dielectric

    NASA Astrophysics Data System (ADS)

    Yen, Chih-Feng; Yeh, Min-Yen; Chong, Kwok-Keung; Hsu, Chun-Fa; Lee, Ming-Kwei

    2016-07-01

    The electrical characteristics of atomic-layer-deposited Al2O3/TiO2/Al2O3 on (NH4)2S-treated InP MOS capacitor and related MOSFET were studied. The electrical characteristics were improved from the reduction of native oxides and sulfur passivation on InP by (NH4)2S treatment. The high bandgap Al2O3 on TiO2 can reduce the thermionic emission, and the Al2O3 under TiO2 improves the interface-state density by self-cleaning. The high dielectric constant TiO2 is used to lower the equivalent oxide thickness. The leakage currents can reach 2.3 × 10-8 and 2.2 × 10-7 A/cm2 at ±2 MV/cm, respectively. The lowest interface-state density is 4.6 × 1011 cm-2 eV-1 with a low-frequency dispersion of 15 %. The fabricated enhancement-mode n-channel sulfur-treated InP MOSFET exhibits good electrical characteristics with a maximum transconductance of 146 mS/mm and effective mobility of 1760 cm2/V s. The subthreshold swing and threshold voltage are 117 mV/decade and 0.44 V, respectively.

  20. Partial oxidation of dimethyl ether using the structured catalyst Rh/Al2O3/Al prepared through the anodic oxidation of aluminum.

    PubMed

    Yu, B Y; Lee, K H; Kim, K; Byun, D J; Ha, H P; Byun, J Y

    2011-07-01

    The partial oxidation of dimethyl ether (DME) was investigated using the structured catalyst Rh/Al2O3/Al. The porous Al2O3 layer was synthesized on the aluminum plate through anodic oxidation in an oxalic-acid solution. It was observed that about 20 nm nanopores were well developed in the Al2O3 layer. The thickness of Al2O3 layer can be adjusted by controlling the anodizing time and current density. After pore-widening and hot-water treatment, the Al2O3/Al plate was calcined at 500 degrees C for 3 h. The obtained delta-Al2O3 had a specific surface area of 160 m2/g, making it fit to be used as a catalyst support. A microchannel reactor was designed and fabricated to evaluate the catalytic activity of Rh/Al2O3/Al in the partial oxidation of DME. The structured catalyst showed an 86% maximum hydrogen yield at 450 degrees C. On the other hand, the maximum syngas yield by a pack-bed-type catalyst could be attained by using a more than fivefold Rh amount compared to that used in the structured Rh/Al2O3/Al catalyst.

  1. Atomic layer deposition of high-density Pt nanodots on Al2O3 film using (MeCp)Pt(Me)3 and O2 precursors for nonvolatile memory applications

    PubMed Central

    2013-01-01

    Pt nanodots have been grown on Al2O3 film via atomic layer deposition (ALD) using (MeCp)Pt(Me)3 and O2 precursors. Influence of the substrate temperature, pulse time of (MeCp)Pt(Me)3, and deposition cycles on ALD Pt has been studied comprehensively by scanning electron microscopy, transmission electron microscopy, and X-ray photoelectron spectroscopy. Therefore, Pt nanodots with a high density of approximately 2 × 1012 cm-2 have been achieved under optimized conditions: 300°C substrate temperature, 1 s pulse time of (MeCp)Pt(Me)3, and 70 deposition cycles. Further, metal-oxide-semiconductor capacitors with Pt nanodots embedded in ALD Al2O3 dielectric have been fabricated and characterized electrically, indicating noticeable electron trapping capacity, efficient programmable and erasable characteristics, and good charge retention. PMID:23413837

  2. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    PubMed Central

    Guo, Jing; Valdesueiro, David; Yuan, Shaojun; Liang, Bin; van Ommen, J. Ruud

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development. PMID:29364840

  3. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  4. Electrical and photo-electrical properties of MoS2 nanosheets with and without an Al2O3 capping layer under various environmental conditions.

    PubMed

    Khan, Muhammad Farooq; Nazir, Ghazanfar; Lermolenko, Volodymyr M; Eom, Jonghwa

    2016-01-01

    The electrical and photo-electrical properties of exfoliated MoS 2 were investigated in the dark and in the presence of deep ultraviolet (DUV) light under various environmental conditions (vacuum, N 2 gas, air, and O 2 gas). We examined the effects of environmental gases on MoS 2 flakes in the dark and after DUV illumination through Raman spectroscopy and found that DUV light induced red and blue shifts of peaks (E 1 2 g and A 1 g ) position in the presence of N 2 and O 2 gases, respectively. In the dark, the threshold voltage in the transfer characteristics of few-layer (FL) MoS 2 field-effect transistors (FETs) remained almost the same in vacuum and N 2 gas but shifted toward positive gate voltages in air or O 2 gas because of the adsorption of oxygen atoms/molecules on the MoS 2 surface. We analyzed light detection parameters such as responsivity, detectivity, external quantum efficiency, linear dynamic range, and relaxation time to characterize the photoresponse behavior of FL-MoS 2 FETs under various environmental conditions. All parameters were improved in their performances in N 2 gas, but deteriorated in O 2 gas environment. The photocurrent decayed with a large time constant in N 2 gas, but decayed with a small time constant in O 2 gas. We also investigated the characteristics of the devices after passivating by Al 2 O 3 film on the MoS 2 surface. The devices became almost hysteresis-free in the transfer characteristics and stable with improved mobility. Given its outstanding performance under DUV light, the passivated device may be potentially used for applications in MoS 2 -based integrated optoelectronic circuits, light sensing devices, and solar cells.

  5. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk; Takayama, Osamu; Lavrinenko, Andrei V.

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively withoutmore » any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.« less

  6. Simultaneous protection of organic p- and n-channels in complementary inverter from aging and bias-stress by DNA-base guanine/Al2O3 double layer.

    PubMed

    Lee, Junyeong; Hwang, Hyuncheol; Min, Sung-Wook; Shin, Jae Min; Kim, Jin Sung; Jeon, Pyo Jin; Lee, Hee Sung; Im, Seongil

    2015-01-28

    Although organic field-effect transistors (OFETs) have various advantages of lightweight, low-cost, mechanical flexibility, and nowadays even higher mobility than amorphous Si-based FET, stability issue under bias and ambient condition critically hinder its practical application. One of the most detrimental effects on organic layer comes from penetrated atmospheric species such as oxygen and water. To solve such degradation problems, several molecular engineering tactics are introduced: forming a kinetic barrier, lowering the level of molecule orbitals, and increasing the band gap. However, direct passivation of organic channels, the most promising strategy, has not been reported as often as other methods. Here, we resolved the ambient stability issues of p-type (heptazole)/or n-type (PTCDI-C13) OFETs and their bias-stability issues at once, using DNA-base small molecule guanine (C5H5N5O)/Al2O3 bilayer. The guanine protects the organic channels as buffer/and H getter layer between the channels and capping Al2O3, whereas the oxide capping resists ambient molecules. As a result, both p-type and n-type OFETs are simultaneously protected from gate-bias stress and 30 days-long ambient aging, finally demonstrating a highly stable, high-gain complementary-type logic inverter.

  7. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  8. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  9. Nitrided SrTiO3 as charge-trapping layer for nonvolatile memory applications

    NASA Astrophysics Data System (ADS)

    Huang, X. D.; Lai, P. T.; Liu, L.; Xu, J. P.

    2011-06-01

    Charge-trapping characteristics of SrTiO3 with and without nitrogen incorporation were investigated based on Al/Al2O3/SrTiO3/SiO2/Si (MONOS) capacitors. A Ti-silicate interlayer at the SrTiO3/SiO2 interface was confirmed by x-ray photoelectron spectroscopy and transmission electron microscopy. Compared with the MONOS capacitor with SrTiO3 as charge-trapping layer (CTL), the one with nitrided SrTiO3 showed a larger memory window (8.4 V at ±10 V sweeping voltage), higher P/E speeds (1.8 V at 1 ms +8 V) and better retention properties (charge loss of 38% after 104 s), due to the nitrided SrTiO3 film exhibiting higher dielectric constant, higher deep-level traps induced by nitrogen incorporation, and suppressed formation of Ti silicate between the CTL and SiO2 by nitrogen passivation.

  10. Bimetallic Pt-Au Nanocatalysts on ZnO/Al2O3/Monolith for Air Pollution Control.

    PubMed

    Kim, Ki-Joong; Ahn, Ho-Geun

    2015-08-01

    The catalytic activity of a monolithic catalyst with nanosized Pt and Au particles on ZnO/Al2O3 (Pt-Au/ZnO/Al2O3/M) prepared by a wash-coat method was examined, specifically for toluene oxidation. Scanning electron microscopy image showed clearly the formation of a ZnO/Al2O3 layer on the monolith. Nanosized Pt-Au particles on ZnO/Al2O3/M with different sizes could be found in the Pt-Au/ZnO/Al2O3/M catalyst. The conversion of toluene decreased with increasing toluene concentration and was also largely affected by the feed flow rate. The Pt-Au/ZnO/Al2O3/M catalysts prepared in this work have almost the same activity (molecules of toluene per second) compared with a powder Pt-Au/ZnO/Al2O3 catalyst with the same loadings of Pt and Au components; thus this catalyst could be used in controlling air pollution with very low concentrations and high flow rate.

  11. Continuous-wave and Q-switched microchip laser performance of Yb:Y3Sc2Al3O12 crystals.

    PubMed

    Dong, Jun; Ueda, Ken-ichi; Kaminskii, Alexander A

    2008-04-14

    Optical properties of Yb:Y(3)Sc(2)Al(3)O(12) crystal were investigated and compared with those from Yb:YAG crystals. The broad absorption and emission spectra of Yb:Y(3)Sc(2)Al(3)O(12) show that this crystal is very suitable for laser-diode pumping and ultrafast laser pulse generation. Laser-diode pumped continuous-wave and passively Q-switched Yb:Y(3)Sc(2)Al(3)O(12) lasers with Cr(4+):YAG crystals as saturable absorber have been demonstrated for the first time. Continuous-wave output power of 1.12 W around 1032 nm (multi-longitudinal modes) was measured with an optical-to-optical efficiency of 30%. Laser pulses with pulse energy of over 31 microJ and pulse width of 2.5 ns were measured at repetition rate of over 12.7 kHz; a corresponding peak power of over 12 kW was obtained. The longitudinal mode selection by a thin plate of Cr(4+):YAG as an intracavity etalon was also observed in passively Q-switched Yb:Y(3)Sc(2)Al(2)O(12) microchip lasers.

  12. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  13. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  14. Thermoelectric Properties and Microstructure of Ca3 Co 4 O 9 thin films on SrTiO3 and Al2 O 3 Substrates

    NASA Astrophysics Data System (ADS)

    Paulauskas, T.; Qiao, Q.; Gulec, A.; Klie, R. F.; Ozdemir, M.; Boyraz, C.; Mazumdar, D.; Gupta, A.

    2011-03-01

    Ca 3 Co 4 O9 (CCO), a misfit layered structure exhibiting large Seebeck coefficient at temperatures up to 1000K has attracted increasing attention as a novel high-temperature thermoelectric material. In this work, we investigate CCO thin films grown on SrTi O3 (001) and Al 2 O3 (0001) using pulsed laser deposition. Quality of the thin films was examined using high-resolution transmission electron microscopy and thermoelectric transport measurements. HRTEM images show incommensurate stacks of Cd I2 -type Co O2 layer alternating with rock-salt-type Ca 2 Co O3 layer along the c-axis. Perovskite buffer layer about 10nm thick was found present between CCO and SrTi O3 accompanied by higher density of stacking faults. The CCO grown on Al 2 O3 exhibited numerous misoriented grains and presence of Ca x Co O2 phase. Seebeck coefficient measurements yield an improvement for both samples compared to the bulk value. We suggest that thermoelectric properties of CCO increase due to additional phonon scattering at the stacking faults as well as at the film surfaces/interfaces. This research was supported by the US Army Research Office (W911NF-10-1-0147) and the Sivananthan Undergraduate Research Fellowship.

  15. Highly Repeatable and Recoverable Phototransistors Based on Multifunctional Channels of Photoactive CdS, Fast Charge Transporting ZnO, and Chemically Durable Al2O3 Layers.

    PubMed

    Ahn, Cheol Hyoun; Kang, Won Jun; Kim, Ye Kyun; Yun, Myeong Gu; Cho, Hyung Koun

    2016-06-22

    Highly repeatable and recoverable phototransistors were explored using a "multifunctional channels" structure with multistacked chalcogenide and oxide semiconductors. These devices were made of (i) photoactive CdS (with a visible band gap), (ii) fast charge transporting ZnO (with a high field-effect mobility), and (iii) a protection layer of Al2O3 (with high chemical durability). The CdS TFT without the Al2O3 protection layer did not show a transfer curve due to the chemical damage that occurred on the ZnO layer during the chemical bath deposition (CBD) process used for CdS deposition. Alternatively, compared to CdS phototransistors with long recovery time and high hysteresis (ΔVth = 19.5 V), our "multi-functional channels" phototransistors showed an extremely low hysteresis loop (ΔVth = 0.5V) and superior photosensitivity with repeatable high photoresponsivity (52.9 A/W at 400 nm). These improvements are likely caused by the physical isolation of the sensing region and charge transport region by the insertion of the ultrathin Al2O3 layer. This approach successfully addresses some of the existing problems in CdS phototransistors, such as the high gate-interface trap site density and high absorption of molecular oxygen, which originate from the polycrystalline CdS.

  16. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  17. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  18. Impacts of Annealing Conditions on the Flat Band Voltage of Alternate La2O3/Al2O3 Multilayer Stack Structures.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2016-12-01

    The mechanism of flat band voltage (VFB) shift for alternate La2O3/Al2O3 multilayer stack structures in different annealing condition is investigated. The samples were prepared for alternate multilayer structures, which were annealed in different conditions. The capacitance-voltage (C-V) measuring results indicate that the VFB of samples shift negatively for thinner bottom Al2O3 layer, increasing annealing temperature or longer annealing duration. Simultaneously, the diffusion of high-k material to interfaces in different multilayer structures and annealing conditions is observed by X-ray photoelectron spectroscopy (XPS). Based on the dipole theory, a correlation between the diffusion effect of La towards bottom Al2O3/Si interface and VFB shift is found. Without changing the dielectric constant k of films, VFB shift can be manipulated by controlling the single-layer cycles and annealing conditions of alternate high-k multilayer stack.

  19. Novel Layered Supercell Structure from Bi 2AlMnO 6 for Multifunctionalities

    DOE PAGES

    Li, Leigang; Boullay, Philippe; Lu, Ping; ...

    2017-10-02

    Layered materials, e.g., graphene and transition metal (di)chalcogenides, holding great promises in nanoscale device applications have been extensively studied in fundamental chemistry, solid state physics and materials research areas. In parallel, layered oxides (e.g., Aurivillius and Ruddlesden–Popper phases) present an attractive class of materials both because of their rich physics behind and potential device applications. In this work, we report a novel layered oxide material with self-assembled layered supercell structure consisting of two mismatch-layered sublattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M = Al/Mn, simply named BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made ofmore » a three-layer-thick Bi–O slab and a one-layer-thick Al/Mn–O octahedra slab in the out-of-plane direction. Strong room-temperature ferromagnetic and piezoelectric responses as well as anisotropic optical property have been demonstrated with great potentials in various device applications. Furthermore, the realization of the novel BAMO layered supercell structure in this work has paved an avenue toward exploring and designing new materials with multifunctionalities.« less

  20. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  1. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  2. Distinctive electrical properties in sandwich-structured Al2O3/low density polyethylene nanocomposites

    NASA Astrophysics Data System (ADS)

    Wang, Si-Jiao; Zha, Jun-Wei; Li, Wei-Kang; Dang, Zhi-Min

    2016-02-01

    The sandwich-structured Al2O3/low density polyethylene (Al2O3/LDPE) nanocomposite dielectrics consisting of layer-by-layer with different concentration Al2O3 loading were prepared by melt-blending and following hot pressing method. The space charge distribution from pulsed electro-acoustic method and breakdown strength of the nanocomposites were investigated. Compared with the single-layer Al2O3/LDPE nanocomposites, the sandwich-structured nanocomposites remarkably suppressed the space charge accumulation and presented higher breakdown strength. The charges in the sandwich-structured nanocomposites decayed much faster than that in the single-layer nanocomposites, which was attributed to an effective electric field caused by the formation of the interfacial space charges. The energy depth of shallow and deep traps was estimated as 0.73 eV and 1.17 eV in the sandwich-structured nanocomposites, respectively, according to the thermal excitation theoretical model we proposed. This work provides an attractive strategy of design and fabrication of polymer nanocomposites with excellent space charge suppression.

  3. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  4. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    PubMed

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  5. Retention of Electronic Conductivity in LaAlO3/SrTiO3 Nanostructures Using a SrCuO2 Capping Layer

    NASA Astrophysics Data System (ADS)

    Aurino, P. P.; Kalabukhov, A.; Borgani, R.; Haviland, D. B.; Bauch, T.; Lombardi, F.; Claeson, T.; Winkler, D.

    2016-08-01

    The interface between two wide band-gap insulators, LaAlO3 and SrTiO3 (LAO/STO) offers a unique playground to study the interplay and competitions between different ordering phenomena in a strongly correlated two-dimensional electron gas. Recent studies of the LAO/STO interface reveal the inhomogeneous nature of the 2DEG that strongly influences electrical-transport properties. Nanowires needed in future applications may be adversely affected, and our aim is, thus, to produce a more homogeneous electron gas. In this work, we demonstrate that nanostructures fabricated in the quasi-2DEG at the LaAlO3/SrTiO3 interface, capped with a SrCuO2 layer, retain their electrical resistivity and mobility independent of the structure size, ranging from 100 nm to 30 μ m . This is in contrast to noncapped LAO/STO structures, where the room-temperature electrical resistivity significantly increases when the structure size becomes smaller than 1 μ m . High-resolution intermodulation electrostatic force microscopy reveals an inhomogeneous surface potential with "puddles" of a characteristic size of 130 nm in the noncapped samples and a more uniform surface potential with a larger characteristic size of the puddles in the capped samples. In addition, capped structures show superconductivity below 200 mK and nonlinear current-voltage characteristics with a clear critical current observed up to 700 mK. Our findings shed light on the complicated nature of the 2DEG at the LAO/STO interface and may also be used for the design of electronic devices.

  6. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al{sub 2}O{sub 3}/Ge structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shibayama, Shigehisa; JSPS, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083; Kato, Kimihiko

    2013-08-19

    The reaction mechanisms at Al{sub 2}O{sub 3}/Ge interfaces with thermal oxidation through the Al{sub 2}O{sub 3} layer have been investigated. X-ray photoelectron spectroscopy reveals that an Al{sub 6}Ge{sub 2}O{sub 13} layer is formed near the interface, and a GeO{sub 2} layer is formed on the Al{sub 2}O{sub 3} surface, suggesting Ge or GeO diffusion from the Ge surface. It is also clarified that the Al{sub 6}Ge{sub 2}O{sub 13} layer is formed by the different mechanism with a small activation energy of 0.2 eV, compared with the GeO{sub 2} formation limited by oxygen diffusion. Formation of Al-O-Ge bonds due to themore » AlGeO formation could lead appropriate interface structures with high interface qualities.« less

  7. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  8. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  9. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  10. Formation of gamma(sup prime)-Ni3Al via the Peritectoid Reaction: gamma + beta (+ Al2O3)=gamma(sup prime)(+ Al2O3)

    NASA Technical Reports Server (NTRS)

    Copeland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8-32 at.%Al and temperature range T=1400-1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma(sup prime)-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3)=gamma + Beta(+ Al2O3), at 1640 +/- 1 K and a liquid composition of 24.8 +/- 0.2 at.%al (at an unknown oxygen content). The {gamma + Beta (+Al2O3} phase field is stable over the temperature range 1633-1640 K, and gamma(sup prime)-Ni3Al forms via the peritectoid, gamma + Beta (+ Al2O3)=gamma(sup prime) (+ Al2O3), at 1633 +/- 1 K. This behavior is consistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady-state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma(sup prime)-Ni2Al phase field.

  11. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  12. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian, E-mail: snove418562@163.com; Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081; Fan, Xi’an, E-mail: groupfxa@163.com

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{submore » 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.« less

  13. Effect of Li2O/Al cathode in Alq3 based organic light-emitting diodes.

    PubMed

    Shin, Eun Chul; Ahn, Hui Chul; Han, Wone Keun; Kim, Tae Wan; Lee, Won Jae; Hong, Jin Woong; Chung, Dong Hoe; Song, Min Jong

    2008-09-01

    An effect of bilayer cathode Li20/Al was studied in Alq3 based organic light-emitting diodes with a variation of Li2O layer thickness. The current-luminance-voltage characteristics of ITO/TPD/Alq3/Li2O/Al device were measured at ambient condition to investigate the effect of Li2O/Al. It was found that when the thickness of Li2O layer is in the range of 0.5-1 nm, there are improvements in luminance, efficiency, and turn-on voltage of the device. A current density and a luminance are increased by about 100 times, a turn-on voltage is lowered from 6 V to 3 V, a maximum current efficiency is improved by a factor of 2.3, and a maximum power efficiency is improved by a factor of 3.2 for a device with a use of thin Li2O layer compared to those of the one without the Li2Otron-barrier height for electron injection from the cathode to the emissive layer.

  14. Synthesis and properties of γ-Ga2O3-Al2O3 solid solutions

    NASA Astrophysics Data System (ADS)

    Afonasenko, T. N.; Leont'eva, N. N.; Talzi, V. P.; Smirnova, N. S.; Savel'eva, G. G.; Shilova, A. V.; Tsyrul'nikov, P. G.

    2017-10-01

    The textural and structural properties of mixed oxides Ga2O3-Al2O3, obtained via impregnating γ-Al2O3 with a solution of Ga(NO3)3 and subsequent heat treatment, are studied. According to the results from X-ray powder diffraction, gallium ions are incorporated into the structure of aluminum oxide to form a solid solution of spinel-type γ-Ga2O3-Al2O3 up to a Ga2O3 content of 50 wt % of the total weight of the sample, accompanied by a reduction in the specific surface area, volume, and average pore diameter. It is concluded that when the Ga2O3 content exceeds 50 wt %, the β-Ga2O3 phase is observed along with γ-Ga2O3-Al2O3 solid solution. 71Ga and 27Al NMR spectroscopy shows that gallium replaces aluminum atoms from the tetrahedral position to the octahedral coordination in the structure of γ-Ga2O3-Al2O3.

  15. Electrical conductivity optimization of the Na3AlF6-Al2O3-Sm2O3 molten salts system for Al-Sm intermediate binary alloy production

    NASA Astrophysics Data System (ADS)

    Liao, Chun-fa; Jiao, Yun-fen; Wang, Xu; Cai, Bo-qing; Sun, Qiang-chao; Tang, Hao

    2017-09-01

    Metal Sm has been widely used in making Al-Sm magnet alloy materials. Conventional distillation technology to produce Sm has the disadvantages of low productivity, high costs, and pollution generation. The objective of this study was to develop a molten salt electrolyte system to produce Al-Sm alloy directly, with focus on the electrical conductivity and optimal operating conditions to minimize the energy consumption. The continuously varying cell constant (CVCC) technique was used to measure the conductivity for the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 electrolysis medium in the temperature range from 905 to 1055°C. The temperature ( t) and the addition of Al2O3 ( W(Al2O3)), Sm2O3 ( W(Sm2O3)), and a combination of Al2O3 and Sm2O3 into the basic fluoride system were examined with respect to their effects on the conductivity ( κ) and activation energy. The experimental results showed that the molten electrolyte conductivity increases with increasing temperature ( t) and decreases with the addition of Al2O3 or Sm2O3 or both. We concluded that the optimal operation conditions for Al-Sm intermediate alloy production in the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 system are W(Al2O3) + W(Sm2O3) = 3wt%, W(Al2O3): W(Sm2O3) = 7:3, and a temperature of 965 to 995°C, which results in satisfactory conductivity, low fluoride evaporation losses, and low energy consumption.

  16. Tribological Properties of AlSi12-Al2O3 Interpenetrating Composite Layers in Comparison with Unreinforced Matrix Alloy

    PubMed Central

    Dolata, Anna Janina

    2017-01-01

    Alumina–Aluminum composites with interpenetrating network structures are a new class of advanced materials with potentially better properties than composites reinforced by particles or fibers. Local casting reinforcement was proposed to take into account problems with the machinability of this type of materials and the shaping of the finished products. The centrifugal infiltration process fabricated composite castings in the form of locally reinforced shafts. The main objective of the research presented in this work was to compare the tribological properties (friction coefficient, wear resistance) of AlSi12/Al2O3 interpenetrating composite layers with unreinforced AlSi12 matrix areas. Profilometric tests enabled both quantitative and qualitative analyses of the wear trace that formed on investigated surfaces. It has been shown that interpenetrating composite layers are characterized by lower and more stable coefficients of friction (μ), as well as higher wear resistance than unreinforced matrix areas. At the present stage, the study confirmed that the tribological properties of the composite layers depend on the spatial structure of the ceramic reinforcement, and primarily the volume and size of alumina foam cells. PMID:28878162

  17. Analysis of Al2O3 Nanostructure Using Scanning Microscopy

    PubMed Central

    Kubica, Marek; Bara, Marek

    2018-01-01

    It has been reported that the size and shape of the pores depend on the structure of the base metal, the type of electrolyte, and the conditions of the anodizing process. The paper presents thin Al2O3 oxide layer formed under hard anodizing conditions on a plate made of EN AW-5251 aluminum alloy. The oxidation of the ceramic layer was carried out for 40–80 minutes in a three-component SAS electrolyte (aqueous solution of acids: sulphuric 33 ml/l, adipic 67 g/l, and oxalic 30 g/l) at a temperature of 293–313 K, and the current density was 200–400 A/m2. Presented images were taken by a scanning microscope. A computer analysis of the binary images of layers showed different shapes of pores. The structure of ceramic Al2O3 layers is one of the main factors determining mechanical properties. The resistance to wear of specimen-oxide coating layer depends on porosity, morphology, and roughness of the ceramic layer surface. A 3D oxide coating model, based on the computer analysis of images from a scanning electron microscope (Philips XL 30 ESEM/EDAX), was proposed. PMID:29861823

  18. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    NASA Astrophysics Data System (ADS)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  19. Three-dimensionally Ordered Macroporous Structure Enabled Nanothermite Membrane of Mn2O3/Al

    PubMed Central

    Zheng, Guoqiang; Zhang, Wenchao; Shen, Ruiqi; Ye, Jiahai; Qin, Zhichun; Chao, Yimin

    2016-01-01

    Mn2O3 has been selected to realize nanothermite membrane for the first time in the literature. Mn2O3/Al nanothermite has been synthesized by magnetron sputtering a layer of Al film onto three-dimensionally ordered macroporous (3DOM) Mn2O3 skeleton. The energy release is significantly enhanced owing to the unusual 3DOM structure, which ensures Al and Mn2O3 to integrate compactly in nanoscale and greatly increase effective contact area. The morphology and DSC curve of the nanothermite membrane have been investigated at various aluminizing times. At the optimized aluminizing time of 30 min, energy release reaches a maximum of 2.09 kJ∙g−1, where the Al layer thickness plays a decisive role in the total energy release. This method possesses advantages of high compatibility with MEMS and can be applied to other nanothermite systems easily, which will make great contribution to little-known nanothermite research. PMID:26935405

  20. Structure and magnetic properties of FeSiAl-based soft magnetic composite with AlN and Al2O3 insulating layer prepared by selective nitridation and oxidation

    NASA Astrophysics Data System (ADS)

    Zhong, Xiaoxi; Liu, Ying; Li, Jun; Wang, Yiwei

    2012-08-01

    FeSiAl is widely used in switching power supply, filter inductors and pulse transformers. But when used under higher frequencies in some particular condition, it is required to reduce its high-frequency loss. Preparing a homogeneous insulating coating with good heat resistance and high resistivity, such as AlN and Al2O3, is supposed to be an effective way to reduce eddy current loss, which is less focused on. In this project, mixed AlN and Al2O3 insulating layers were prepared on the surface of FeSiAl powders after 30 min exposure at 1100 °C in high purity nitrogen atmosphere, by means of surface nitridation and oxidation. The results revealed that the insulating layers increase the electrical resistivity, and hence decrease the loss factor, improve the frequency stability and increase the quality factor, especially in the high-frequency range. The morphologies, microstructure and compositions of the oxidized and nitrided products on the surface were characterized by Scanning Electron Microscopy/Energy Disperse Spectroscopy, X-Ray Diffraction, Transmission Electron Microscopy, Selected Area Electron Diffraction and X-ray Photoelectron Spectroscopy.

  1. Enhanced photoelectrochemical water splitting performance of anodic TiO(2) nanotube arrays by surface passivation.

    PubMed

    Gui, Qunfang; Xu, Zhen; Zhang, Haifeng; Cheng, Chuanwei; Zhu, Xufei; Yin, Min; Song, Ye; Lu, Linfeng; Chen, Xiaoyuan; Li, Dongdong

    2014-10-08

    One-dimensional anodic titanium oxide nanotube (TONT) arrays provide a direct pathway for charge transport, and thus hold great potential as working electrodes for electrochemical energy conversion and storage devices. However, the prominent surface recombination due to the large amount surface defects hinders the performance improvement. In this work, the surface states of TONTs were passivated by conformal coating of high-quality Al2O3 onto the tubular structures using atomic layer deposition (ALD). The modified TONT films were subsequently employed as anodes for photoelectrochemical (PEC) water splitting. The photocurrent (0.5 V vs Ag/AgCl) recorded under air mass 1.5 global illumination presented 0.8 times enhancement on the electrode with passivation coating. The reduction of surface recombination rate is responsible for the substantially improved performance, which is proposed to have originated from a decreased interface defect density in combination with a field-effect passivation induced by a negative fixed charge in the Al2O3 shells. These results not only provide a physical insight into the passivation effect, but also can be utilized as a guideline to design other energy conversion devices.

  2. Disorder induced gap states as a cause of threshold voltage instabilities in Al2O3/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Matys, M.; Kaneki, S.; Nishiguchi, K.; Adamowicz, B.; Hashizume, T.

    2017-12-01

    We proposed that the disorder induced gap states (DIGS) can be responsible for the threshold voltage (Vth) instability in Al2O3/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors. In order to verify this hypothesis, we performed the theoretical calculations of the capacitance voltage (C-V) curves for the Al2O3/AlGaN/GaN structures using the DIGS model and compared them with measured ones. We found that the experimental C-V curves with a complex hysteresis behavior varied with the maximum forward bias and the sweeping rate can be well reproduced theoretically by assuming a particular distribution in energy and space of the DIGS continuum near the Al2O3/AlGaN interface, i.e., a U-shaped energy density distribution and exponential depth decay from the interface into Al2O3 layer (up to 4 nm), as well as suitable DIGS capture cross sections (the order of magnitude of 10-15 cm2). Finally, we showed that the DIGS model can also explain the negative bias induced threshold voltage instability. We believe that these results should be critical for the successful development of the passivation techniques, which allows to minimize the Vth instability related effects.

  3. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  4. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  5. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  6. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  7. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  8. Structural and mechanical characterization of Al/Al2O3 nanotube thin film on TiV alloy

    NASA Astrophysics Data System (ADS)

    Sarraf, M.; Zalnezhad, E.; Bushroa, A. R.; Hamouda, A. M. S.; Baradaran, S.; Nasiri-Tabrizi, B.; Rafieerad, A. R.

    2014-12-01

    In this study, the fabrication and characterization of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate were carried out. To this end, aluminum thin films were deposited as a first coating layer by direct current (DC) magnetron sputtering with the coating conditions of 300 W, 150 °C and 75 V substrate bias voltage. Al2O3 nanotube array as a second layer was grown on the Al layer by electrochemical anodisation at the constant potential of 20 V within different time periods in an electrolyte solution. For annealing the coated substrates, plasma treatment (PT) technique was utilized under various conditions to get the best adhesion strength of coating to the substrate. To characterize the coating layers, micro scratch test, Vickers hardness and field emission of scanning electron microscopy (FESEM) were used. Results show that after the deposition of pure aluminum on the substrate the scratch length, load and failure point were 794.37 μm, 1100 mN and 411.43 μm, respectively. After PT, the best adhesion strength (2038 mN) was obtained at RF power of 60 W. With the increase of the RF power up to 80 W, a reduction in adhesion strength was observed (1525.22 mN). From the microstructural point of view, a homogenous porous structure with an average pore size of 40-60 nm was formed after the anodisation for 10-45 min. During PT, the porous structure was converted to dense alumina layer when the RF power rose from 40 to 80 W. This led to an increase in hardness value from 2.7 to 3.4 GPa. Based on the obtained data, the RF power of 60 W was the optimum condition for plasma treatment of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate.

  9. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  10. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  11. Effect of Al and Mg Contents on Wettability and Reactivity of Molten Zn-Al-Mg Alloys on Steel Sheets Covered with MnO and SiO2 Layers

    NASA Astrophysics Data System (ADS)

    Huh, Joo-Youl; Hwang, Min-Je; Shim, Seung-Woo; Kim, Tae-Chul; Kim, Jong-Sang

    2018-05-01

    The reactive wetting behaviors of molten Zn-Al-Mg alloys on MnO- and amorphous (a-) SiO2-covered steel sheets were investigated by the sessile drop method, as a function of the Al and Mg contents in the alloys. The sessile drop tests were carried out at 460 °C and the variation in the contact angles (θc) of alloys containing 0.2-2.5 wt% Al and 0-3.0 wt% Mg was monitored for 20 s. For all the alloys, the MnO-covered steel substrate exhibited reactive wetting whereas the a-SiO2-covered steel exhibited nonreactive, nonwetting (θc > 90°) behavior. The MnO layer was rapidly removed by Al and Mg contained in the alloys. The wetting of the MnO-covered steel sheet significantly improved upon increasing the Mg content but decreased upon increasing the Al content, indicating that the surface tension of the alloy droplet is the main factor controlling its wettability. Although the reactions of Al and Mg in molten alloys with the a-SiO2 layer were found to be sluggish, the wettability of Zn-Al-Mg alloys on the a-SiO2 layer improved upon increasing the Al and Mg contents. These results suggest that the wetting of advanced high-strength steel sheets, the surface oxide layer of which consists of a mixture of MnO and SiO2, with Zn-Al-Mg alloys could be most effectively improved by increasing the Mg content of the alloys.

  12. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  13. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  14. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  15. Evaluation to the effect of B2O3-La2O3-SrO-Na2O-Al2O3 bonding agent on Ti6Al4V-porcelain bonding.

    PubMed

    Zhao, C Q; Wu, S Q; Lu, Y J; Gan, Y L; Guo, S; Lin, J J; Huang, T T; Lin, J X

    2016-10-01

    Low-fusing bonding agents have been widely applied in Ti-ceramics restorations. As an important category, borate bonding agents have great potentials in increasing Ti-porcelain bonding. The purpose of this study is to evaluate the effect of borate bonding agent with addition of Na2O and Al2O3 on Ti6Al4V-porcelain bonding. The thermal properties of borate bonding agent, such as glass transition temperature (Tg) and crystallization peak temperature (Tp), were investigated to establish the sintering process. And the coefficient of thermal expansion (CTE) was to evaluate the matching effect of porcelain to Ti6Al4V. The bond strength was analyzed by the three point bending test. The microscopic morphology of the borate bonding agent surface after sintering, the interface of Ti-borate bonding agent-porcelain, and the fracture mode after porcelains fracture, were studied to assess the influence of borate bonding agent on Ti6Al4V-ceramics. With the addition of Na2O and Al2O3, the porcelain residues were observed increased indication on the Ti6Al4V surface after porcelain fracture and the bond strength was acquired the maximum (49.45MPa) in the bonding agent composition of 75.70B2O3-5.92La2O3-11.84SrO-4.67Na2O-1.87Al2O3. Those results suggest that borate bonding agent is an effective way to improve the Ti6Al4V-ceramics bond strength. And the addition of Na2O and Al2O3 strengthen this effect. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  17. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    NASA Astrophysics Data System (ADS)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  18. Enhanced Light Stability of InGaZnO Thin-Film Transistors by Atomic-Layer-Deposited Y2O3 with Ozone.

    PubMed

    Jung, Hanearl; Kim, Woo-Hee; Park, Bo-Eun; Woo, Whang Je; Oh, Il-Kwon; Lee, Su Jeong; Kim, Yun Cheol; Myoung, Jae-Min; Gatineau, Satoko; Dussarrat, Christian; Kim, Hyungjun

    2018-01-17

    We report the effect of Y 2 O 3 passivation by atomic layer deposition (ALD) using various oxidants, such as H 2 O, O 2 plasma, and O 3 , on In-Ga-Zn-O thin-film transistors (IGZO TFTs). A large negative shift in the threshold voltage (V th ) was observed in the case of the TFT subjected to the H 2 O-ALD Y 2 O 3 process; this shift was caused by a donor effect of negatively charged chemisorbed H 2 O molecules. In addition, degradation of the IGZO TFT device performance after the O 2 plasma-ALD Y 2 O 3 process (field-effect mobility (μ) = 8.7 cm 2 /(V·s), subthreshold swing (SS) = 0.77 V/dec, and V th = 3.7 V) was observed, which was attributed to plasma damage on the IGZO surface adversely affecting the stability of the TFT under light illumination. In contrast, the O 3 -ALD Y 2 O 3 process led to enhanced device stability under light illumination (ΔV th = -1 V after 3 h of illumination) by passivating the subgap defect states in the IGZO surface region. In addition, TFTs with a thicker IGZO film (55 nm, which was the optimum thickness under the current investigation) showed more stable device performance than TFTs with a thinner IGZO film (30 nm) (ΔV th = -0.4 V after 3 h of light illumination) by triggering the recombination of holes diffusing from the IGZO surface to the insulator-channel interface. Therefore, we envisioned that the O 3 -ALD Y 2 O 3 passivation layer suggested in this paper can improve the photostability of TFTs under light illumination.

  19. Cu(In,Ga)Se2 Solar Cells with Amorphous In2O3-Based Front Contact Layers.

    PubMed

    Koida, Takashi; Ueno, Yuko; Nishinaga, Jiro; Higuchi, Hirohumi; Takahashi, Hideki; Iioka, Masayuki; Shibata, Hajime; Niki, Shigeru

    2017-09-06

    Amorphous (a-) In 2 O 3 -based front contact layers composed of transparent conducting oxide (TCO) and transparent oxide semiconductor (TOS) layers were proved to be effective in enhancing the short-circuit current density (J sc ) of Cu(In,Ga)Se 2 (CIGS) solar cells with a glass/Mo/CIGS/CdS/TOS/TCO structure, while maintaining high fill factor (FF) and open-circuit voltage (V oc ). An n-type a-In-Ga-Zn-O layer was introduced between the CdS and TCO layers. Unlike unintentionally doped ZnO broadly used as TOS layers in CIGS solar cells, the grain-boundary(GB)-free amorphous structure of the a-In-Ga-Zn-O layers allowed high electron mobility with superior control over the carrier density (N). High FF and V oc values were achieved in solar cells containing a-In-Ga-Zn-O layers with N values broadly ranging from 2 × 10 15 to 3 × 10 18 cm -3 . The decrease in FF and V oc produced by the electronic inhomogeneity of solar cells was mitigated by controlling the series resistance within the TOS layer of CIGS solar cells. In addition, a-In 2 O 3 :H and a-In-Zn-O layers exhibited higher electron mobilities than the ZnO:Al layers conventionally used as TCO layers in CIGS solar cells. The In 2 O 3 -based layers exhibited lower free carrier absorption while maintaining similar sheet resistance than ZnO:Al. The TCO and TOS materials and their combinations did not significantly change the V oc of the CIGS solar cells and the mini-modules.

  20. Physical and electrical characteristics of Si/SiC quantum dot superlattice solar cells with passivation layer of aluminum oxide

    NASA Astrophysics Data System (ADS)

    Tsai, Yi-Chia; Li, Yiming; Samukawa, Seiji

    2017-12-01

    In this work, we numerically simulate the silicon (Si)/silicon carbide (SiC) quantum dot superlattice solar cell (SiC-QDSL) with aluminum oxide (Al2O3-QDSL) passivation. By exploiting the passivation layer of Al2O3, the high photocurrent and the conversion efficiency can be achieved without losing the effective bandgap. Based on the two-photon transition mechanism in an AM1.5 and a one sun illumination, the simulated short-circuit current (J sc) of 4.77 mA cm-2 is very close to the experimentally measured 4.75 mA cm-2, which is higher than those of conventional SiC-QDSLs. Moreover, the efficiency fluctuation caused by the structural variation is less sensitive by using the passivation layer. A high conversion efficiency of 17.4% is thus estimated by adopting the QD’s geometry used in the experiment; and, it can be further boosted by applying a hexagonal QD formation with an inter-dot spacing of 0.3 nm.

  1. Physical and electrical characteristics of Si/SiC quantum dot superlattice solar cells with passivation layer of aluminum oxide.

    PubMed

    Tsai, Yi-Chia; Li, Yiming; Samukawa, Seiji

    2017-12-01

    In this work, we numerically simulate the silicon (Si)/silicon carbide (SiC) quantum dot superlattice solar cell (SiC-QDSL) with aluminum oxide (Al 2 O 3 -QDSL) passivation. By exploiting the passivation layer of Al 2 O 3 , the high photocurrent and the conversion efficiency can be achieved without losing the effective bandgap. Based on the two-photon transition mechanism in an AM1.5 and a one sun illumination, the simulated short-circuit current (J sc ) of 4.77 mA cm -2 is very close to the experimentally measured 4.75 mA cm -2 , which is higher than those of conventional SiC-QDSLs. Moreover, the efficiency fluctuation caused by the structural variation is less sensitive by using the passivation layer. A high conversion efficiency of 17.4% is thus estimated by adopting the QD's geometry used in the experiment; and, it can be further boosted by applying a hexagonal QD formation with an inter-dot spacing of 0.3 nm.

  2. Room temperature radiolytic synthesized Cu@CuAlO(2)-Al(2)O(3) nanoparticles.

    PubMed

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO(2)-Al(2)O(3) bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a (60)Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO(2)-Al(2)O(3) nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO(2)-Al(2)O(3) nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation.

  3. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma

    2014-09-22

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al{sub 2}O{sub 3}, HfO{sub 2}) and their compounds H{sub (Hf)}A{sub (Al)}O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al{sub 2}O{sub 3} film has been found to provide negative fixed charge (−6.4 × 10{sup 11 }cm{sup −2}), whereas HfO{sub 2} film provides positivemore » fixed charge (3.2 × 10{sup 12 }cm{sup −2}). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO{sub 2} film would provide better passivation properties than that of the ALD-Al{sub 2}O{sub 3} film in this research work.« less

  4. Ho3+-doped AlF3-TeO2-based glass fibers for 2.1 µm laser applications

    NASA Astrophysics Data System (ADS)

    Wang, S. B.; Jia, Z. X.; Yao, C. F.; Ohishi, Y.; Qin, G. S.; Qin, W. P.

    2017-05-01

    Ho3+-doped AlF3-TeO2-based glass fibers based on AlF3-BaF2-CaF2-YF3-SrF2-MgF2-TeO2 glasses are fabricated by using a rod-in-tube method. The glass rod including a core and a thick cladding layer is prepared by using a suction method, where the thick cladding layer is used to protect the core from the effect of surface crystallization during the fiber drawing. By inserting the glass rod into a glass tube, the glass fibers with relatively low loss (~2.3 dB m-1 @ 1560 nm) are prepared. By using a 38 cm long Ho3+-doped AlF3-TeO2-based glass fiber as the gain medium and a 1965 nm fiber laser as the pump source, 2065 nm lasing is obtained for a threshold pump power of ~220 mW. With further increasing the pump power to ~325 mW, the unsaturated output power of the 2065 nm laser is about 82 mW and the corresponding slope efficiency is up to 68.8%. The effects of the gain fiber length on the lasing threshold, the slope efficiency, and the operating wavelength are also investigated. Our experimental results show that Ho3+-doped AlF3-TeO2-based glass fibers are promising gain media for 2.1 µm laser applications.

  5. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    NASA Astrophysics Data System (ADS)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas; Fadil, Ahmed; Syväjärvi, Mikael; Petersen, Paul Michael; Ou, Haiyan

    2016-07-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface for TiO2 deposition, a three-step cleaning procedure was introduced after RIE etching. The morphology of anatase TiO2 indicates that the nano-textured substrate has a much higher surface nucleated grain density than a flat substrate at the beginning of the deposition process. The corresponding reflectance increases with TiO2 thickness due to increased surface diffuse reflection. The passivation effect of ALD TiO2 thin film on the nano-textured fluorescent 6H-SiC sample was also investigated and a PL intensity improvement of 8.05% was obtained due to the surface passivation.

  6. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  7. Modulus, strength and thermal exposure studies of FP-Al2O3/aluminum and FP-Al2O3/magnesium composites

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.

    1981-01-01

    The mechanical properties of FP-Al2O3 fiber reinforced composites prepared by liquid infiltration techniques are improved. A strengthening addition, magnesium, was incorporated with the aluminum-lithium matrix alloy usually selected for these composites because of its good wetting characteristics. This ternary composite, FP-Al2O3/Al-(2-3)Li-(3-5)Mg, showed improved transverse strength compared with FP-Al2O3/Al-(2-3)Li composites. The lower axial strengths found for the FP-Al2O3/Al-(2-3)Li-(3-5)Mg composites were attributed to fabrication related defects. Another technique was the use of Ti/B coated FP-Al2O3 fibers in the composites. This coating is readily wet by molten aluminum and permitted the use of more conventional aluminum alloys in the composites. However, the anticipated improvements in the axial and transverse strengths were not obtained due to poor bonding between the fiber coating and the matrix. A third approach studied to improve the strengths of FP-Al2O3 reinforced composites was the use of magnesium alloys as matrix materials. While these alloys wet fibers satisfactorily, the result indicated that the magnesium alloy composites used offered no axial strength or modulus advantage over FP-Al2O3/Al-(2-3)Li composites.

  8. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  9. Conductance control at the LaAlO{sub 3}/SrTiO{sub 3}-interface by a multiferroic BiFeO{sub 3} ad-layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mix, Christian; Finizio, Simone; Kläui, Mathias

    2014-06-30

    Multilayered BiFeO{sub 3} (BFO)/LaAlO{sub 3} (LAO) thin film samples were fabricated on SrTiO{sub 3} (STO) substrates by pulsed laser deposition. In this work, the ferroelectric polarization of a multiferroic BFO ad-layer on top of the quasi-two-dimensional electron gas (2DEG) at the LAO/STO interface is used to manipulate the conductivity of the quasi-2DEG. By microstructuring the conductive area of the LAO/STO-interface, a four-point geometry for the measurement of the resistivity was achieved. Piezo force microscopy allows for imaging and poling the spontaneous ferroelectric polarization of the multiferroic layer. The resistance changes showed a linear dependence on the area scanned and amore » hysteretic behavior with respect to the voltages applied in the scanning process. This is evidence for the ferroelectric polarization of the multiferroic causing the resistance changes. Coupling the antiferromagnetic BFO layer to another ferromagnetic layer could enable a magnetic field control of the conductance of the quasi-2DEG at the LAO/STO interface.« less

  10. Removal of nitrate and phosphate using chitosan/Al2O3/Fe3O4 composite nanofibrous adsorbent: Comparison with chitosan/Al2O3/Fe3O4 beads.

    PubMed

    Bozorgpour, Farahnaz; Ramandi, Hossein Fasih; Jafari, Pooya; Samadi, Saman; Yazd, Shabnam Sharif; Aliabadi, Majid

    2016-12-01

    In the present study the chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibrous adsorbent was prepared by electrospinning process and its application for the removal of nitrate and phosphate were compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite bead adsorbent. The influence of Al 2 O 3 /Fe 3 O 4 composite content, pH, contact time, nitrate and phosphate initial concentrations and temperature on the nitrate and phosphate sorption using synthesized bead and nanofibrous adsorbents was investigated in a single system. The reusability of chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers after five sorption-desorption cycles were carried out. The Box-Behnken design was used to investigate the interaction effects of adsorbent dosage, nitrate and phosphate initial concentrations on the nitrate and phosphate removal efficiency. The pseudo-second-order kinetic model and known Freundlich and Langmuir isotherm models were used to describe the kinetic and equilibrium data of nitrate and phosphate sorption using chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers. The influence of other anions including chloride, fluoride and sulphate on the sorption efficiency of nitrate and phosphate was examined. The obtained results revealed the higher potential of chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibers for nitrate and phosphate compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite beads. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  12. A Reaction Between High Mn-High Al Steel and CaO-SiO2-Type Molten Mold Flux: Part II. Reaction Mechanism, Interface Morphology, and Al2O3 Accumulation in Molten Mold Flux

    NASA Astrophysics Data System (ADS)

    Kang, Youn-Bae; Kim, Min-Su; Lee, Su-Wan; Cho, Jung-Wook; Park, Min-Seok; Lee, Hae-Geon

    2013-04-01

    Following a series of laboratory-scale experiments, the mechanism of a chemical reaction 4[{Al}] + 3({SiO}_2) = 3[{Si}] + 2({Al}_2{O}_3) between high-alloyed TWIP (TWin-Induced Plasticity) steel containing Mn and Al and molten mold flux composed mainly of CaO-SiO2 during the continuous casting process is discussed in the present article in the context of kinetic analysis, morphological evolution at the reaction interface. By the kinetic analysis using a two-film theory, a rate-controlling step of the chemical reaction at the interface between the molten steel and the molten flux is found to be mass transport of Al in a boundary layer of the molten steel, as long as the molten steel and the molten flux phases are concerned. Mass transfer coefficient of the Al in the boundary layer (k_{{Al}}) is estimated to be 0.9 to 1.2 × 10-4 m/s at 1773 K (1500 ^{circ}C). By utilizing experimental data at various temperatures, the following equation is obtained for the k_{{Al}}; ln k_{{Al}} = -14,290/T - 1.1107. Activation energy for the mass transfer of Al in the boundary layer is 119 kJ/mol, which is close to a value of activation energy for mass transfer in metal phase. The composition evolution of Al in the molten steel was well explained by the mechanism of Al mass transfer. On the other hand, when the concentration of Al in the steel was high, a significant deviation of the composition evolution of Al in the molten steel was observed. By observing reaction interface between the molten steel and the molten flux, it is thought that the chemical reaction controlled by the mass transfer of Al seemed to be disturbed by formation of a solid product layer of MgAl2O4. A model based on a dynamic mass balance and the reaction mechanism of mass transfer of Al in the boundary layer for the low Al steel was developed to predict (pct Al2O3) accumulation rate in the molten mold flux.

  13. What is limiting low-temperature atomic layer deposition of Al{sub 2}O{sub 3}? A vibrational sum-frequency generation study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vandalon, V., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl; Kessels, W. M. M., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl

    2016-01-04

    The surface reactions during atomic layer deposition (ALD) of Al{sub 2}O{sub 3} from Al(CH{sub 3}){sub 3} and H{sub 2}O have been studied with broadband sum-frequency generation to reveal what is limiting the growth at low temperatures. The –CH{sub 3} surface coverage was measured for temperatures between 100 and 300 °C and the absolute reaction cross sections, describing the reaction kinetics, were determined for both half-cycles. It was found that –CH{sub 3} groups persisted on the surface after saturation of the H{sub 2}O half-cycle. From a direct correlation with the growth per cycle, it was established that the reduced reactivity of H{submore » 2}O towards –CH{sub 3} is the dominant factor limiting the ALD process at low temperatures.« less

  14. Room Temperature Radiolytic Synthesized Cu@CuAlO2-Al2O3 Nanoparticles

    PubMed Central

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO2-Al2O3 bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a 60Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO2-Al2O3 nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO2-Al2O3 nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation. PMID:23109893

  15. Enhanced pH sensitivity of AlGaN/GaN ion-sensitive field effect transistor with Al2O3 synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Zhang, Tong; Liu, Xinke; Ao, Jin-Ping

    2018-01-01

    In this study, we evaluated the pH sensitivity enhancement of AlGaN/GaN ion-sensitive field-effect transistor (ISFET) coated by Al2O3 film on the sensing area utilizing atomic layer deposition (ALD). The presence of the Al2O3 film leads to an obvious reduction of surface state density as well as leakage current in the solution, which is beneficial for improving the stability of the ISFET. Furthermore, the sensitivity of the ISFET was improved to 57.8 mV/pH, which is very close to the Nernstian limit at room temperature. The pH sensitivity enhancement can be explained by the higher density of sensing site as well as better surface hydrophilicity.

  16. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  17. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  18. Effect of powder reactivity on fabrication and properties of NiAl/Al2O3 composite coated on cast iron using spark plasma sintering

    NASA Astrophysics Data System (ADS)

    Beyhaghi, Maryam; Kiani-Rashid, Ali-Reza; Kashefi, Mehrdad; Khaki, Jalil Vahdati; Jonsson, Stefan

    2015-07-01

    Powder mixtures of Ni, NiO and Al are ball milled for 1 and 10 h. X-ray diffractometry and differential thermal analysis show that while ball milling for 1 h produced mechanically activated powder; 10 h ball milling produced NiAl and Al2O3 phases. Dense NiAl/Al2O3 composite coatings are formed on gray cast iron substrate by spark plasma sintering (SPS) technique. The effect of powder reactivity on microstructure, hardness and scratch hardness of NiAl/Al2O3 coatings after SPS is discussed. Results show that in the coating sample made of mechanically activated powder in situ synthesis of NiAl/Al2O3 composite coating is fulfilled and a thicker well-formed diffusion bond layer at the interface between coating and substrate is observed. The diffusion of elements across the bond layers and phase evolution in the bond layers were investigated. No pores or cracks were observed at the interface between coating layer and substrate in any of samples. Higher Vickers hardness and scratch hardness values in coating made of 10 h ball milled powder than in coating fabricated from 1 h ball milled powder are attributed to better dispersion of Al2O3 reinforcement particles in NiAl matrix and nano-crystalline structure of NiAl matrix. Scratched surface of coatings did not reveal any cracking or spallation at coating-substrate interface indicating their good adherence at test conditions.

  19. Unraveling the Origin of Structural Disorder in High Temperature Transition Al2O3: Structure of θ-Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kovarik, Libor; Bowden, Mark E.; Shi, Dachuan

    The crystallography of transition Al2O3 has been extensively studied in the past due to the advantageous properties of the oxide in catalytic and a range of other technological applications. However, existing crystallographic models are insufficient to describe the structure of many important Al2O3 polymorphs due to their highly disordered nature. In this work, we investigate structure and disorder in high-temperature treated transition Al2O3, and provide a structural description for θ-Al2O3 by using a suite of complementary imaging, spectroscopy and quantum calculation techniques. Contrary to current understanding, our high-resolution imaging shows that θ-Al2O3 is a disordered composite phase of at leastmore » two different end members. By correlating imaging and spectroscopy results with DFT calculations, we propose a model that describes θ-Al2O3 as a disordered intergrowth of two crystallographic variants at the unit cell level. One variant is based on β-Ga2O3, and the other on a monoclinic phase that is closely-related to δ-Al2O3. The overall findings and interpretations afford new insight into the origin of poor crystallinity in transition Al2O3, and also provide new perspectives on structural complexity that can emerge from intergrowth of closely related structural polymorphs.« less

  20. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  1. Structural and electronic properties of Ga2O3-Al2O3 alloys

    NASA Astrophysics Data System (ADS)

    Peelaers, Hartwin; Varley, Joel B.; Speck, James S.; Van de Walle, Chris G.

    2018-06-01

    Ga2O3 is emerging as an important electronic material. Alloying with Al2O3 is a viable method to achieve carrier confinement, to increase the bandgap, or to modify the lattice parameters. However, the two materials have very different ground-state crystal structures (monoclinic β-gallia for Ga2O3 and corundum for Al2O3). Here, we use hybrid density functional theory calculations to assess the alloy stabilities and electronic properties of the alloys. We find that the monoclinic phase is the preferred structure for up to 71% Al incorporation, in close agreement with experimental phase diagrams, and that the ordered monoclinic AlGaO3 alloy is exceptionally stable. We also discuss bandgap bowing, lattice constants, and band offsets that can guide future synthesis and device design efforts.

  2. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  3. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    NASA Technical Reports Server (NTRS)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  4. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  5. The MgO-Al2O3-SiO2 system - Free energy of pyrope and Al2O3-enstatite. [in earth mantle formation

    NASA Technical Reports Server (NTRS)

    Saxena, S. K.

    1981-01-01

    The model of fictive ideal components is used to determine Gibbs free energies of formation of pyrope and Al2O3-enstatite from the experimental data on coexisting garnet and orthopyroxene and orthopyroxene and spinel in the temperature range 1200-1600 K. It is noted that Al2O3 forms an ideal solution with MgSiO3. These thermochemical data are found to be consistent with the Al2O3 isopleths that could be drawn using most recent experimental data and with the reversed experimental data on the garnet-spinel field boundary.

  6. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  7. Design and syntheses of hybrid metal-organic materials based on K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] metallotectons

    NASA Astrophysics Data System (ADS)

    Sun, Yayong; Zong, Yingxia; Ma, Haoran; Zhang, Ao; Liu, Kang; Wang, Debao; Wang, Wenqiang; Wang, Lei

    2016-05-01

    By using K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] (C2O42-=oxalate) metallotectons as the starting material, we have synthesized eight novel complexes with formulas [{Fe(C2O4)2(H2O)2}2]·(H-L1)2·H2O 1, [Fe(C2O4)Cl2]·(H2-L2)0.5·(L2)0.5·H2O 2, [{Fe(C2O4)1.5Cl2}2]·(H-L3)43, [Fe2(C2O4)Cl8]·(H2-L4)2·2H2O 4, K[Al(C2O4)3]·(H2-L5)·2H2O 5, K[Al(C2O4)3]·(H-L6)2·2H2O 6, K[Cr(C2O4)32H2O 7, Na[Fe(C2O4)3]·(H-L6)2·2H2O 8 (with L1=4-dimethylaminopyridine, L2=2,3,5,6-tetramethylpyrazine, L3=2-aminobenzimidazole, L4=1,4-bis-(1H-imidazol-1-yl)benzene, L5=1,4-bis((2-methylimidazol-1-yl)methyl)benzene, L6=2-methylbenzimidazole). Their structures have been determined by single-crystal X-ray diffraction analyses, elemental analyses, IR spectra and thermogravimetric analyses. Compound 3 is a 2D H-bonded supramolecular architecture. Others are 3D supramolecular structures. Compound 1 shows a [Fe(C2O4)2(H2O)2]- unit and 3D antionic H-bonded framework. Compound 2 features a [Fe(C2O4)Cl2]- anion and 1D iron-oxalate-iron chain. Compound 3 features a [Fe2(C2O4)3Cl4]4- unit. Compound 4 features distinct [Fe2(C2O4)Cl8]4- units, which are mutual linked by water molecules to generated a 2D H-bonded network. Compound 5 features infinite ladder-like chains constructed by [Al(C2O4)3]3- units and K+ cations. The 1D chains are further extended into 3D antionic H-bonded framework through O-H···O H-bonds. Compounds 6-8 show 2D [KAl(C2O4)3]2- layer, [KCr(C2O4)3]2- layer and [NaFe(C2O4)3]2- layer, respectively.

  8. Postperovskite phase equilibria in the MgSiO3-Al2O3 system.

    PubMed

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-12-09

    We investigate high-P,T phase equilibria of the MgSiO(3)-Al(2)O(3) system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh(2)O(3)(II) phase, present calculations demonstrate that (i) dissolving Al(2)O(3) tends to decrease the postperovskite transition pressure of MgSiO(3) but the effect is not significant ( approximately -0.2 GPa/mol% Al(2)O(3)); (ii) Al(2)O(3) produces the narrow perovskite+postperovskite coexisting P,T area (approximately 1 GPa) for the pyrolitic concentration (x(Al2O3) approximately 6 mol%), which is sufficiently responsible to the deep-mantle D'' seismic discontinuity; (iii) the transition would be smeared (approximately 4 GPa) for the basaltic Al-rich composition (x(Al2O3) approximately 20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh(2)O(3)(II) with increasing the Al concentration involving small displacements of the Mg-site cations.

  9. Metastable Phase Relations in the System Ca(sub O)-Al2(sub O)3-MgO-TiO(sub 2): Applications to Ca- And Al-Rich Inclusions

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Han, J.

    2017-01-01

    Introduction: High temperature phases such as corundum, hibonite, grossite, and perovskite are among the earliest phases that condensed in the early solar nebula. Recent work has shown that defect-structured phases occur in some ultrarefractory inclusions as metastable, possibly more kinetically-favored alternatives to the thermodynamically predicted stable phase assemblages [1-4]. For example, Han et al. have shown that non-stoichiometry in hibonite is accommodated by extra "spinel" blocks in the structure instead of the equilibrium assemblages hibonite+corundum or hibonite+spinel. To explore these relations, we have conducted a series of experiments in the system CaO-Al2O3- MgO-TiO2. Here we discuss the compositions and mineralogy of the experimental samples and how they relate to phases in refractory inclusions with a focus on perovskite and spinel. Methods: For the series of annealing studies, a CaO-Al2O3 eutectic melt is allowed to react with a pure alumina crucible at 1,530degC for either 4 hours or 5 days, followed by quenching in air. Later experiments were similar except that additions of 5 wt% MgO, and CaTiO(sub 3) were used to explore the effect of minor elements on the phase assemblages. The experimental conditions resulted in reaction zones approximately 100-300 ?m wide consisting of a hibonite layer immediately adjacent to the corundum, followed by a grossite layer, and finally krotite with residual quenched melt. For the experiments with Mg, spinel is distributed in all layers but is mainly concentrated in the krotite layer. In the Ti-bearing experiments, perovskite precipitated in association with the krotite and residual melt. In addition to the experiments, we also analyzed perovskite grains in the FUN inclusion SHAL [5] and a large compact type A CAI from Allende. The experiments and refractory inclusions were analyzed using a JEOL 7600F SEM and quantitative analyses were obtained using the JEOL 8530F field-emission electron microprobe.

  10. Stable, Microfabricated Thin Layer Chromatography Plates without Volume Distortion on Patterned, Carbon and Al2O3-Primed Carbon Nanotube Forests

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jensen, David S.; Kanyal, Supriya S.; Gupta, Vipul

    2012-09-28

    In a recent report (Song, J.; et al., Advanced Functional Materials 2011, 21, 1132-1139) some of us described the fabrication of thin layer chromatography (TLC) plates from patterned carbon nanotube (CNT) forests, which were directly infiltrated/coated with silicon by low pressure chemical vapor deposition (LPCVD) of silicon using SiH4. Following infiltration, the nanotubes were removed from the assemblies and the silicon simultaneously converted to SiO2 in a high temperature oxidation step. However, while straightforward, this process had some shortcomings, not the least of which was some distortion of the lithographically patterned features during the volume expansion that accompanied oxidation. Hereinmore » we overcome theis issue and also take substantial steps forward in the microfabrication of TLC plates by showing: (i) A new method for creating an adhesion promotion layer on CNT forests by depositing a few nanometers of carbon followed by atomic layer deposition (ALD) of Al2O3. This method for appears to be new, and X-ray photoelectron spectroscopy confirms the expected presence of oxygen after carbon deposition. ALD of Al2O3 alone and in combination with the carbon on patterned CNT forests was also explored as an adhesion promotion layer for CNT forest infiltration. (ii) Rapid, conformal deposition of an inorganic material that does not require subsequent oxidation: fast pseudo-ALD growth of SiO2 via alumina catalyzed deposition of tris(tert-butoxy)silanol onto the carbon/Al2O3-primed CNT forests. (iii) Faithful reproduction of the features in the masks used to microfabricate the TLC plates (M-TLC) this advance springs from the previous two points. (iv) A bonded (amino) phase on a CNT-templated microfabricated TLC plate. (v) Fast, highly efficient (125,000 - 225,000 N/m) separations of fluorescent dyes on M-TLC plates. (vi) Extensive characterization of our new materials by TEM, SEM, EDAX, DRIFT, and XPS. (vii) A substantially lower process temperature

  11. Capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode

    NASA Astrophysics Data System (ADS)

    Gawri, Isha; Sharma, Mamta; Jindal, Silky; Singh, Harpreet; Tripathi, S. K.

    2018-05-01

    The present paper reports the capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode using chemical bath deposition method. Here anodic alumina layer prepared using electrolytic deposition method on Al substrate is used as insulating material. Using the capacitance-voltage variation at a fixed frequency, the different parameters such as Depletion layer width, Barrier height, Built-in voltage and Carrier concentration has been calculated at room temperature as well as at temperature range from 123 K to 323 K. With the increase in temperature the barrier height and depletion layer width follow a decreasing trend. Therefore, the capacitance-voltage characterization at different temperatures characterization provides strong evidence that the properties of MIS diode are primarily affected by diode parameters.

  12. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  13. Sintering of (Ni,Mg)(Al,Fe)2O4 Materials and their Corrosion Process in Na3AlF6-AlF3-K3AlF6 Electrolyte

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Yang, Jianhong; Sang, Shaobai; Wang, Qinghu

    2017-06-01

    The application of ledge-free sidewalls in the Hall-Héroult cells can potentially reduce the energy requirement of aluminum production by about 30 pct (Nightingale et al. in J Eur Ceram, 33:2761-2765, 2013). However, this approach poses great material challenges since such sidewalls are in direct contact with corrosive electrolyte. In the present paper, (Ni,Mg)(Al,Fe)2O4 materials were prepared using fused magnesia, reactive alumina, nickel oxide, and iron oxide powders as the starting materials. The sintering behaviors of specimens as well as their corrosion resistance to molten electrolyte have been investigated by means of X-ray diffraction and scanning electron microscope. The results show that after firing at temperature ranging from 1673 K (1400 °C) up to 1873 K (1600 °C), all the specimens prepared are composed of single-phase (Ni,Mg)(Al,Fe)2O4 composite spinel, the lattice parameter of which increases with increasing Fe3+ ion concentration. Increasing the iron oxide content enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed smaller pores in the matrix. The corrosion tests show that corrosion layers consist of fluoride and Ni(Al,Fe)2O4 composite spinel grains are produced in specimens with Fe/Al mole ratio no more than 1, whereas dense Ni(Al,Fe)2O4 composite spinel layers are formed on the surface of the specimens with Fe/Al mole ratio more than 1. The dense Ni(Al,Fe)2O4 composite spinel layers formed improve the corrosion resistance of the specimens by inhibiting the infiltration of electrolyte and hindering the chemical reaction between the specimen and electrolyte.

  14. Epitaxial growth of high quality SrFeO3 films on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7

    NASA Astrophysics Data System (ADS)

    Hong, Deshun; Liu, Changjiang; Pearson, John; Bhattacharya, Anand

    2017-12-01

    The growth of strontium ferrite SrFeO3 films with a stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report the layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film's resistivity decreased from 750 μΩ c m to 150 μΩ c m , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperature resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and the out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.

  15. Epitaxial growth of high quality SrFeO 3 films on (001) oriented (LaAlO 3 ) 0.3 (Sr 2 TaAlO 6 ) 0.7

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Deshun; Liu, Changjiang; Pearson, John

    2017-12-04

    Growth of strontium ferrite SrFeO3 films with stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film’s resistivity decreased from 750 Ω ∙ to 150 Ω ∙ , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperaturemore » resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.« less

  16. Reaction between NiO and Al2O3 in NiO/γ-Al2O3 catalysts probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Zhang, H. J.; Chen, Z. Q.

    2013-02-01

    NiO/γ-Al2O3 catalysts with NiO content of 9 wt% and 24 wt% were prepared by solid state reaction method. They are annealed in air at temperatures from 100 °C to 1000 °C. Positron lifetime spectra were measured to study the microstructure variation during annealing process. Four positron lifetime components were resolved with two long lifetime τ3 and τ4, which can be attributed to the ortho-positronium lifetime in microvoids and large pores, respectively. It was found that the longest lifetime τ4 is rather sensitive to the chemical environment of the large pores. The NiO active centers in the catalysts cause decrease of both τ4 and its intensity I4, which is due to the spin-conversion of positronium induced by NiO. However, after heating the catalysts above 600 °C, abnormal increase of the lifetime τ4 is observed. This is due to the formation of NiAl2O4 spinel from the reaction of NiO and γ-Al2O3. The generated NiAl2O4 weakens the spin-conversion effect of positronium, thus leads to the increase of o-Ps lifetime τ4. Formation of NiAl2O4 is further confirmed by both X-ray diffraction and X-ray photoelectron spectroscopy measurements.

  17. Self-Assembled Layered Supercell Structure of Bi2AlMnO6 with Strong Room-Temperature Multiferroic Properties.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Leigang; Boullay, Philippe; Lu, Ping

    2017-02-01

    Room-temperature (RT) multiferroics, possessing ferroelectricity and ferromagnetism simultaneously at RT, hold great promise in miniaturized devices including sensors, actuators, transducers, and multi-state memories. In this work, we report a novel 2D layered RT multiferroic system with self-assembled layered supercell structure consisting of two mismatch-layered sub-lattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M=Al/Mn, simply named as BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made of a three-layer-thick Bi-O slab and a one-layer-thick Al/Mn-O octahedra slab along the out-of-plane direction. Strong room-temperature multiferroic responses, e.g., ferromagnetic and ferroelectric properties, have been demonstrated and attributed to the highlymore » anisotropic 2D nature of the non-ferromagnetic and ferromagnetic sublattices which are highly mismatched. The work demonstrates an alternative design approach for new 2D layered oxide materials that hold promises as single-phase multiferroics, 2D oxides with tunable bandgaps, and beyond.« less

  18. Study on Preparing Al2O3 Particles Reinforced ZL109 Composite by in Situ Reaction of Fe2O3/Al System

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Yu, Huashun; Zhao, Qi; Wang, Haitao; Min, Guanghui

    Al2O3 particles reinforced ZL109 composite was prepared by in situ reaction between Fe2O3 and Al. The phases were identified by XRD and the microstructures were observed by SEM and TEM. The Al2O3 particles in sub-micron size distribute uniformly in the matrix and Fe displaced from the in situ reaction forms net-like alloy phases with Cu, Ni, Al, Mn ect. The hardness and the tensile strength at room temperature of the composites have a small increase compared with the matrix. However, the tensile strength at 350°C can reach 92.18 MPa, which is 18.87 MPa higher than that of the matrix. The mechanism of the reaction in the Fe2O3/Al system was studied by DSC. The reaction between Fe2O3 and Al involves two steps. The first step in which Fe2O3 reacts with Al to form FeO and Al2O3 takes place at the matrix alloy melting temperature. The second step in which FeO reacts with Al to form Fe and Al2O3 takes place at a higher temperature.

  19. Combustion synthesis of AlB2-Al2O3 composite powders with AlB2 nanowire structures

    NASA Astrophysics Data System (ADS)

    Yang, Pan; Xiao, Guoqing; Ding, Donghai; Ren, Yun; Yang, Shoulei; Lv, Lihua; Hou, Xing

    2018-05-01

    Using of Al and B2O3 powders as starting materials, and Mg-Al alloy as additives, AlB2-Al2O3 composite powders with AlB2 nanowire structures were successfully fabricated via combustion synthesis method in Ar atmosphere at a pressure of 1.5 MPa. The effect of different amount of Mg-Al alloy on the phase compositions and morphology of the combustion products was investigated. The results revealed that AlB2 and Al2O3 increased, whereas Al decreased with the content of Mg-Al alloy increasing. The impurities MgAl2O4 and AlB12 would exist in the sample with adding of 18 wt% Mg-Al alloy. Interestingly, FESEM/TEM/EDS results showed that AlB2 nanowires were observed in the products when the content of Mg-Al alloy is 6 wt% and 12 wt%. The more AlB2 nanowires can be found as the content of Mg-Al alloy increased. And the yield of AlB2 nanowires with the diameter of about 200 nanometers (nm) and the length up to several tens of micrometers (μm) in the combustion product is highest when the content of Mg-Al alloy is 12 wt%. The vapor, such as Mg-Al (g), B2O2 (g), AlO (g) and Al2O (g), produced during the process of combustion synthesis, reacted with each other to yield AlB2 nanowires by vapor-solid (VS) mechanism and the corresponding model was also proposed.

  20. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  1. High Temperature Mechanical Characterization and Analysis of Al2O3 /Al2O3 Composition

    NASA Technical Reports Server (NTRS)

    Gyekenyesi, John Z.; Jaskowiak, Martha H.

    1999-01-01

    Sixteen ply unidirectional zirconia coated single crystal Al2O3 fiber reinforced polycrystalline Al2O3 was tested in uniaxial tension at temperatures to 1400 C in air. Fiber volume fractions ranged from 26 to 31%. The matrix has primarily open porosity of approximately 40%. Theories for predicting the Young's modulus, first matrix cracking stress, and ultimate strength were applied and evaluated for suitability in predicting the mechanical behavior of Al2O3/Al2O3 composites. The composite exhibited pseudo tough behavior (increased area under the stress/strain curve relative to monolithic alumina) from 22 to 1400 C. The rule-of-mixtures provides a good estimate of the Young's modulus of the composite using the constituent properties from room temperature to approximately 1200 C for short term static tensile tests in air. The ACK theory provides the best approximation of the first matrix cracking stress while accounting for residual stresses at room temperature. Difficulties in determining the fiber/matrix interfacial shear stress at high temperatures prevented the accurate prediction of the first matrix cracking stress above room temperature. The theory of Cao and Thouless, based on Weibull statistics, gave the best prediction for the composite ultimate tensile strength.

  2. Corrosion and wear properties of Zn-Ni and Zn-Ni-Al2O3 multilayer electrodeposited coatings

    NASA Astrophysics Data System (ADS)

    Shourgeshty, M.; Aliofkhazraei, M.; Karimzadeh, A.; Poursalehi, R.

    2017-09-01

    Zn-Ni and Zn-Ni-Al2O3 multilayer coatings with 32, 128, and 512 layers were electroplated on a low carbon steel substrate by pulse electrodeposition under alternative changes in the duty cycle between 20% and 90% and a constant frequency of 250 Hz. Corrosion behavior was investigated by potentiodynamic polarization test and electrochemical impedance spectroscopy (EIS) and wear behavior of the coatings was evaluated by a pin on disk test. The results showed that the corrosion resistance of coatings was improved by increasing the number of layers (the decrease in layer thickness) as well as the presence of alumina nanoparticles. The lowest corrosion current density corresponds to Zn-Ni-Al2O3 with 512 layers equal to 3.74 µA cm-2. Increasing the number of layers in the same total thickness and the presence of alumina nanoparticles within the coating also leads to the improvement in wear resistance of the samples. The coefficient of friction decreased with increasing number of layers and the lowest coefficient of friction (0.517) corresponds to Zn-Ni-Al2O3 coating with 512 layers. Wear mechanism of Zn-Ni coatings with a different number of layers is adhesive while in the Zn-Ni-Al2O3 coatings wear mechanism is a combination of adhesive and abrasive wear, where by increasing the number of the layers to 512 abrasive wear mechanism becomes dominant.

  3. Preparation of highly ordered mesoporous Al2O3/TiO2 and its application in dye-sensitized solar cells.

    PubMed

    Kim, Jae-Yup; Kang, Soon Hyung; Kim, Hyun Sik; Sung, Yung-Eun

    2010-02-16

    Highly ordered mesoporous Al(2)O(3)/TiO(2) was prepared by sol-gel reaction and evaporation-induced self-assembly (EISA) for use in dye-sensitized solar cells. The prepared materials had two-dimensional, hexagonal pore structures with anatase crystalline phases. The average pore size of mesoporous Al(2)O(3)/TiO(2) remained uniform and in the range of 6.33-6.58 nm while the Brunauer-Emmett-Teller (BET) surface area varied from 181 to 212 m(2)/g with increasing the content of Al(2)O(3). The incorporation of Al content retarded crystallite growth, thereby decreasing crystallite size while simultaneously improving the uniformity of pore size and volume. The thin Al(2)O(3) layer was located mostly on the mesopore surface, as confirmed by X-ray photoelectron spectroscopy (XPS). The Al(2)O(3) coating on the mesoporous TiO(2) film contributes to the essential energy barrier which blocks the charge recombination process in dye-sensitized solar cells. Mesoporous Al(2)O(3)/TiO(2) (1 mol % Al(2)O(3)) exhibited enhanced power conversion efficiency (V(oc) = 0.74 V, J(sc) = 15.31 mA/cm(2), fill factor = 57%, efficiency = 6.50%) compared to pure mesoporous TiO(2) (V(oc) = 0.72 V, J(sc) = 16.03 mA/cm(2), fill factor = 51%, efficiency = 5.88%). Therefore, the power conversion efficiency was improved by approximately 10.5%. In particular, the increase in V(oc) and fill factor resulted from the inhibition of charge recombination and the improvement of pore structure.

  4. Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.

    PubMed

    Gu, Wei; Tripp, Carl P

    2006-06-20

    Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.

  5. Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing austenitic stainless steel composite body and a method of producing the same

    DOEpatents

    Cassidy, Roger T.

    1990-05-01

    The present invention relates to a hermetically sealed Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing stainless steel composite body and a method of producing the body. The composite body includes an oxide interfacial region between the glass ceramic and metal, wherein the interfacial region consists essentially of an Al.sub.2 O.sub.3 layer. The interfacial Al.sub.2 O.sub.3 region includes constituents of both the metal and glass ceramic.

  6. MCrAlY bond coat with enhanced Yttrium layer

    DOEpatents

    Jablonski, Paul D; Hawk, Jeffrey A

    2015-04-21

    One or more embodiments relates to an MCrAlY bond coat comprising an MCrAlY layer in contact with a Y--Al.sub.2O.sub.3 layer. The MCrAlY layer is comprised of a .gamma.-M solid solution, a .beta.-MAl intermetallic phase, and Y-type intermetallics. The Y--Al.sub.2O.sub.3 layer is comprised of Yttrium atoms coordinated with oxygen atoms comprising the Al.sub.2O.sub.3 lattice. Both the MCrAlY layer and the Y--Al.sub.2O.sub.3 layer have a substantial absence of Y--Al oxides, providing advantage in the maintainability of the Yttrium reservoir within the MCrAlY bulk. The MCrAlY bond coat may be fabricated through application of a Y.sub.2O.sub.3 paste to an MCrAlY material, followed by heating in a non-oxidizing environment.

  7. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  8. Application of the method of images on electrostatic phenomena in aqueous Al2O3 and ZrO2 suspensions.

    PubMed

    Cordelair, Jens; Greil, Peter

    2003-09-15

    A new solution for the Poisson equation for the diffuse part of the double layer around spherical particles will be presented. The numerical results are compared with the solution of the well-known DLVO theory. The range of the diffuse layer differs considerably in the two theories. Also, the inconsistent representation of the surface and diffuse layer charge in the DLVO theory do not occur in the new theory. Experimental zeta potential measurements were used to determine the charge of colloidal Al2O3 and ZrO2 particles. It is shown that the calculated charge can be interpreted as a superposition of independent H+ and OH- adsorption isotherms. The corresponding Langmuir adsorption isotherms are taken to model the zeta potential dependence on pH. In the vicinity of the isoelectric point the model fits well with the experimental data, but at higher ion concentrations considerable deviations occur. The deviations are discussed. Furthermore, the numerical results for the run of the potential in the diffuse part of the double layer were used to determine the electrostatic interaction potential between the particles in correlation with the zeta potential measurements. The corresponding total interaction potentials, including the van der Waals attraction, were taken to calculate the coagulation half-life for a suspension with a particle loading of 2 vol%. It is shown that stability against coagulation is maintained for Al2O3 particles in the pH region between 3.3 and 7 and for ZrO2 only around pH 5. Stability against flocculation can be achieved in the pH regime between 4.5 and 7 for Al2O3, while the examined ZrO2 particles are not stable against flocculation in aqueous suspensions.

  9. Improved passivation effect in multicrystalline black silicon by chemical solution pre-treatment

    NASA Astrophysics Data System (ADS)

    Jiang, Ye; Shen, Honglie; Pu, Tian; Zheng, Chaofan

    2018-04-01

    Though black silicon has excellent anti-reflectance property, its passivation is one of the main technical bottlenecks due to its large specific surface area. In this paper, multicrystalline black silicon is fabricated by metal assisted chemical etching, and is rebuilt in low concentration alkali solution. Different solution pre-treatment is followed to make surface modification on black silicon before Al2O3 passivation by atomic layer deposition. HNO3 and H2SO4 + H2O2 solution pre-treatment makes the silicon surface become hydrophilic, with contact angle decrease from 117.28° to about 30°. It is demonstrated that when the pre-treatment solution is nitric acid, formed ultrathin SiO x layer between Al2O3 layer and black silicon is found to increase effective carrier lifetime to 72.64 µs, which is obviously higher than that of the unpassivated black silicon. The passivation stacks of SiO x /Al2O3 are proved to be effective double layers for nanoscaled multicrystalline silicon surface.

  10. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  12. Effects of Al2O3 and CaO/SiO2 Ratio on Phase Equilbria in the ZnO-"FeO"-Al2O3-CaO-SiO2 System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-02-01

    The phase equilibria and liquidus temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2 system in equilibrium with metallic iron have been determined experimentally in the temperature range 1383 K to 1573 K (1150 °C to 1300 °C). The experimental conditions were selected to characterize lead blast furnace and imperial smelting furnace slags. The results are presented in a form of pseudoternary sections ZnO-"FeO"-(Al2O3 + CaO + SiO2) with fixed CaO/SiO2 and (CaO + SiO2)/Al2O3 ratios. It was found that wustite and spinel are the major primary phases in the composition range investigated. Effects of Al2O3 concentration as well as the CaO/SiO2 ratio on the primary phase field, the liquidus temperature, and the partitioning of ZnO between liquid and solid phases have been discussed for zinc-containing slags.

  13. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-11-22

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. The effect of aluminum doping on layer stabilization has been investigated using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electrode atom probe (APT) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. APT ion maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the single particle level in agreement with the high-temperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. The ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  14. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-10-07

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. We have investigated the effect of aluminum doping on layer stabilization using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electron atom probe (LEAP) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. LEAP tomographic maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the particle level in agreement with the hightemperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. Our ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  15. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  16. Modulated two-dimensional charge-carrier density in LaTiO3-layer-doped LaAlO3/SrTiO3 heterostructure.

    PubMed

    Nazir, Safdar; Bernal, Camille; Yang, Kesong

    2015-03-11

    The highly mobile two-dimensional electron gas (2DEG) formed at the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) is a matter of great interest because of its potential applications in nanoscale solid-state devices. To realize practical implementation of the 2DEG in device design, desired physical properties such as tuned charge carrier density and mobility are necessary. In this regard, polar perovskite-based transition metal oxides can act as doping layers at the interface and are expected to tune the electronic properties of 2DEG of STO-based HS systems dramatically. Herein, we investigated the doping effects of LaTiO3(LTO) layers on the electronic properties of 2DEG at n-type (LaO)(+1)/(TiO2)(0) interface in the LAO/STO HS using spin-polarized density functional theory calculations. Our results indicate an enhancement of orbital occupation near the Fermi energy, which increases with respect to the number of LTO unit cells, resulting in a higher charge carrier density of 2DEG than that of undoped system. The enhanced charge carrier density is attributed to an extra electron introduced by the Ti 3d(1) orbitals from the LTO dopant unit cells. This conclusion is consistent with the recent experimental findings (Appl. Phys. Lett. 2013, 102, 091601). Detailed charge density and partial density of states analysis suggests that the 2DEG in the LTO-doped HS systems primarily comes from partially occupied dyz and dxz orbitals.

  17. The Effects of High Al2O3 on the Metallurgical Properties of Sinter

    NASA Astrophysics Data System (ADS)

    Yu, Wen-tao; Zuo, Hai-bin; Zhang, Jian-liang; Zhang, Tao

    Sintering-pot tests and metallurgical performances of sinter with 4 kind of different Al2O3 contents are experimented in this paper. Results show: when the Al2O3 contents increase from 2.0% to 3.5%, acicular calcium ferrites in mine phase will be gradually replaced by plate-like iron calcium. The increase of Al2O3 contents will lead to the addition of liquid viscosity and the reduction of permeability of sinter bed. Sintering time will be prolonged. The rate of yield is stable basically but production is low; besides, the increase of liquid viscosity will decrease of drum strength. The change of permeability of the material layer will make RDI+3.15 decrease first and then increase when Al2O3 contents changed from 2.0% to 3.5%. RI of sinter shows a contrary trend because many open voids are formed by deterioration of liquidity first and then pores closed.

  18. Capacitance-voltage characteristics of sub-nanometric Al2O3 / TiO2 laminates: dielectric and interface charge densities.

    PubMed

    Kahouli, Abdelkader; Elbahri, Marwa Ben; Lebedev, Oleg; Lüders, Ulrike

    2017-07-12

    Advanced amorphous sub-nanometric laminates based on TiO 2 and Al 2 O 3 were deposited by atomic layer deposition at low temperature. Low densities of 'slow' and 'fast' interface states are achieved with values of 3.96 · 10 10 cm -2 and 4.85 · 10 -9 eV -1 cm -2 , respectively, by using a 40 nm laminate constituted of 0.7 nm TiO 2 and 0.8 nm Al 2 O 3 . The sub-nanometric laminate shows a low hysteresis width of 20 mV due to the low oxide charge density of about 3.72 · 10 11 cm -2 . Interestingly, such properties are required for stable and reliable performance of MOS capacitors and transistor operation. Thus, decreasing the individual layer thickness to the sub-nanometric range and combining two dielectric materials with oppositely charged defects may play a major role in the electrical response, highly promising for the application in future micro and nano-electronics applications.

  19. Postperovskite phase equilibria in the MgSiO3Al2O3 system

    PubMed Central

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-01-01

    We investigate high-P,T phase equilibria of the MgSiO3Al2O3 system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh2O3(II) phase, present calculations demonstrate that (i) dissolving Al2O3 tends to decrease the postperovskite transition pressure of MgSiO3 but the effect is not significant (≈-0.2 GPa/mol% Al2O3); (ii) Al2O3 produces the narrow perovskite+postperovskite coexisting P,T area (≈1 GPa) for the pyrolitic concentration (xAl2O3 ≈6 mol%), which is sufficiently responsible to the deep-mantle D″ seismic discontinuity; (iii) the transition would be smeared (≈4 GPa) for the basaltic Al-rich composition (xAl2O3 ≈20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh2O3(II) with increasing the Al concentration involving small displacements of the Mg-site cations. PMID:19036928

  20. Chemical reactions and morphological stability at the Cu/Al2O3 interface.

    PubMed

    Scheu, C; Klein, S; Tomsia, A P; Rühle, M

    2002-10-01

    The microstructures of diffusion-bonded Cu/(0001)Al2O3 bicrystals annealed at 1000 degrees C at oxygen partial pressures of 0.02 or 32 Pa have been studied with various microscopy techniques ranging from optical microscopy to high-resolution transmission electron microscopy. The studies revealed that for both oxygen partial pressures a 20-35 nm thick interfacial CuAlO2 layer formed, which crystallises in the rhombohedral structure. However, the CuAlO2 layer is not continuous, but interrupted by many pores. In the samples annealed in the higher oxygen partial pressure an additional reaction phase with a needle-like structure was observed. The needles are several millimetres long, approximately 10 microm wide and approximately 1 microm thick. They consist of CuAlO2 with alternating rhombohedral and hexagonal structures. Solid-state contact angle measurements were performed to derive values for the work of adhesion. The results show that the adhesion is twice as good for the annealed specimen compared to the as-bonded sample.

  1. Interface architecture between TiO2/perovskite, perovskite/hole transport layer, and perovskite grain boundary(Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Hayase, Shuzi; Hirotani, Daisuke; Moriya, Masahiro; Ogomi, Yuhei; Shen, Qing; Yoshino, Kenji; Toyoda, Taro

    2016-09-01

    In order to examine the interface structure of TiO2/perovskite layer, quartz crystal microbalance sensor (QCM) was used. On the QCM sensor, TiO2 layer was fabricated and the PbI2 solution in Dimethylformamide (DMF) was passed on the QCM sensor to estimate the adsorption density of the PbI2 on the titania2. The amount of PbI2 adsorption on TiO2 surface increased as the adsorption time and leveled off at a certain time. PbI2 still remained even after the solvent only (DMF) was passed on the TiO2 layer on QCM (namely rinsing with DMF), suggesting that the PbI2 was tightly bonded on the TiO2 surface. The bonding structure was found to be Ti-O-Pb linkage by XPS analysis. We concluded that the Ti-OH on the surface of TiO2 reacts with I-Pb-I to form Ti-O-Pb-I and HI (Fig.1 B). The surface trap density was measured by thermally stimulated current (TSC) method. Before the PbI2 passivation, the trap density of TiO2 was 1019 cm3. The trap density decreased to 1016/cm3 after the PbI2 passivation, suggesting that the TiO2 surface trap was passivated with I-Pb-I. The passivation density was tuned by the concentration of PbI2 in DMF, by which TiO2 layer was passivated. Perovskite solar cells were fabricated on the passivated TiO2 layer with various PbI2 passivation densities by one step process (mixture of PbI2 + MAI in DMF). It was found that Jsc increased with an increase in the Ti-O-Pb density. We concluded that the interface between TiO2 and perovskite layer has passivation structure consisting of Ti-O-Pb-I which decreases the trap density of the interfaces and supresses charge recombination. The effect of Cl anion on high efficiency is still controversial when perovskite layer is prepared by one step method from the mixture of MAI and PbCl2. It was found that adsorption density of PbCl2 on TiO2 surface was much higher than that of PbI2 from the experiment using QCM sensor. After the surface was washed with DMF, Cl and Pb were detected. These results suggest that the TiO2

  2. Chemical quenching of positronium in Fe 2O 3/Al 2O 3 catalysts

    NASA Astrophysics Data System (ADS)

    Li, C.; Zhang, H. J.; Chen, Z. Q.

    2010-09-01

    Fe 2O 3/Al 2O 3 catalysts were prepared by solid state reaction method using α-Fe 2O 3 and γ-Al 2O 3 nano powders. The microstructure and surface properties of the catalyst were studied using positron lifetime and coincidence Doppler broadening annihilation radiation measurements. The positron lifetime spectrum shows four components. The two long lifetimes τ3 and τ4 are attributed to positronium annihilation in two types of pores distributed inside Al 2O 3 grain and between the grains, respectively. With increasing Fe 2O 3 content from 3 wt% to 40 wt%, the lifetime τ3 keeps nearly unchanged, while the longest lifetime τ4 shows decrease from 96 ns to 64 ns. Its intensity decreases drastically from 24% to less than 8%. The Doppler broadening S parameter shows also a continuous decrease. Further analysis of the Doppler broadening spectra reveals a decrease in the p-Ps intensity with increasing Fe 2O 3 content, which rules out the possibility of spin-conversion of positronium. Therefore the decrease of τ4 is most probably due to the chemical quenching reaction of positronium with Fe ions on the surface of the large pores.

  3. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  4. Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} nanocomposite: Structure, mechanical property and bioactivity studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalita, Samar Jyoti, E-mail: Samar.Kalita@und.nodak.edu; Somani, Vikas

    2010-12-15

    Novel biomaterials are of prime importance in tissue engineering. Here, we developed novel nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite as a biomaterial for bone repair. Initially, nanocrystalline Al{sub 2}O{sub 3}-TiO{sub 2} composite powder was synthesized by a sol-gel process. The powder was cold compacted and sintered at 1300-1500 {sup o}C to develop nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite. Nano features were retained in the sintered structures while the grains showed irregular morphology. The grain-growth and microcracking were prominent at higher sintering temperatures. X-ray diffraction peak intensity of {beta}-Al{sub 2}TiO{sub 5} increased with increasing temperature. {beta}-Al{sub 2}TiO{submore » 5} content increased from 91.67% at 1300 {sup o}C to 98.83% at 1500 {sup o}C, according to Rietveld refinement. The density of {beta}-Al{sub 2}TiO{sub 5} sintered at 1300 {sup o}C, 1400 {sup o}C and 1500 {sup o}C were computed to be 3.668 g cm{sup -3}, 3.685 g cm{sup -3} and 3.664 g cm{sup -3}, respectively. Nanocrystalline grains enhanced the flexural strength. The highest flexural strength of 43.2 MPa was achieved. Bioactivity and biomechanical properties were assessed in simulated body fluid. Electron microscopy confirmed the formation of apatite crystals on the surface of the nanocomposite. Spectroscopic analysis established the presence of Ca and P ions in the crystals. Results throw light on biocompatibility and bioactivity of {beta}-Al{sub 2}TiO{sub 5} phase, which has not been reported previously.« less

  5. Photoelectron spectroscopy and density functional theory study of TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3) clusters.

    PubMed

    Zhang, Zeng-Guang; Xu, Hong-Guang; Zhao, Yuchao; Zheng, Weijun

    2010-10-21

    Small titanium-aluminum oxide clusters, TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3), were studied by using anion photoelectron spectroscopy. The adiabatic detachment energies of TiAlO(y) (-) (y=1-3) were estimated to be 1.11±0.05, 1.70±0.08, and 2.47±0.08eV based on their photoelectron spectra; those of TiAl(2)O(2) (-) and TiAl(2)O(3) (-) were estimated to be 1.17±0.08 and 2.2±0.1eV, respectively. The structures of these clusters were determined by comparison of density functional calculations with the experimental results. The structure of TiAlO(-) is nearly linear with the O atom in the middle. That of TiAlO(2) (-) is a kite-shaped structure. TiAlO(3) (-) has a kite-shaped TiAlO(2) unit with the third O atom attaching to the Ti atom. TiAl(2)O(2) (-) has two nearly degenerate Al-O-Ti-O-Al chain structures that can be considered as cis and trans forms. TiAl(2)O(3) (-) has two low-lying isomers, kite structure and book structure. The structures of these clusters indicate that the Ti atom tends to bind to more O atoms.

  6. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  7. Porcelain monolayers and porcelain/alumina bilayers reinforced by Al2O3/GdAlO3 fibers.

    PubMed

    Sgura, Ricardo; Medeiros, Igor Studart; Cesar, Paulo Francisco; Campos, Adeliani Almeida; Hernandes, Antonio Carlos

    2012-01-01

    This work tested the effect of the addition of Al(2)O(3)/GdAlO(3) longitudinal fibers in different contents to veneering porcelain of two dental all ceramic systems. Fibers (0.5 mm diameter) obtained by the Laser Heated Pedestal Growth (LHPG) method were added to bar-shaped specimens made by veneer porcelain (monolayers) or both the veneer and the core ceramic (bilayers) of two all-ceramic systems: In-Ceram Alumina-glass infiltrated alumina composite (GIA) and In-Ceram 2000 AL Cubes-alumina polycrystal (AP) (VITA Zahnfabrik). The longitudinal fibers were added to veneering porcelain (VM7) in two different proportions: 10 or 17 vol%. The bars were divided into nine experimental conditions (n=10) according to material used: VM7 porcelain monolayers, VM7/GIA, VM7/AP; and according to the amount of fibers within the porcelain layer: no fibers, 10 vol% or 17 vol%. After grinding and polishing the specimens were submitted to a three point bending test (crosshead speed = 0.5 mm/min) with porcelain positioned at tensile side. Data were analyzed by means of one-way ANOVA and a Tukey's test (α=5%). Scanning electronic microscopy (SEM) was conducted for fractographic analysis. Regarding the groups without fiber addition, VM7/AP showed the highest flexural strength (MPa), followed by VM7/GIA and VM7 monolayers. The addition of fibers led to a numerical increase in flexural strength for all groups. For VM7/GIA bilayers the addition of 17 vol% of fibers resulted in a significant 48% increase in the flexural strength compared to the control group. Fractographic analysis revealed that the crack initiation site was in porcelain at the tensile surface. Cracks also propagated between fibers before heading for the alumina core. The addition of 17 vol% of Al(2)O(3)/GdAlO(3) longitudinal fibers to porcelain/glass infiltrated alumina bilayers significantly improved its flexural strength. 10 vol% or 17 vol% of fibers inclusion increased the flexural strength for all groups. Copyright

  8. Effect of the addition of Al2O3 nanoparticles on the magnetic properties of Fe soft magnetic composites

    NASA Astrophysics Data System (ADS)

    Peng, Yuandong; Nie, Junwu; Zhang, Wenjun; Ma, Jian; Bao, Chongxi; Cao, Yang

    2016-02-01

    We investigated the effect of the addition of Al2O3 nanoparticles on the permeability and core loss of Fe soft magnetic composites coated with silicone. Fourier transform infra-red spectroscopy, scanning electron microscopy and energy-dispersive X-ray spectroscopy analysis revealed that the surface layer of the powder particles consisted of a thin insulating Al2O3 layer with uniform surface coverage. The permeability and core loss of the composite with the Al2O3 addition annealed at 650 °C were excellent. The results indicated that the Al2O3 nanoparticle addition increases the permeability stablility with changing frequency and decreases the core loss over a wide range of frequencies.

  9. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  10. Hydrodeoxygenation of p -Cresol over Pt/Al 2 O 3 Catalyst Promoted by ZrO 2 , CeO 2 , and CeO 2 –ZrO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weiyan; Wu, Kui; Liu, Pengli

    2016-07-20

    ZrO 2-Al 2O 3 and CeO 2-Al 2O 3 were prepared by a co-precipitation method and selected as supports for Pt catalysts. The effects of CeO 2 and ZrO 2 on the surface area and Brønsted acidity of Pt/Al 2O 3 were studied. In the hydrodeoxygenation (HDO) of p-cresol, the addition of ZrO 2 promoted the direct deoxygenation activity on Pt/ZrOO 2-Al 2O 3 via Caromatic-O bond scission without benzene ring saturation. Pt/CeOO 2-Al 2O 3 exhibited higher deoxygenation extent than Pt/Al 2O 3 due to the fact that Brønsted acid sites on the catalyst surface favored the adsorption ofmore » p-cresol. With the advantages of CeO 2 and ZrO 2 taken into consideration, CeO 2-ZrOO 2-Al 2O 3 was prepared, leading to the highest HDO activity of Pt/CeO 2-ZrOO 2-Al 2O 3. The deoxygenation extent for Pt/CeO 2-ZrOO 2-Al 2O 3 was 48.4% and 14.5% higher than that for Pt/ZrO2O 2-Al 2O 3 and Pt/CeOO 2-Al 2O 3, respectively.« less

  11. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  12. Analysis of SAW properties in ZnO/AlxGa1-xN/c-Al2O3 structures.

    PubMed

    Chen, Ying; Emanetoglu, Nuri William; Saraf, Gaurav; Wu, Pan; Lu, Yicheng; Parekh, Aniruddh; Merai, Vinod; Udovich, Eric; Lu, Dong; Lee, Dong S; Armour, Eric A; Pophristic, Milan

    2005-07-01

    Piezoelectric thin films on high acoustic velocity nonpiezoelectric substrates, such as ZnO, AlN, or GaN deposited on diamond or sapphire substrates, are attractive for high frequency and low-loss surface acoustic wave devices. In this work, ZnO films are deposited on AlxGa1-xN/c-Al2O3 (0 < or = chi < or = 1) substrates using the radio frequency (RF) sputtering technique. In comparison with a single AlxGa1-xN layer deposited on c-Al2O3 with the same total film thickness, a ZnO/AlxGa1-xN/c-Al2O3 multilayer structure provides several advantages, including higher order wave modes with higher velocity and larger electromechanical coupling coefficient (K2). The surface acoustic wave (SAW) velocities and coupling coefficients of the ZnO/AlxGa1-xN/c-Al2O3 structure are tailored as a function of the Al mole percentage in AlxGa1-xN films, and as a function of the ZnO (h1) to AlxGa1-xN (h2) thickness ratio. It is found that a wide thickness-frequency product (hf) region in which coupling is close to its maximum value, K(2)max, can be obtained. The K(2)max of the second order wave mode (h1 = h2) is estimated to be 4.3% for ZnO/GaN/c-Al2O3, and 3.8% for ZnO/AlN/c-Al2O3. The bandwidth of second and third order wave modes, in which the coupling coefficient is within +/- 0.3% of K(2)max, is calculated to be 820 hf for ZnO/GaN/c-Al2O3, and 3620 hf for ZnO/AlN/c-Al2O3. Thus, the hf region in which the coupling coefficient is close to the maximum value broadens with increasing Al content, while K(2)max decreases slightly. When the thickness ratio of AlN to ZnO increases, the K(2)max and hf bandwidth of the second and third higher wave modes increases. The SAW test devices are fabricated and tested. The theoretical and experimental results of velocity dispersion in the ZnO/AlxGa1-xN/c-Al2O3 structures are found to be well matched.

  13. Luminescence and energy transfer of Tb3+-doped BaO-Gd2O3-Al2O3-B2O3-SiO2 glasses.

    PubMed

    Zuo, Chenggang; Huang, Jinze; Liu, Shaoyou; Xiao, Anguo; Shen, Youming; Zhang, Xiangyang; Zhou, Zhihua; Zhu, Ligang

    2017-12-05

    Transparent Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses with the greater than 4g/cm 3 were prepared by high temperature melting method and its luminescent properties have been investigated by measured UV-vis transmission, excitation, emission and luminescence decay spectra. The transmission spectrum shows there are three weak absorption bands locate at about 312, 378 and 484nm in the glasses and it has good transmittance in the visible spectrum region. Intense green emission can be observed under UV excitation. The effective energy transfer from Gd 3+ ion to Tb 3+ ion could occur and sensitize the luminescence of Tb 3+ ion. The green emission intensity of Tb 3+ ion could change with the increasing SiO 2 /B 2 O 3 ratio in the borosilicate glass matrix. With the increasing concentration of Tb 3+ ion, 5 D 4 → 7 F J transitions could be enhanced through the cross relaxation between the two nearby Tb 3+ ions. Luminescence decay time of 2.12ms from 546nm emission is obtained. The results indicate that Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses would be potential scintillating material for applications in X-ray imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Temperature-dependent OSL properties of nano-phosphors LiAlO2:C and α-Al2O3:C

    NASA Astrophysics Data System (ADS)

    Agarwal, Mini; Garg, Sandeep K.; Asokan, K.; Kumar, Pratik

    2018-06-01

    The present study focuses on the synthesis and characterization of carbon doped nano-phosphors, LiAlO2 and α-Al2O3 and their temperature-dependent optically stimulated luminescence (TA-OSL) characteristics in the temperature ranges of 25-350 °C. These nano-phosphors with the carbon concentration of 0.01 mol% exhibits high luminescent intensity for LiAlO2:C in the low dose range of 1 mGy-7 Gy and for α-Al2O3:C in the range of 100 mGy-1 kGy. Both these nano-phosphors are of polycrystalline in nature, having grain size 15-50 nm as confirmed by the X-ray diffraction (XRD) and Transmission Electron Microscopy (TEM), respectively. The maximum TA-OSL intensities are observed at 125 °C for LiAlO2:C and 200 °C for Al2O3:C, and reveal the presence of deep defect centres. The Arrhenius analysis shows the activation energies Ea = 0.06 ± 0.02 eV for LiAlO2:C and Ea = 0.04 ± 0.01 eV, & Eb = 0.48 ± 0.07 eV for Al2O3:C. The TA-OSL and OSL characteristics are discussed with special reference to the medical and high radiation dosimetry. These compounds, LiAlO2:C and α-Al2O3:C, are non-toxic, robust and are potential candidates for reusable dosimetry.

  15. 2D layered insulator hexagonal boron nitride enabled surface passivation in dye sensitized solar cells.

    PubMed

    Shanmugam, Mariyappan; Jacobs-Gedrim, Robin; Durcan, Chris; Yu, Bin

    2013-11-21

    A two-dimensional layered insulator, hexagonal boron nitride (h-BN), is demonstrated as a new class of surface passivation materials in dye-sensitized solar cells (DSSCs) to reduce interfacial carrier recombination. We observe ~57% enhancement in the photo-conversion efficiency of the DSSC utilizing h-BN coated semiconductor TiO2 as compared with the device without surface passivation. The h-BN coated TiO2 is characterized by Raman spectroscopy to confirm the presence of highly crystalline, mixed monolayer/few-layer h-BN nanoflakes on the surface of TiO2. The passivation helps to minimize electron-hole recombination at the TiO2/dye/electrolyte interfaces. The DSSC with h-BN passivation exhibits significantly lower dark saturation current in the low forward bias region and higher saturation in the high forward bias region, respectively, suggesting that the interface quality is largely improved without impeding carrier transport at the material interface. The experimental results reveal that the emerging 2D layered insulator could be used for effective surface passivation in solar cell applications attributed to desirable material features such as high crystallinity and self-terminated/dangling-bond-free atomic planes as compared with high-k thin-film dielectrics.

  16. Evolution of the interfacial phases in Al2O3-Kovar® joints brazed using a Ag-Cu-Ti-based alloy

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2017-04-01

    A systematic investigation of the brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using the active braze alloy (ABA) Ag-35.25Cu-1.75Ti wt.% has been undertaken to study the chemical reactions at the interfaces of the joints. The extent to which silica-based secondary phases in the Al2O3 participate in the reactions at the ABA/Al2O3 interface has been clarified. Another aspect of this work has been to determine the influence of various brazing parameters, such as the peak temperature, Tp, and time at Tp, τ, on the resultant microstructure. As a consequence, the microstructural evolution of the joints as a function of Tp and τ is discussed in some detail. The formation of a Fe2Ti layer on the Kovar® and its growth, along with adjacent Ni3Ti particles in the ABA, dominate the microstructural developments at the ABA/Kovar® interface. The presence of Kovar® next to the ABA does not change the intrinsic chemical reactions occurring at the ABA/Al2O3 interface. However, the extent of these reactions is limited if the purity of the Al2O3 is high, and so it is necessary to have some silica-rich secondary phase in the Al2O3 to facilitate the formation of a Ti3Cu3O layer on the Al2O3. Breakdown of the Ti3Cu3O layer, together with fracture of the Fe2Ti layer and separation of this layer from the Kovar®, has been avoided by brazing at temperatures close to the liquidus temperature of the ABA for short periods of time, e.g., for Tp between 820 and 830 °C and τ between 2 and 8 min.

  17. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  18. Statistical evaluation of potential damage to the Al(OH)3 layer on nTiO2 particles in the presence of swimming pool and seawater

    NASA Astrophysics Data System (ADS)

    Virkutyte, Jurate; Al-Abed, Souhail R.

    2012-03-01

    Nanosized TiO2 particles (nTiO2) are usually coated with an Al(OH)3 layer when used in sunscreen to shield against the harmful effects of free radicals that are generated when these particles are exposed to UV radiation. Therefore, it is vital to insure the structural stability of these particles in the environment where the protective layer may be damaged and adverse health and environmental effects can occur. This study utilized X-ray analysis (SEM-EDS) to provide a qualitative and semi-quantitative assessment of the chemical and physical characteristics of Al(OH)3-coated original and damaged nTiO2 particles (used in sunscreen lotion formulations) in the presence of both swimming pool and seawater. Also, by utilizing statistical tools, a distribution of Al/Ti (%) on the particle surface was determined and evaluated. It was found that 45 min of treatment with swimming pool and seawater significantly induced the redistribution of Al/Ti (%), which changed the surface characteristics of particles and, therefore, may have induced undesired photo-activity and the consequent formation of free radicals.

  19. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  20. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-01-01

    100 eV electrons are stopped in the H 2 O portion of the isotopically-layered nanoscale film on α-Al 2 O 3 (0001) but D 2 is produced at the D 2 O/alumina interface by mobile electronic excitations and/or hydronium ions.

  1. Behavior of Al2O3 and SiO2 with heating in a Cl2 + CO stream

    NASA Technical Reports Server (NTRS)

    Shchetinin, L. K.

    1984-01-01

    Differential thermal analysis (DTA) and Thermogravimetric analysis (TGA) were used to study the chlorination of alpha-Al2O3, gamma-Al2O3 and amorphous SiO2 in a Cl + CO stream, for the preparation of AlCl3 and SiCl4. The chlorination starting temperatures were 235 deg for Al2O3 and 680 deg for SiO2. The chlorination of alpha- and gamma-Al2O3 takes place via the formation of AlOCl as an intermediate product, and its subsequent dissociation at 480 to 560 deg, according to 3AlOCl yields AlCl3 + Al2O3. The chlorination activation energies are given for the three oxides.

  2. Carrier Density at LaAlO3/SrTiO3 Interfaces: Evidence of Electronic Reconstruction.

    NASA Astrophysics Data System (ADS)

    Xi, Xiaoxing

    The origin of the 2D electron gas at the LaAlO3/SrTiO3 interface has been a controversial subject ever since its discovery. A serious inconsistency with the most accepted mechanism, an electronic reconstruction in response to a polar discontinuity at the interface, is that the carrier densities reported experimentally are invariably lower than the expected value except under conditions where reduction of SrTiO3 substrate is suspected. We have grown LaAlO3 films of different stoichiometry on TiO2-terminated SrTiO3 substrates using atomic layer-by-layer laser molecular beam epitaxy (ALL-Laser MBE), in which La2O3 and Al2O3 targets were sequentially ablated in 37 mTorr oxygen. The high oxygen pressure during growth prevents the possible oxygen reduction in SrTiO3, ensures that the LaAlO3 films are sufficiently oxygenated, and suppresses the La-Sr intermixing due to the bombardment effect. X-ray linear dichroism (XLD) and x-ray magnetic circular dichroism (XMCD) measurements show characteristics of oxygenated samples. In the electronic reconstruction picture, instead of the charge transfer of half of an electron in the case of a sufficiently thick stoichiometric LaAlO3, a LaAlO3 film thickness dependence is expected as well as a linear dependence on stoichiometry. Our experimental results on carrier densities in 10 nm-thick LaAl1 +yO3(1 +0.5y) films agree quantitatively with the theoretical expectations, lending a strong support for the electronic reconstruction mechanism. This material is based upon work supported by the U.S. Department of Energy, Office of Science, under Grant No. DE-SC0004764.

  3. Electrochemical and solid-state NMR studies on LiCoO 2 coated with Al 2O 3 derived from carboxylate-alumoxane

    NASA Astrophysics Data System (ADS)

    Fey, George T. K.; Kao, H. M.; Muralidharan, P.; Kumar, T. P.; Cho, Y. D.

    The surface of LiCoO 2 cathodes was coated with various wt.% of Al 2O 3 derived from methoxyethoxy acetate-alumoxane (MEA-alumoxane) by a mechano-thermal coating procedure, followed by calcination at 723 K in air for 10 h. The structure and morphology of the surface modified LiCoO 2 samples have been characterized with XRD, SEM, EDS, TEM, BET, XPS/ESCA and solid-state 27Al magic angle spinning (MAS) NMR techniques. The Al 2O 3 coating forms a thin layer on the surface of the core material with an average thickness of 20 nm. The corresponding 27Al MAS NMR spectrum basically exhibited the same characteristics as the spectrum for pristine Al 2O 3 derived from MEA-alumoxane, indicating that the local environment of aluminum atoms was not significantly changed at coating levels below 1 wt.%. This provides direct evidence that Al 2O 3 was on the surface of the core materials. The LiCoO 2 coated with 1 wt.% Al 2O 3 sustained continuous cycle stability 13 times longer than pristine LiCoO 2. A comparison of the electrochemical impedance behavior of the pristine and coated materials revealed that the failure of pristine cathode performance is associated with an increase in the particle-particle resistance upon continuous cycling. Coating improved the cathode performance by suppressing the characteristic structural phase transitions (hexagonal to monoclinic to hexagonal) that occur in pristine LiCoO 2 during the charge-discharge processes.

  4. Dual functional passivating layer of graphene/TiO2 for improved performance of dye-sensitized solar cells

    NASA Astrophysics Data System (ADS)

    Shahid, Muhammad Umair; Mohamed, Norani Muti; Muhsan, Ali Samer; Khatani, Mehboob; Bashiri, Robabeh; Zaine, Siti Nur Azella; Shamsudin, Adel Eskandar

    2018-02-01

    The FTO/TiO2 interface plays a crucial role in the performance of dye-sensitized solar cells (DSSCs). The uneven microstructure morphology of FTO (fluorine-doped tin oxide) glass surface and high porosity of TiO2 layer produce tiny gaps and voids at the FTO/TiO2 interface that breaks the connectivity, leading to an increase in the recombination process. In the current work, a dual functional passivating layer is introduced by the combination of the graphene/TiO2 compact layer. The excellent mobility and flexibility of graphene is capitalized using its layer to fill the voids in the FTO surface, which can consequently reduce the charge transfer resistance at the interface, while the added TiO2 compact layer avoids direct contact with the electrolyte thus reducing the recombination. Graphene was synthesized by the facile solvent exfoliation method with the assistance of the probe sonication process. The parameters of sonication were optimized to achieve high-quality concentrated graphene inks (0.177-0.51 mg/ml). Raman spectroscopy and transmission electron microscopy (TEM) revealed that the graphene obtained is of a few-layer type. Electrochemical impedance spectroscopy (EIS) analysis indicated that the incorporated compact layer of graphene/TiO2 was capable of accelerating the charge transfer and reducing the recombination process at the FTO/TiO2 interface. Consequently, the photoconversion efficiency (PCE) for the device (1 cm2 active area) with double-coated graphene layer under one sun irradiation (AM 1.5) was found to be 49.49% higher than the conventional one.

  5. Nature of weak magnetism in SrTiO3/LaAlO3 multilayers.

    PubMed

    Salman, Z; Ofer, O; Radovic, M; Hao, H; Ben Shalom, M; Chow, K H; Dagan, Y; Hossain, M D; Levy, C D P; Macfarlane, W A; Morris, G M; Patthey, L; Pearson, M R; Saadaoui, H; Schmitt, T; Wang, D; Kiefl, R F

    2012-12-21

    We report the observation of weak magnetism in superlattices of LaAlO(3)/SrTiO(3) using β-detected nuclear magnetic resonance. The spin lattice relaxation rate of ^{8}Li in superlattices with a spacer layers of 8 and 6 unit cells of LaAlO(3) exhibits a strong peak near ~35 K, whereas no such peak is observed in a superlattice with spacer layer thickness of 3 unit cells. We attribute the observed temperature dependence to slowing down of weakly coupled electronic moments at the LaAlO(3)/SrTiO(3) interface. These results show that the magnetism at the interface depends strongly on the thickness of the spacer layer, and that a minimal thickness of ~4-6 unit cells is required for the appearance of magnetism. A simple model is used to determine that the observed relaxation is due to small fluctuating moments (~0.002μ(B)) in the two samples with a larger LaAlO(3) spacer thickness.

  6. Density functional theory study of HfCl4, ZrCl4, and Al(CH3)3 decomposition on hydroxylated SiO2: Initial stage of high-k atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Jeloaica, L.; Estève, A.; Djafari Rouhani, M.; Estève, D.

    2003-07-01

    The initial stage of atomic layer deposition of HfO2, ZrO2, and Al2O3 high-k films, i.e., the decomposition of HfCl4, ZrCl4, and Al(CH3)3 precursor molecules on an OH-terminated SiO2 surface, is investigated within density functional theory. The energy barriers are determined using artificial activation of vibrational normal modes. For all precursors, reaction proceeds through the formation of intermediate complexes that have equivalent formation energies (˜-0.45 eV), and results in HCl and CH4 formation with activation energies of 0.88, 0.91, and 1.04 eV for Hf, Zr, and Al based precursors, respectively. The reaction product of Al(CH3)3 decomposition is found to be more stable (by -1.45 eV) than the chemisorbed intermediate complex compared to the endothermic decomposition of HfCl4 and ZrCl4 chemisorbed precursors (0.26 and 0.29 eV, respectively).

  7. Influence of annealing environment on the ALD-Al2O3/4H-SiC interface studied through XPS

    NASA Astrophysics Data System (ADS)

    Usman, Muhammad; Arshad, Muhammad; Saveda Suvanam, Sethu; Hallén, Anders

    2018-03-01

    The instability of Al2O3/4H-SiC interface at various process temperatures and ambient is investigated by the annealing of Al2O3/4H-SiC in low vacuum conditions as well as in N2 environments. Atomic layer deposited Al2O3 on a 4H-SiC substrate with 3, 6 and 10 nm of thicknesses is treated at 300, 500, 700 and 900 °C under the vacuum level of 10-1 torr. The as-deposited and annealed structures are analyzed using x-ray photoelectron spectroscopy. It is hypothesized that the minute quantity of oxygen present in low vacuum conditions diffuses through thin layers of Al2O3 and helps in forming SiO2 at the interface even at low temperatures (i.e. 300 °C), which plays a pivotal role in determining the electrical properties of the interface. It is also proved that the absence of oxygen in the ambient prevents the formation of SiO2 at low temperatures. Additionally, it is observed that Al-OH is present in as-deposited layers, which gradually reduces after annealing. However, at around 700 °C, the concentration of oxygen in the whole structure increases to maximum and reduces at 900 °C.

  8. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  9. Effect of Layer Thickness in Selective Laser Melting on Microstructure of Al/5 wt.%Fe2O3 Powder Consolidated Parts

    PubMed Central

    Hao, Liang

    2014-01-01

    In situ reaction was activated in the powder mixture of Al/5 wt.%Fe2O3 by using selective laser melting (SLM) to directly fabricate aluminium metal matrix composite parts. The microstructural characteristics of these in situ consolidated parts through SLM were investigated under the influence of thick powder bed, 75 μm layer thickness, and 50 μm layer thickness in various laser powers and scanning speeds. It was found that the layer thickness has a strong influence on microstructural outcome, mainly attributed to its impact on oxygen content of the matrix. Various microstructural features (such as granular, coralline-like, and particulate appearance) were observed depending on the layer thickness, laser power, and scanning speed. This was associated with various material combinations such as pure Al, Al-Fe intermetallics, and Al(-Fe) oxide phases formed after in situ reaction and laser rapid solidification. Uniformly distributed very fine particles could be consolidated in net-shape Al composite parts by using lower layer thickness, higher laser power, and lower scanning speed. The findings contribute to the new development of advanced net-shape manufacture of Al composites by combining SLM and in situ reaction process. PMID:24526879

  10. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  11. Analyzing the anodic reactions for iron surface with a porous Al2O3 cluster with the scanning vibrating electrode

    NASA Astrophysics Data System (ADS)

    Eliyan, Faysal Fayez

    2017-09-01

    The Scanning Vibrating Electrode Technique (SVET) was used to analyze the anodic reactions inside and around a porous Al2O3 cluster embedded onto an iron foil. The tests were carried out at -0.7 V vs. Saturated Calomel Electrode, in naturally aerated solutions of 0.1, 0.2, 0.35, and 0.5 M bicarbonate concentration. During 10 h of testing, the SVET showed evidence for a formation of a passive film in and around the cluster, in the scanning area shown in the graphical abstract. In the dilute 0.1 and 0.2 M solutions, the passive films formed slower than those in 0.35 and 0.5 M solutions. In the SVET maps, the passive films showed that they could suppress dissolution to currents comparable to those of slower dissolution under the porous Al2O3 cluster.

  12. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  13. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  14. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  15. A Biopolymer Heparin Sodium Interlayer Anchoring TiO2 and MAPbI3 Enhances Trap Passivation and Device Stability in Perovskite Solar Cells.

    PubMed

    You, Shuai; Wang, Hui; Bi, Shiqing; Zhou, Jiyu; Qin, Liang; Qiu, Xiaohui; Zhao, Zhiqiang; Xu, Yun; Zhang, Yuan; Shi, Xinghua; Zhou, Huiqiong; Tang, Zhiyong

    2018-04-18

    Traps in the photoactive layer or interface can critically influence photovoltaic device characteristics and stabilities. Here, traps passivation and retardation on device degradation for methylammonium lead trihalide (MAPbI 3 ) perovskite solar cells enabled by a biopolymer heparin sodium (HS) interfacial layer is investigated. The incorporated HS boosts the power conversion efficiency from 17.2 to 20.1% with suppressed hysteresis and Shockley-Read-Hall recombination, which originates primarily from the passivation of traps near the interface between the perovskites and the TiO 2 cathode. The incorporation of an HS interfacial layer also leads to a considerable retardation of device degradation, by which 85% of the initial performance is maintained after 70 d storage in ambient environment. Aided by density functional theory calculations, it is found that the passivation of MAPbI 3 and TiO 2 surfaces by HS occurs through the interactions of the functional groups (COO - , SO 3 - , or Na + ) in HS with undersaturated Pb and I ions in MAPbI 3 and Ti 4+ in TiO 2 . This work demonstrates a highly viable and facile interface strategy using biomaterials to afford high-performance and stable perovskite solar cells. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Broadband infrared luminescence from Li2O-Al2O3-ZnO-SiO2 glasses doped with Bi2O3.

    PubMed

    Peng, Mingying; Qiu, Jianrong; Chen, Danping; Meng, Xiangeng; Zhu, Congshan

    2005-09-05

    The broadband emission in the 1.2~1.6mum region from Li2O-Al2O3-ZnO-SiO2 ( LAZS ) glass codoped with 0.01mol.%Cr2O3 and 1.0mol.%Bi2O3 when pumped by the 808nm laser at room temperature is not initiated from Cr4+ ions, but from bismuth, which is remarkably different from the results reported by Batchelor et al. The broad ~1300nm emission from Bi2O3-containing LAZS glasses possesses a FWHM ( Full Width at Half Maximum ) more than 250nm and a fluorescent lifetime longer than 500mus when excited by the 808nm laser. These glasses might have the potential applications in the broadly tunable lasers and the broadband fiber amplifiers.

  17. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  18. Oxidation of Al2O3 continuous fiber-reinforced/NiAl composites

    NASA Technical Reports Server (NTRS)

    Doychak, J.; Nesbitt, J. A.; Noebe, R. D.; Bowman, R. R.

    1992-01-01

    The 1200 C and 1300 C isothermal and cyclic oxidation behavior of Al2O3 continuous fiber-reinforced/NiAl composites were studied. Oxidation resulted in formation of Al2O3 external scales in a similar manner as scales formed on monolithic NiAl. The isothermal oxidation of an Al2O3/NiAl composite resulted in oxidation of the matrix along the fiber/matrix interface near the fiber ends. This oxide acted as a wedge between the fiber and the matrix, and, under cyclic oxidation conditions, led to further oxidation along the fiber lengths and eventual cracking of the composite. The oxidation behavior of composites in which the Al2O3 fibers were sputter coated with nickel prior to processing was much more severe. This was attributed to open channels around the fibers which formed during processing, most likely as a result of the diffusion of the nickel coating into the matrix.

  19. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  20. Effect of AL2O3 and TiO2 nanoparticles on aquatic organisms

    NASA Astrophysics Data System (ADS)

    Gosteva, I.; Morgalev, Yu; Morgaleva, T.; Morgalev, S.

    2015-11-01

    Environmental toxicity of aqueous disperse systems of nanoparticles of binary compounds of titanium dioxides (with particle size Δ50=5 nm, Δ50=50 nm, Δ50=90 nm), aluminum oxide alpha-forms (Δ50=7 nm and Δ50=70 nm) and macro forms (TiO2 Δ50=350 nm, Al2O3 A50=4000 nm) were studied using biological testing methods. The bioassay was performed using a set of test organisms representing the major trophic levels. We found the dependence of the toxic effect concentration degree of nTiO2 and nAl2O3 on the fluorescence of the bacterial biosensor "Ekolyum", the chemotactic response of ciliates Paramecium caudatum, the growth of unicellular algae Chlorella vulgaris Beijer and mortality of entomostracans Daphnia magna Straus. We revealed the selective dependence of nTiO2 and nAl2O3 toxicity on the size, concentration and chemical nature of nanoparticles. The minimal concentration causing an organism's response on nTiO2 and nAl2O3 effect depends on the type of the test- organism and the test reaction under study. We specified L(E)C50 and acute toxicity categories for all the studied nanoparticles. We determined that nTiO2 (Δ50=5 nm) belong to the category «Acute toxicity 1», nTiO2 (A50=90 nm) and nAl2O3 (Δ50=70 nm) - to the category «Acute toxicity 2», nAl2O3 (Δ50=7 nm) - to the category «Acute toxicity 3». No acute toxicity was registered for nTiO2 (Δ50=50 nm) and macro form TiO2.

  1. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  2. Interactions of Organic Solvents at Graphene/α-Al 2 O 3 and Graphene Oxide/α-Al 2 O 3 Interfaces Studied by Sum Frequency Generation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Achtyl, Jennifer L.; Vlassiouk, Ivan V.; Dai, Sheng

    2014-07-17

    The adsorption of 1-hexanol from cyclohexane-d12 at single-layer graphene/α-Al2O3 interfaces was probed at mole percent values as low as 0.05 in the C–H stretching region using vibrational sum frequency generation (SFG). The SFG spectra are indiscernible from those obtained in the absence of graphene, and from those obtained in the presence of graphene oxide films prepared via oxygen plasma treatment of pristine single-layer graphene. A Langmuir adsorption model yields observed free adsorption energies of -19.9(5) to -20.9(3) kJ/mol for the three interfaces. The results indicate that the molecular structure of the hexanol alkyl chain is subject to the same orientationmore » distribution when graphene, oxidized or not, is present or absent at the α-Al2O3/cyclohexane-d12 interface. Moreover, it appears that the adsorption of 1-hexanol in this binary mixture is driven by hexanol interactions with the underlying oxide support, and that a single layer of graphene does not influence the extent of this interaction, even when defects are introduced to it. Finally, our structural and quantitative thermodynamic data provide important benchmarks for theoretical calculations and atomistic simulations of liquid/graphene interfaces. We hypothesize that defects emerging in graphene during operation of any device application that relies on layered solvent/graphene/oxide interfaces have little impact on the interfacial structure or thermodynamics, at least for the binary mixture and over the range of defect densities probed in our studies.« less

  3. Emission analysis of RE3+ (RE = Sm, Dy):B2O3-TeO2-Li2O-AlF3 glasses.

    PubMed

    Raju, C Nageswara; Sailaja, S; Kumari, S Pavan; Dhoble, S J; Kumar, V Ramesh; Ramanaiah, M V; Reddy, B Sudhakar

    2013-01-01

    This article reports on the optical properties of 0.5% mol of Sm(3+), Dy(3+) ion-doped B2O3-TeO2-Li2O-AlF3 (LiAlFBT) glasses. The glass samples were characterized by optical absorption and emission spectra. Judd-Ofelt theory was applied to analyze the optical absorption spectra and calculate the intensity parameters and radiative properties of the emission transitions. The emission spectra of Sm(3+) and Dy(3+):LiAlFBT glasses showed a bright reddish-orange emission at 598 nm ((4)G5/2 → (6)H7/2) and an intense yellow emission at 574 nm ((4)F9/2 → (6)H13/2), respectively. Full width at half maximum (FWHM), stimulated emission cross section, gain bandwidth and optical gain values were also calculated to extend the applications of the Sm(3+) and Dy(3+):LiAlFBT glasses. Copyright © 2012 John Wiley & Sons, Ltd.

  4. Crack-healing function of metal/Al2O3 hybrid materials

    NASA Astrophysics Data System (ADS)

    Nanko, M.; Maruoka, D.; Nguyen, T. D.

    2011-10-01

    Nano-Ni/Al2O3 hybrid materials have the crack-healing function by thermal oxidation process such as 1200°C for 6 h in air. In this hybrid material system, crack was filled up by an oxidation product, NiAl2O4, via outward diffusion of cations along grain boundaries of Al2O3 matrix. Ni/Al2O3 with Y2O3 doping and SiC+Ni/Al2O3 nano-hybrid materials have similar crack-healing performance with better oxidation resistance at high temperatures than Ni/Al2O3 nano-hybrid materials. Mo/Al2O3 hybrid materials were studied on a candidate with crack-healing function via thermal oxidation process at temperatures as low as 700°C.

  5. Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET

    DTIC Science & Technology

    2011-10-01

    explored the use of in situ deposition of Al2O3 on GaSb grown on InP using molecular beam epitaxy and reported Dit values in the low 1012/cm2eV range near...M. Heyns, M. Caymax, and J. Dekoster, “GaSb mole- cular beam epitaxial growth on p-InP(001) and passivation with in situ deposited Al2O3 gate oxide...transmission electron microscopy. Capacitors were made on these films using platinum (Pt) electrode deposited in an e- beam evaporator through a shadow

  6. Investigation of the fabrication processes of AlGaN/AlN/GaN HEMTs with in situ Si{sub 3}N{sub 4} passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomosh, K. N., E-mail: sky77781@mail.ru; Pavlov, A. Yu.; Pavlov, V. Yu.

    2016-10-15

    The optimum mode of the in situ plasma-chemical etching of a Si{sub 3}N{sub 4} passivating layer in C{sub 3}F{sub 8}/O{sub 2} medium is chosen for the case of fabricating AlGaN/AlN/GaN HEMTs. It is found that a bias of 40–50 V at a high-frequency electrode provides anisotropic etching of the insulator through a resist mask and introduces no appreciable radiation-induced defects upon overetching of the insulator films in the region of gate-metallization formation. To estimate the effect of in situ Si{sub 3}N{sub 4} growth together with the heterostructure in one process on the AlGaN/AlN/GaN HEMT characteristics, transistors with gates without themore » insulator and with gates through Si{sub 3}N{sub 4} slits are fabricated. The highest drain current of the AlGaN/AlN/GaN HEMT at 0 V at the gate is shown to be 1.5 times higher in the presence of Si{sub 3}N{sub 4} than without it.« less

  7. Statistical Evaluation of Potential Damage to the Al(OH)3 Layer on nTiO2 Particles in the Presence of Swimming Pool and Seawater

    EPA Science Inventory

    Nanosized TiO2 particles (nTiO2) are usually coated with an Al(OH)3 layer when used in sunscreen to shield against the harmful effects of free radicals that are generated when these particles are exposed to UV radiation. Therefore, it is vital to ...

  8. UiO-66-NH2 Metal-Organic Framework (MOF) Nucleation on TiO2, ZnO, and Al2O3 Atomic Layer Deposition-Treated Polymer Fibers: Role of Metal Oxide on MOF Growth and Catalytic Hydrolysis of Chemical Warfare Agent Simulants.

    PubMed

    Lee, Dennis T; Zhao, Junjie; Oldham, Christopher J; Peterson, Gregory W; Parsons, Gregory N

    2017-12-27

    Metal-organic frameworks (MOFs) chemically bound to polymeric microfibrous textiles show promising performance for many future applications. In particular, Zr-based UiO-66-family MOF-textiles have been shown to catalytically degrade highly toxic chemical warfare agents (CWAs), where favorable MOF/polymer bonding and adhesion are attained by placing a nanoscale metal-oxide layer on the polymer fiber preceding MOF growth. To date, however, the nucleation mechanism of Zr-based MOFs on different metal oxides and how product performance is affected are not well understood. Herein, we provide new insight into how different inorganic nucleation films (i.e., Al 2 O 3 , ZnO, or TiO 2 ) conformally coated on polypropylene (PP) nonwoven textiles via atomic layer deposition (ALD) influence the quality, overall surface area, and the fractional yield of UiO-66-NH 2 MOF crystals solvothermally grown on fiber substrates. Of the materials explored, we find that TiO 2 ALD layers lead to the most effective overall MOF/fiber adhesion, uniformity, and a rapid catalytic degradation rate for a CWA simulant, dimethyl p-nitrophenyl phosphate (DMNP) with t 1/2 = 15 min, 580-fold faster than the catalytic performance of untreated PP textiles. Interestingly, compared to ALD TiO 2 and Al 2 O 3 , ALD ZnO induces a larger MOF yield in solution and mass loading on PP fibrous mats. However, this larger MOF yield is ascribed to chemical instability of the ZnO layer under MOF formation condition, leading to Zn 2+ ions that promote further homogeneous MOF growth. Insights presented here improve understanding of compatibility between active MOF materials and substrate surfaces, which we believe will help advanced MOF composite materials for a variety of useful functions.

  9. Effects of Al2O3, B2O3, Li2O, Na2O, and SiO2 on Nepheline Crystallization in Hanford High Level Waste Glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kroll, Jared O.; Vienna, John D.; Schweiger, Michael J.

    2016-09-15

    Nepheline (nominally NaAlSiO4) formation during slow cooling of high-alumina (25.4 - 34.5 mass% Al2O3) Hanford high level waste glasses may significantly reduce product durability. To investigate the effects of composition on nepheline crystallization, 29 compositions were formulated by adjusting Al2O3, B2O3, Li2O, Na2O, and SiO2 around a baseline glass that precipitated 12 mass% nepheline. Thirteen of these compositions were generated by adjusting one-component-at-a-time, while two or three components were adjusted to produce the other 16 (with all remaining components staying in the same relative proportions). Quantitative X-ray diffraction was used to determine nepheline concentration in each sample. Twenty two glassesmore » precipitated nepheline, two of which also precipitated eucryptite (nominally LiAlSiO4), and one glass formed only eucryptite upon slow cooling. Increasing Na2O and Li2O had the strongest effect in promoting nepheline formation. Increasing B2O3 inhibited nepheline formation. SiO2 and Al2O3 showed non-linear behavior related to nepheline formation. The composition effects on nepheline formation in these glasses are reported.« less

  10. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.100 eV electrons are stopped in the H 2O portion of the isotopically-layered nanoscale film on α-Al 2O 3(0001) but D 2is produced at the D 2O/alumina interface by mobile electronic excitations and/or hydronium ions.« less

  11. Phase equilibria investigations and thermodynamic modeling of the system Bi2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Oudich, F.; David, N.; Mathieu, S.; Vilasi, M.

    2015-02-01

    The system Bi2O3-Al2O3 has been experimentally investigated above 600 °C by DTA, XRD and EPMA under air and low oxygen pressure. Only two compounds were found to exist in equilibrium, which are Bi2Al4O9(1Bi2O3:2Al2O3) and Bi25AlO39(25:1). The latter exhibits a sillenite structure and does not contain pentavalent bismuth. A peritectoid decomposition of (25:1) and a peritectic melting of (1:2) occur at 775 °C and 1075 °C respectively, while an eutectic transformation was observed at 815 °C for 97 mol% Bi2O3. On the basis of the results obtained within the present work as well as experimental data provided from literature, a thermodynamic modeling where the liquid phase is described by the two-sublattice ionic liquid model was performed according to the Calphad approach. The resulting thermodynamic optimization yielded good agreement with experimental results in the investigated region.

  12. ALD TiO x as a top-gate dielectric and passivation layer for InGaZnO115 ISFETs

    NASA Astrophysics Data System (ADS)

    Pavlidis, S.; Bayraktaroglu, B.; Leedy, K.; Henderson, W.; Vogel, E.; Brand, O.

    2017-11-01

    The suitability of atomic layer deposited (ALD) titanium oxide (TiO x ) as a top gate dielectric and passivation layer for indium gallium zinc oxide (InGaZnO115) ion sensitive field effect transistors (ISFETs) is investigated. TiO x is an attractive barrier material, but reports of its use for InGaZnO thin film transistor (TFT) passivation have been conflicting thus far. In this work, it is found that the passivated TFT’s behavior depends on the TiO x deposition temperature, affecting critical device characteristics such as threshold voltage, field-effect mobility and sub-threshold swing. An O2 annealing step is required to recover TFT performance post passivation. It is also observed that the positive bias stress response of the passivated TFTs improves compared the original bare device. Secondary ion mass spectroscopy excludes the effects of hydrogen doping and inter-diffusion as sources of the temperature-dependent performance change, therefore indicating that oxygen gettering induced by TiO x passivation is the likely source of oxygen vacancies and, consequently, carriers in the InGaZnO film. It is also shown that potentiometric sensing using ALD TiO x exhibits a near Nernstian response to pH change, as well as minimizes V TH drift in TiO x passivated InGaZnO TFTs immersed in an acidic liquid. These results add to the understanding of InGaZnO passivation effects and underscore the potential for low-temperature fabricated InGaZnO ISFETs to be used as high-performance mobile chemical sensors.

  13. Lifetime assessment of atomic-layer-deposited Al2O3-Parylene C bilayer coating for neural interfaces using accelerated age testing and electrochemical characterization.

    PubMed

    Minnikanti, Saugandhika; Diao, Guoqing; Pancrazio, Joseph J; Xie, Xianzong; Rieth, Loren; Solzbacher, Florian; Peixoto, Nathalia

    2014-02-01

    The lifetime and stability of insulation are critical features for the reliable operation of an implantable neural interface device. A critical factor for an implanted insulation's performance is its barrier properties that limit access of biological fluids to the underlying device or metal electrode. Parylene C is a material that has been used in FDA-approved implantable devices. Considered a biocompatible polymer with barrier properties, it has been used as a substrate, insulation or an encapsulation for neural implant technology. Recently, it has been suggested that a bilayer coating of Parylene C on top of atomic-layer-deposited Al2O3 would provide enhanced barrier properties. Here we report a comprehensive study to examine the mean time to failure of Parylene C and Al2O3-Parylene C coated devices using accelerated lifetime testing. Samples were tested at 60°C for up to 3 months while performing electrochemical measurements to characterize the integrity of the insulation. The mean time to failure for Al2O3-Parylene C was 4.6 times longer than Parylene C coated samples. In addition, based on modeling of the data using electrical circuit equivalents, we show here that there are two main modes of failure. Our results suggest that failure of the insulating layer is due to pore formation or blistering as well as thinning of the coating over time. The enhanced barrier properties of the bilayer Al2O3-Parylene C over Parylene C makes it a promising candidate as an encapsulating neural interface. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  14. Millimeter distance effects of surface plasmon polaritons in electroformed Al-Al2O3-Ag diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2017-02-01

    Electroforming of metal-insulator-metal diodes is a soft dielectric breakdown that changes the high resistance of as-prepared diodes to a low resistance state. Electroforming of Al-Al2O3-metal diodes with anodic Al2O3 results in voltage-controlled negative resistance in the current-voltage (I-V) characteristics, electroluminescence (EL), and electron emission into vacuum (EM). EL is due to electrons injected at the Al-Al2O3 interface combining with radiative defects in Al2O3. Surface plasmon polaritons (SPPs) are electromagnetic waves that can be excited by photons or electrons. SPPs are confined to a metal-dielectric interface, cause large electric fields in the metal and dielectric, and have ranges of micrometers. The temperature dependence of I-V curves, EL, and EM of a group of electroformed Al-Al2O3-Ag diodes with Al2O3 thicknesses between 12 nm and 20 nm, group A, was measured between 200 K and 300 K. After a sequence of temperature measurements, the Al-Al2O3-Ag diodes, the Al-Al2O3 regions between diodes, and portions of the Ag on the glass region that provides contacts to the diodes are darkened. The range of darkening is >7 mm in a diode with 12 nm of Al2O3 and 2.0-3.5 mm in diodes with Al2O3 thicknesses between 14 nm and 20 nm. Darkening is attributed to the occurrence of SPPs generated by EL photons at the Ag-Al2O3 and Al-Al2O3 interfaces. The results are compared to a second group of Al-Al2O3-Ag diodes with identical Al2O3 thicknesses, group B, that were prepared in the same way as the diodes of group A except for a difference in the deposition of Al films for the two groups. Al-Al2O3-Ag diodes of group B exhibit enhanced EL, which is attributed to spontaneous emission of recombination centers in Al2O3 being enhanced by large electromagnetic fields that are due to SPPs that are generated by EL photons.

  15. High-κ Al2O3 material in low temperature wafer-level bonding for 3D integration application

    NASA Astrophysics Data System (ADS)

    Fan, J.; Tu, L. C.; Tan, C. S.

    2014-03-01

    This work systematically investigated a high-κ Al2O3 material for low temperature wafer-level bonding for potential applications in 3D microsystems. A clean Si wafer with an Al2O3 layer thickness of 50 nm was applied as our experimental approach. Bonding was initiated in a clean room ambient after surface activation, followed by annealing under inert ambient conditions at 300 °C for 3 h. The investigation consisted of three parts: a mechanical support study using the four-point bending method, hermeticity measurements using the helium bomb test, and thermal conductivity analysis for potential heterogeneous bonding. Compared with samples bonded using a conventional oxide bonding material (SiO2), a higher interfacial adhesion energy (˜11.93 J/m2) and a lower helium leak rate (˜6.84 × 10-10 atm.cm3/sec) were detected for samples bonded using Al2O3. More importantly, due to the excellent thermal conductivity performance of Al2O3, this technology can be used in heterogeneous direct bonding, which has potential applications for enhancing the performance of Si photonic integrated devices.

  16. Direct spectroscopic evidence for isolated silanols in SiO x/Al 2O 3 and their formation mechanism

    DOE PAGES

    Mouat, Aidan R.; Kobayashi, Takeshi; Pruski, Marek; ...

    2017-02-27

    Here, the preparation and unambiguous characterization of isolated Brønsted-acidic silanol species on silica–alumina catalysts presents a key challenge in the rational design of solid acid catalysts. In this report, atomic layer deposition (ALD) and liquid-phase preparation (chemical liquid deposition, CLD) are used to install the SiO x sites on Al 2O 3 catalysts using the same Si source (tetraethylorthosilicate, TEOS). The ALD-derived and CLD-derived SiO x sites are probed with dynamic nuclear polarization (DNP)-enhanced 29Si– 29Si double-quantum/single-quantum (DQ/SQ) correlation NMR spectroscopy. The investigation reveals conclusively that the SiO x/Al 2O 3 material prepared by ALD and CLD, followed by calcinationmore » under an O 2 stream, contains fully spatially isolated Si species, in contrast with those resulting from the calcination under static air, which is widely accepted as a postgrafting treatment for CLD. Insight into the formation mechanism of these sites is obtained via in situ monitoring of the TEOS + γ-Al 2O 3 reaction in an environmental diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS) cell. Upon calcination, the DRIFTS spectra of SiO x/Al 2O 3 reveal a signature unambiguously assignable to isolated Brønsted-acidic silanol species. Surprisingly, the results of this study indicate that the method of preparing SiO x/Al 2O 3 catalysts is less important to the final structure of the silanol sites than the post-treatment conditions. This finding should greatly simplify the methods for synthesizing site-isolated, Brønsted-acidic SiO x/Al 2O 3 catalysts.« less

  17. The effect of Al2O3, CaO, Cr2O3 and MgO on devitrification of silica

    NASA Technical Reports Server (NTRS)

    Zaplatynsky, Isidor

    1988-01-01

    The effect of doping on devitrification of vitreous silica was studied at 1100, 1200, and 1300 C. Dispersion of dopants on a molecular scale was accomplished via a sol-gel technique. All dopants accelerated the devitrification of silica but to different degrees. The most active was CaO followed by MgO, Al2O3, and Cr2O3. Pure silica and silica containing Cr2O3 and Al2O3 devitrified to alpha-cristobalite only, whereas silica doped with CaO and MgO produced alpha-quartz and alpha-cristobalite. It appears that prolonged heat treatment would cause alpha-quartz to transform to alpha-cristobalite.

  18. Laser Cladding of Ti-6Al-4V Alloy with Ti-Al2O3 Coating for Biomedical Applications

    NASA Astrophysics Data System (ADS)

    Mthisi, A.; Popoola, A. P. I.; Adebiyi, D. I.; Popoola, O. M.

    2018-05-01

    The indispensable properties of Ti-6Al-4V alloy coupled with poor tribological properties and delayed bioactivity make it a subject of interest to explore in biomedical application. A quite number of numerous coatings have been employed on titanium alloys, with aim to overcome the poor properties exhibited by this alloy. In this work, the possibility of laser cladding different ad-mixed powders (Ti - 5 wt.% Al2O3 and Ti - 8wt.% Al2O3) on Ti-6Al-4V at various laser scan speed (0.6 and 0.8 m/min) were investigated. The microstructure, phase constituents and corrosion of the resultant coatings were characterized by scanning electron microscope (SEM), Optical microscope, X-Ray diffractometer (XRD) and potentiostat respectively. The electrochemical behaviour of the produced coatings was studied in a simulated body fluid (Hanks solution). The microstructural results show that a defect free coating is achieved at low scan speed and ad-mixed of Ti-5 wt. % Al2O3. Cladding of Ti - Al2O3 improved the corrosion resistance of Ti-6Al-4V alloy regardless of varying neither scan speed nor ad-mixed percentage. However, Ti-5 wt.% Al2O3 coating produced at low scan speed revealed the highest corrosion resistance among the coatings due to better quality coating layer. Henceforth, this coating may be suitable for biomedical applications.

  19. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  20. CaO-MgO-Al 2O 3-SiO 2 (CMAS) corrosion of Gd 2Zr 2O 7 and Sm 2Zr 2O 7

    DOE PAGES

    Wang, Honglong; Bakal, Ahmet; Zhang, Xingxing; ...

    2016-08-08

    Ceramic thermal barrier coatings are applied to superalloys used in gas turbine engineering to increase the operating temperature and the energy conversion efficiency. However, dust consisting of CaO-MgO-Al 2O 3-SiO 2 (CMAS) from the air can be injected into the engines and corrode the thermal barrier coatings. Lanthanide zirconates are promising materials in thermal barrier coatings due to their low thermal conductivities, good phase stability and good corrosion resistance. However, the corrosion resistance mechanism of CMAS on lanthanide zirconates is still not clearly understood. In this work, the corrosion mechanism of Gd 2Zr 2O 7 and Sm 2Zr 2O 7more » in CMAS is studied. Here, the results show that the CMAS can easily react with lanthanide zirconate thermal barrier coatings to form a dense layer, which can resist further corrosion« less

  1. Interfacial charge-induced polarization switching in Al{sub 2}O{sub 3}/Pb(Zr,Ti)O{sub 3} bi-layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Yu Jin; Park, Min Hyuk; Jeon, Woojin

    2015-12-14

    Detailed polarization switching behavior of an Al{sub 2}O{sub 3}/Pb(Zr,Ti)O{sub 3} (AO/PZT) structure is examined by comparing the phenomenological thermodynamic model to the experimental polarization–voltage (P-V) results. Amorphous AO films with various thicknesses (2–10 nm) were deposited on the polycrystalline 150-nm-thick PZT film. The thermodynamic calculation showed that the transition from the ferroelectric-like state to the paraelectric-like state with increasing AO thickness occurs at ∼3 nm thickness. This paraelectric-like state should have exhibited a negative capacitance effect without permanent polarization switching if no other adverse effects are involved. However, experiments showed typical ferroelectric-like hysteresis loops where the coercive voltage increased with the increasingmore » AO thickness, which could be explained by the carrier injection through the thin AO layer and trapping of the carriers at the AO/PZT interface. The fitting of the experimental P-V loops using the thermodynamic model considering the depolarization energy effect showed that trapped charge density was ∼±0.1 Cm{sup −2} and critical electric field at the Pt electrode/AO interface, at which the carrier transport occurs, was ∼±10 MV/cm irrespective of the AO thickness. Energy band model at each electrostatic state along the P-V loop was provided to elucidate correlation between macroscopic polarization and internal charge state of the stacked films.« less

  2. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    PubMed Central

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-01-01

    This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications. PMID:28773679

  3. Growth and characterization of single crystalline Zn0.8-xMg0.2AlxO films with UV band gap on GaN/Al2O3 template by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kim, Min-Sung; Lee, Byung-Teak

    2013-02-01

    Single crystalline Zn0.8-xMg0.2AlxO thin films were grown on a GaN/Al2O3 template. As the Al content is increased from 0 to 0.06, the optical band gap increased from 3.6 eV to 4.0 eV, growth rate decreased from 6 nm/min to 3 nm/min, and the surface roughness decreased from 17 nm to 0.8 nm. It was observed that interfacial layers were formed between the thin films and the substrates, identified as cubic MgAl2O4 in the case of ZnMgAlO/GaN and cubic MgO in the case of ZnMgO/GaN. It was proposed that the MgAl2O4 layer, with low lattice mismatch of ˜7% against the GaN substrate, acted as the buffer layer to correlate the film and the substrate, resulting in growth of the single crystalline thin films in the case of the ZnMgAlO/GaN system.

  4. The Effect of Microstructure on Mechanical Properties of Directionally Solidified Al2O3/ZrO2(Y2O3) Eutectic

    NASA Technical Reports Server (NTRS)

    Sayir, Ali; Farmer, Serene C.

    1999-01-01

    The eutectic architecture of a continuous reinforcing phase within a higher volume fraction phase or matrix can be described as a naturally occurring in-situ composite. Here we report the results of experiments aimed at identifying the sources of high temperature creep resistance and high levels of strength in a two phase Al2O3/ZrO2(Y2O3) system. The mechanical properties of two phase Al2O3/ZrO2(Y2O3) eutectic are superior to those of either constituent alone due to strong constraining effects provided by the coherent interfaces and microstructure. The AlO3/ZrO2(Y2O3) eutectic maintains a low energy interface resulting from directional solidification and can produce strong and stable reinforcing phase/matrix bonding. The phases comprising a eutectic are thermodynamically compatible at higher homologous temperatures than man-made composites and as such offer the potential for superior high temperature properties.

  5. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  6. Crystal structures of hydrates of simple inorganic salts. III. Water-rich aluminium halide hydrates: AlCl3 · 15H2O, AlBr3 · 15H2O, AlI3 · 15H2O, AlI3 · 17H2O and AlBr3 · 9H2O.

    PubMed

    Schmidt, Horst; Hennings, Erik; Voigt, Wolfgang

    2014-09-01

    Water-rich aluminium halide hydrate structures are not known in the literature. The highest known water content per Al atom is nine for the perchlorate and fluoride. The nonahydrate of aluminium bromide, stable pentadecahydrates of aluminium chloride, bromide and iodide, and a metastable heptadecahydrate of the iodide have now been crystallized from low-temperature solutions. The structures of these hydrates were determined and are discussed in terms of the development of cation hydration spheres. The pentadecahydrate of the chloride and bromide are isostructural. In AlI(3) · 15H2O, half of the Al(3+) cations are surrounded by two complete hydration spheres, with six H2O in the primary and 12 in the secondary. For the heptadecahydrate of aluminium iodide, this hydration was found for every Al(3+).

  7. Local Schottky contacts of embedded Ag nanoparticles in Al2O3/SiNx:H stacks on Si: a design to enhance field effect passivation of Si junctions.

    PubMed

    Ibrahim Elmi, Omar; Cristini-Robbe, Odile; Chen, Minyu; Wei, Bin; Bernard, Rémy; Okada, Etienne; Yarekha, Dmitri A; Ouendi, Saliha; Portier, Xavier; Gourbilleau, Fabrice; Xu, Tao; Stievenard, Didier

    2018-04-26

    This paper describes an original design leading to the field effect passivation of Si n+-p junctions. Ordered Ag nanoparticle (Ag-NP) arrays with optimal size and coverage fabricated by means of nanosphere lithography and thermal evaporation, were embedded in ultrathin-Al2O3/SiNx:H stacks on the top of implanted Si n+-p junctions, to achieve effective surface passivation. One way to characterize surface passivation is to use photocurrent, sensitive to recombination centers. We evidenced an improvement of photocurrent by a factor of 5 with the presence of Ag nanoparticles. Finite-difference time-domain (FDTD) simulations combining with semi-quantitative calculations demonstrated that such gain was mainly due to the enhanced field effect passivation through the depleted region associated with the Ag-NPs/Si Schottky contacts. © 2018 IOP Publishing Ltd.

  8. The behavior of nanothermite reaction based on Bi2O3/Al

    NASA Astrophysics Data System (ADS)

    Wang, L.; Luss, D.; Martirosyan, K. S.

    2011-10-01

    We studied the impact of aluminum particle size and the thickness of surrounding alumina layer on the dynamic pressure discharge of nanothermite reactions in the Bi2O3/Al system. A pressure discharge from 9 to 13 MPa was generated using as-synthesized Bi2O3 nano-particles produced by combustion synthesis and Al nanoparticles with size from 3 μm to 100 nm. The maximum reaction temperature was measured to be ˜2700 °C. The estimated activation energy of the reaction was 45 kJ/mol. A very large (several orders of magnitude) difference existed between the rate of the pressure pulse release by nanothermite reactions and by thermite reactions with large aluminum particles. The maximum observed pressurization rate was 3200 GPa/s. The time needed to reach the peak pressure was 0.01 ms and 100 ms for aluminum particles with diameter of 100 nm and 70 microns, respectively. The discharge pressure was a monotonic decreasing function of the thickness of the surrounding alumina layer.

  9. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  10. Generation and characterization of point defects in SrTiO3 and Y3Al5O12

    NASA Astrophysics Data System (ADS)

    Selim, F. A.; Winarski, D.; Varney, C. R.; Tarun, M. C.; Ji, Jianfeng; McCluskey, M. D.

    Positron annihilation lifetime spectroscopy (PALS) was applied to characterize point defects in single crystals of Y3Al5O12 and SrTiO3 after populating different types of defects by relevant thermal treatments. In SrTiO3, PALS measurements identified Sr vacancy, Ti vacancy, vacancy complexes of Ti-O (vacancy) and hydrogen complex defects. In Y3Al5O12 single crystals the measurements showed the presence of Al-vacancy, (Al-O) vacancy and Al-vacancy passivated by hydrogen. These defects are shown to play the major role in defining the electronic and optical properties of these complex oxides.

  11. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    NASA Astrophysics Data System (ADS)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  12. SrZnO nanostructures grown on templated <0001> Al2O3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on <0001>Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  13. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  14. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  15. Understanding the Relationship Between Structure and Thermophysical Properties of CaO-SiO2-MgO-Al2O3 Molten Slags

    NASA Astrophysics Data System (ADS)

    Sun, Yongqi; Wang, Hao; Zhang, Zuotai

    2018-04-01

    In the present work, the relationship between the microscopic structure and macroscopic thermophysical properties in a basic CaO-SiO2-MgO-Al2O3 quaternary system was identified using Fourier transformation infrared, Raman and 27Al magic angular spinning nuclear magnetic resonance (MAS-NMR) techniques. The Raman spectra quantitatively proved that with increasing Al2O3 content, the concentrations of the symmetric units of Q 0(Si) and Q 2(Si) decreased, while those of the asymmetric units of Q 1(Si) and Q 3(Si) increased; consequently, the degree of polymerization of the networks increased, which resulted in an increase in slag viscosity. The 27Al MAS-NMR spectra demonstrated that three structural units of Al atoms, namely, AlO4, AlO5, and AlO6, mainly existed in the networks. With increasing Al2O3 content, the concentration of AlO4 slightly decreased, while those of AlO5 and AlO6 increased; overall, Al2O3 acted as a network former in the present system. The increasing Al2O3 content led to additional AlO6 and Si-NBO-Ca-NBO-Al frameworks, which replaced Si-NBO-Ca-NBO-Si in the networks (NBO: non-bridging oxygen) and induced a change in the primarily precipitated crystalline phase from Ca2MgSi2O7 and Ca2Al2SiO7 to MgAlO4.

  16. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  17. Enhanced Sintering of β"-Al2O3/YSZ with the Sintering Aids of TiO2 and MnO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaochuan; Li, Guosheng; Kim, Jin Yong

    2015-07-11

    β"-Al2O3 has been the dominated choice for the electrolyte materials of sodium batteries because of its high ionic conductivity, excellent stability with the electrode materials, satisfactory mechanical strength, and low material cost. To achieve adequate electrical and mechanical performance, sintering of β"-Al2O3 is typically carried out at temperatures above 1600oC with deliberate efforts on controlling the phase, composition, and microstructure. Here, we reported a simple method to fabricate β"-Al2O3/YSZ electrolyte at relatively lower temperatures. With the starting material of boehmite, single phase of β"-Al2O3 can be achieved at as low as 1200oC. It was found that TiO2 was extremely effectivemore » as a sintering aid for the densification of β"-Al2O3 and similar behavior was observed with MnO2 for YSZ. With the addition of 2 mol% TiO2 and 5 mol% MnO2, the β"-Al2O3/YSZ composite was able to be densified at as low as 1400oC with a fine microstructure and good electrical/mechanical performance. This study demonstrated a new approach of synthesis and sintering of β"-Al2O3/YSZ composite, which represented a simple and low-cost method for fabrication of high-performance β"-Al2O3/YSZ electrolyte.« less

  18. Microwave dielectric properties of CaCu3Ti4O12-Al2O3 composite

    NASA Astrophysics Data System (ADS)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Karim, Saniah Ab; Zaman, Rosyaini Afindi; Ain, Mohd Fadzil; Ahmad, Zainal Arifin; Mohamed, Julie Juliewatty

    2016-07-01

    (1-x)CaCu3Ti4O12 + (x)Al2O3 composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO3, CuO and TiO2 powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al2O3 were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sintered samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl2O4 and Corundum (Al2O3) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al2O3 (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al2O3 (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al2O3 was reduced both dielectric loss and permittivity at least for an order of magnitude.

  19. Tensile Strength and Microstructure of Al2O3-ZrO2 Hypo-Eutectic Fibers Studied

    NASA Technical Reports Server (NTRS)

    Farmer, Serene C.; Sayir, Ali

    2001-01-01

    Oxide eutectics offer high-temperature strength retention and creep resistance in oxidizing environments. Al2O3-ZrO2 eutectic strengths have been studied since the 1970's. Directionally solidified oxide eutectics exhibit improved resistance to slow crack growth and excellent strength retention at high temperatures up to 1400 C. Materials studied typically contain Y2O3 to metastably retain the high-temperature cubic and tetragonal polymorphs at room temperature. Al2O3-ZrO2 is of fundamental interest for creep studies because it combines a creep-resistant material, Al2O3, with a very low creep resistance material, ZrO2. Results on mechanical properties and microstructures of these materials will be used to define compositions for creep testing in future work. Substantial variations from the eutectic alumina to zirconia ratio can be tolerated without a loss in room-temperature strength. The effect of increasing Y2O3 addition on the room-temperature tensile strength of an Al2O3-ZrO2 material containing excess Al2O3 was examined at the NASA Glenn Research Center, where the materials were grown using Glenn's world-class laser growth facilities.

  20. Investigation the influences of B2O3 and R2O on the structure and crystallization behaviors of CaO-Al2O3 based F-free mold flux

    NASA Astrophysics Data System (ADS)

    Li, Jiangling; Kong, Bowen; Gao, Xiangyu; Liu, Qingcai; Shu, Qifeng; Chou, Kuochih

    2018-04-01

    The influences of B2O3 and R2O on the structure and crystallization of CaO-Al2O3 based F-free mold flux were investigated by Raman Spectroscopy and Differential Scanning Calorimetry Technique, respectively, for developing a new type of F-free mold flux. The results of structural investigations showed that B3+ is mainly in the form of [BO3]. And [BO3] appears to form BIII-O-Al linkage which will produce a positive effect on forming [AlO4] network. The number of bridging oxygen and the degree of polymerization of [AlO4] network structure for CaO-Al2O3 system were also increased with the increasing of B2O3. On the contrary, with the addition of R2O into CaO-Al2O3-B2O3 system, the number of bridging oxygen and the degree of polymerization of [AlO4] network were decreased. DSC results showed that the crystallization process became more sluggish with the increase of B2O3, which indicated that the crystallization ability was weakened. While the quenched mold fluxes crystallized more rapidly when introducing R2O. In other word, the crystallization rates of CaO-Al2O3 based slags were accelerated by the introduction of R2O. The liquidus temperature and crystallization temperature were decreased with the increasing amount of B2O3 or by addition of R2O into CaO-Al2O3 system. Only one kind of crystal was precipitated in 8% B2O3 and %R2O-containing samples, which was CaAl2O4 identified by SEM-EDS. When the content of B2O3 increased from 8% to 16%, Ca3B2O6 is clearly observed, demonstrating that the crystallization ability of Ca3B2O6 is enhanced by the increasing concentration of B2O3 in mold flux. The Ca/Al ratio of the generated calcium aluminate has been altered from 1:2 to 1:4 with the increasing of B2O3. The size of CaAl2O4 crystal is gradually increased with the addition of R2O. The crystallization ability of CaAl2O4 is promoted by R2O.

  1. Electron Mobility in γ -Al2O3/SrTiO3

    NASA Astrophysics Data System (ADS)

    Christensen, D. V.; Frenkel, Y.; Schütz, P.; Trier, F.; Wissberg, S.; Claessen, R.; Kalisky, B.; Smith, A.; Chen, Y. Z.; Pryds, N.

    2018-05-01

    One of the key issues in engineering oxide interfaces for electronic devices is achieving high electron mobility. SrTiO3 -based interfaces with high electron mobility have gained a lot of interest due to the possibility of combining quantum phenomena with the many functionalities exhibited by SrTiO3 . To date, the highest electron mobility (140 000 cm2/V s at 2 K) is obtained by interfacing perovskite SrTiO3 with spinel γ -Al2O3 . The origin of the high mobility, however, remains poorly understood. Here, we investigate the scattering mechanisms limiting the mobility in γ -Al2O3/SrTiO3 at temperatures between 2 and 300 K and over a wide range of sheet carrier densities. For T >150 K , we find that the mobility is limited by longitudinal optical phonon scattering. For large sheet carrier densities (>8 ×1013 cm-2 ), the screened electron-phonon coupling leads to room-temperature mobilities up to μ ˜12 cm2/V s . For 5 K 2 , consistent with electron-electron scattering limiting the electron mobility. For T <5 K and at an optimal sheet carrier density of approximately 4 ×1014 cm-2 , the electron mobility is found to exceed 100 000 cm2/V s . At sheet carrier densities less than the optimum, the electron mobility decreases rapidly, and the current flow becomes highly influenced by domain walls and defects in the near-interface region of SrTiO3 . At carrier densities higher than the optimum, the SrTiO3 heterostructure gradually becomes bulk conducting, and the electron mobility decreases to approximately 20 000 cm2/V s . We argue that the high electron mobility observed arises from a spatial separation of donors and electrons with oxygen-vacancy donors preferentially forming at the interface, whereas the itinerant electrons extend deeper into SrTiO3 . Understanding the scattering mechanism in γ -Al2O3/SrTiO3 paves the way for creation of high-mobility nanoscale electronic devices.

  2. Effective passivation of silicon surfaces by ultrathin atomic-layer deposited niobium oxide

    NASA Astrophysics Data System (ADS)

    Macco, B.; Bivour, M.; Deijkers, J. H.; Basuvalingam, S. B.; Black, L. E.; Melskens, J.; van de Loo, B. W. H.; Berghuis, W. J. H.; Hermle, M.; Kessels, W. M. M. Erwin

    2018-06-01

    This letter reports on effective surface passivation of n-type crystalline silicon by ultrathin niobium oxide (Nb2O5) films prepared by atomic layer deposition (ALD) and subjected to a forming gas anneal at 300 °C. A champion recombination parameter J0 of 20 fA/cm2 and a surface recombination velocity Seff of 4.8 cm/s have been achieved for ultrathin films of 1 nm. The surface pretreatment was found to have a strong impact on the passivation. Good passivation can be achieved on both HF-treated c-Si surfaces and c-Si surfaces with a wet-chemically grown interfacial silicon oxide layer. On HF-treated surfaces, a minimum film thickness of 3 nm is required to achieve a high level of surface passivation, whereas the use of a wet chemically-grown interfacial oxide enables excellent passivation even for Nb2O5 films of only 1 nm. This discrepancy in passivation between both surface types is attributed to differences in the formation and stoichiometry of interfacial silicon oxide, resulting in different levels of chemical passivation. On both surface types, the high level of passivation of ALD Nb2O5 is aided by field-effect passivation originating from a high fixed negative charge density of 1-2 × 1012 cm-3. Furthermore, it is demonstrated that the passivation level provided by 1 nm of Nb2O5 can be further enhanced through light-soaking. Finally, initial explorations show that a low contact resistivity can be obtained using Nb2O5-based contacts. Together, these properties make ALD Nb2O5 a highly interesting building block for high-efficiency c-Si solar cells.

  3. Fermi Level Unpinning of GaSb (100) using Plasma Enhanced Atomic Layer Deposition of Al2O3

    DTIC Science & Technology

    2010-01-01

    of high-/GaSb semiconductor interface. GaSb has a highly reactive surface and on exposure to air it will form a native oxide layer composed of Ga2O3 ...and Sb2O3 2GaSb+3O2→ Ga2O3 +Sb2O3. The Sb2O3 can fur- ther react with the GaSb surface forming elemental Sb and Ga2O3 Sb2O3+2GaSb→ Ga2O3 +4Sb.5,6...rights_and_permissions mentioned before, Sb2O3 reacts with GaSb forming Ga2O3 and elemental Sb.6 The kinetics of this reaction is enhanced at higher temperatures200 °C.14

  4. Microstructural Evolution of Al2O3-ZrO2 (Y2O3) Composites and its Correlation with Toughness

    NASA Astrophysics Data System (ADS)

    Kim, Hee Seung; Seo, Mi Young; Kim, Ik Jin

    2008-02-01

    The microstructure of zirconia (ZrO2) toughened alumina (Al2O3) ceramics was carefully controlled so as to obtain dense and fine-grained ceramics, thereby improving the properties and reliability of the ceramics for capillary applications in semiconductor bonding technology. Al2O3-ZrO2(Y2O3) composite was produced via Ceramic Injection Molding (CIM) technology, followed by Sinter-HIP process. Room temperature strength, hardness, Young's modulus, thermal expansion coefficient and toughness were determined, as well as surface strengthening induced by the fine grained homogenous microstructure and the thermal treatment. The changes in alumina/zirconia grain size, sintering condition and HIP treatment were found to be correlated.

  5. Photochemistry of the α-Al 2O 3-PETN interface

    DOE PAGES

    Tsyshevsky, Roman V.; Zverev, Anton; Mitrofanov, Anatoly; ...

    2016-02-29

    Optical absorption measurements are combined with electronic structure calculations to explore photochemistry of an α-Al 2O 3-PETN interface formed by a nitroester (pentaerythritol tetranitrate, PETN, C 5H 8N 4O 12) and a wide band gap aluminum oxide (α-Al 2O 3) substrate. The first principles modeling is used to deconstruct and interpret the α-Al 2O 3-PETN absorption spectrum that has distinct peaks attributed to surface F 0-centers and surfacePETN transitions. We predict the low energy α-Al 2O 3 F 0-centerPETN transition, producing the excited triplet state, and α-Al 2O 3 F- 0-centerPETN charge transfer, generating the PETN anion radical. This impliesmore » that irradiation by commonly used lasers can easily initiate photodecomposition of both excited and charged PETN at the interface. As a result, the feasible mechanism of the photodecomposition is proposed.« less

  6. In situ oligomerization of 2-(thiophen-3-yl)acetate intercalated into Zn{sub 2}Al layered double hydroxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tronto, Jairo, E-mail: jairotronto@ufv.br; Pinto, Frederico G.; Costa, Liovando M. da

    2015-01-15

    A layered double hydroxide (LDH) with cation composition Zn{sub 2}Al was intercalated with 2-(thiophen-3-yl)acetate (3-TA) monomers. To achieve in situ polymerization and/or oligomerization of the intercalated monomers, soft thermal treatments were carried out, and subsequent hybrid LDH materials were analyzed by means of several characterization techniques using powder X-ray diffraction (PXRD), Fourier transform infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), {sup 13}C CP–MAS nuclear magnetic resonance (NMR), electron spin resonance (EPR), scanning electron microscopy (SEM), and transmission electron microscopy (TEM), inductively coupled plasma optical emission spectroscopy (ICP–OES), and elemental analysis. PXRD analysis suggested that the intercalated monomers formed a bilayer. Thermalmore » treatment of the hybrid LDH assembly above 120 °C provokes partially the breakdown of the layered structure, generating the phase zincite. EPR results indicated that vicinal monomers (oligomerization) were bound to each other after hydrothermal or thermal treatment, leading to a polaron response characteristic of electron conductivity localized on a restricted number of thiophene-based monomer segments. Localized unpaired electrons exist in the material and interact with the {sup 27}Al nuclei of the LDH layers by superhyperfine coupling. These unpaired electrons also interact with the surface of ZnO (O{sup 2−} vacancies), formed during the thermal treatments. - Graphical abstract: We synthesized a layered double hydroxide (LDH) with cation composition Zn{sub 2}Al, intercalated with 2-(thiophen-3-yl)acetate (3-TA) monomers, by coprecipitation at constant pH. We thermally treated the material, to achieve in situ polymerization and/or oligomerization of the intercalated monomers. - Highlights: • A Zn{sub 2}Al–LDH was intercalated with 2-(thiophen-3-yl)acetate monomers. • To achieve in situ oligomerization of the monomers, thermal treatments were

  7. TiO2 and Al2O3 promoted Pt/C nanocomposites as low temperature fuel cell catalysts for electro oxidation of methanol in acidic media

    NASA Astrophysics Data System (ADS)

    Naeem, Rabia; Ahmed, Riaz; Shahid Ansari, Muhammad

    2014-06-01

    Carbon corrosion and platinum dissolution are the two major catalyst layer degradation problems in polymer electrolyte membrane fuel cells (PEMFC). Ceramic addition can reduce the corrosion of carbon and increase the stability of catalysts. Pt/TiO2, Pt/TiO2-C, Pt/Al2O3 and Pt/Al2O3-C catalysts were synthesized and characterized. Electrochemical surface area of Pt/TiO2-C and Pt/Al2O3-C nanocomposite catalysts was much higher than the Pt/TiO2 and Pt/Al2O3 catalysts. Peak current, specific activity and mass activity of the catalysts was also determined by cyclic voltammetry and were much higher for the carbon nanocomposites. Exchange current densities were determined from Tafel plots. Heterogeneous rates of reaction of electro oxidation of methanol were determined for all the catalysts and were substantially higher for titania catalysts as compared to alumina added catalysts. Mass activity of Pt/TiO2-C was much higher than mass activity of Pt/Al2O3-C. Stability studies showed that addition of ceramics have increased the catalytic activity and durability of the catalysts considerably.

  8. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  9. Highly Flexible and Transparent Ag Nanowire Electrode Encapsulated with Ultra-Thin Al2O3: Thermal, Ambient, and Mechanical Stabilities

    PubMed Central

    Hwang, Byungil; An, Youngseo; Lee, Hyangsook; Lee, Eunha; Becker, Stefan; Kim, Yong-Hoon; Kim, Hyoungsub

    2017-01-01

    There is an increasing demand in the flexible electronics industry for highly robust flexible/transparent conductors that can withstand high temperatures and corrosive environments. In this work, outstanding thermal and ambient stability is demonstrated for a highly transparent Ag nanowire electrode with a low electrical resistivity, by encapsulating it with an ultra-thin Al2O3 film (around 5.3 nm) via low-temperature (100 °C) atomic layer deposition. The Al2O3-encapsulated Ag nanowire (Al2O3/Ag) electrodes are stable even after annealing at 380 °C for 100 min and maintain their electrical and optical properties. The Al2O3 encapsulation layer also effectively blocks the permeation of H2O molecules and thereby enhances the ambient stability to greater than 1,080 h in an atmosphere with a relative humidity of 85% at 85 °C. Results from the cyclic bending test of up to 500,000 cycles (under an effective strain of 2.5%) confirm that the Al2O3/Ag nanowire electrode has a superior mechanical reliability to that of the conventional indium tin oxide film electrode. Moreover, the Al2O3 encapsulation significantly improves the mechanical durability of the Ag nanowire electrode, as confirmed by performing wiping tests using isopropyl alcohol. PMID:28128218

  10. Ion blocking dip shape analysis around a LaAlO3/SrTiO3 interface

    NASA Astrophysics Data System (ADS)

    Jalabert, D.; Zaid, H.; Berger, M. H.; Fongkaew, I.; Lambrecht, W. R. L.; Sehirlioglu, A.

    2018-05-01

    We present an analysis of the widths of the blocking dips obtained in MEIS ion blocking experiments of two LaAlO3/SrTiO3 heterostructures differing in their LaAlO3 layer thicknesses. In the LaAlO3 layers, the observed blocking dips are larger than expected. This enlargement is the result of the superposition of individual dips at slightly different angular positions revealing a local disorder in the atomic alignment, i.e., layer buckling. By contrast, in the SrTiO3 substrate, just below the interface, the obtained blocking dips are thinner than expected. This thinning indicates that the blocking atoms stand at a larger distance from the scattering center than expected. This is attributed to an accumulation of Sr vacancies at the layer/substrate interface which induces lattice distortions shifting the atoms off the scattering plane.

  11. Epitaxial growth of (111)-oriented BaTiO3/SrTiO3 perovskite superlattices on Pt(111)/Ti/Al2O3(0001) substrates

    NASA Astrophysics Data System (ADS)

    Panomsuwan, Gasidit; Takai, Osamu; Saito, Nagahiro

    2013-09-01

    Symmetric BaTiO3/SrTiO3 (BTO/STO) superlattices (SLs) were epitaxially grown on Pt(111)/Ti/Al2O3(0001) substrates with various modulation periods (Λ = 4.8 - 48 nm) using double ion beam sputter deposition. The BTO/STO SLs exhibit high (111) orientation with two in-plane orientation variants related by a 180° rotation along the [111]Pt axis. The BTO layer is under an in-plane compressive state, whereas the STO layer is under an in-plane tensile state due to the effect of lattice mismatch. A remarkable enhancement of dielectric constant is observed for the SL with relatively small modulation period, which is attributed to both the interlayer biaxial strain effect and the Maxwell-Wagner effect.

  12. Bonding Cu to Al2O3 with Bi-B-Zn Oxide Glass Via Oxidation-Reduction Reaction

    NASA Astrophysics Data System (ADS)

    Chen, Jianqiang; Li, Yufeng; Miao, Weiliang; Mai, Chengle; Li, Mingyu

    2018-01-01

    Bonding Cu on Al2O3 is a key and difficult technology applied in high-power semiconductor devices. A method proposed in this work investigates bonding with a kind of Bi-B-Zn oxide glass powder paste as a solder. Oxidation-reduction reactions between the Cu plate and the solder took place and generated Bi metal during the joining procedure. With an increase in the joining temperature, the tensile strength increased due to the increase of Bi metal formation. The Bi metal played an important role in joining Cu and Al2O3 because of its much better wettability on Cu than that of the oxides. A compound ZnAl2O4 was observed to form between the Al2O3 ceramic and oxide layer, which strengthened the bond.

  13. Stable and High-Performance Flexible ZnO Thin-Film Transistors by Atomic Layer Deposition.

    PubMed

    Lin, Yuan-Yu; Hsu, Che-Chen; Tseng, Ming-Hung; Shyue, Jing-Jong; Tsai, Feng-Yu

    2015-10-14

    Passivation is a challenging issue for the oxide thin-film transistor (TFT) technologies because it requires prolonged high-temperature annealing treatments to remedy defects produced in the process, which greatly limits its manufacturability as well as its compatibility with temperature-sensitive materials such as flexible plastic substrates. This study investigates the defect-formation mechanisms incurred by atomic layer deposition (ALD) passivation processes on ZnO TFTs, based on which we demonstrate for the first time degradation-free passivation of ZnO TFTs by a TiO2/Al2O3 nanolaminated (TAO) film deposited by a low-temperature (110 °C) ALD process. By combining the TAO passivation film with ALD dielectric and channel layers into an integrated low-temperature ALD process, we successfully fabricate flexible ZnO TFTs on plastics. Thanks to the exceptional gas-barrier property of the TAO film (water vapor transmission rate (WVTR)<10(-6) g m(-2) day(-1)) as well as the defect-free nature of the ALD dielectric and ZnO channel layers, the TFTs exhibit excellent device performance with high stability and flexibility: field-effect mobility>20 cm2 V(-1) s(-1), subthreshold swing<0.4 V decade(-1) after extended bias-stressing (>10,000 s), air-storage (>1200 h), and bending (1.3 cm radius for 1000 times).

  14. Preparation of MgO-SnO2-TiO2 Materials and Their Corrosion in Na3AlF6-AlF3-K3AlF6 Bath

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Sang, Shaobai; Ren, Bo; Qin, Qingwei; Yang, Jianhong

    2015-01-01

    New types of refractory materials need to be developed for designing the so-called ledge-free sidewalls of the Hall-Héroult cell for aluminum extraction, which are currently constructed using Si3N4 bonded SiC refractories. In the present paper, MgO-based materials as potential candidate sidewalls were prepared using fused magnesia, tin dioxide, and anatase powder as starting materials. The reaction sintering process of the MgO-SnO2-TiO2 materials was investigated by means of X-ray diffraction and scanning electron microscope (SEM). All the specimens were corroded in a Na3AlF6-AlF3-K3AlF6 bath to assess the electrolyte corrosion resistance. The results show that reaction sintering occurs in the MgO-SnO2-TiO2 system in the range of 1373 K to 1873 K (1100 °C to 1600 °C). Firstly, MgO reacts separately with TiO2 and SnO2 to produce the Mg2TiO4 and Mg2SnO4 phases at 1373 K (1100 °C), which in turn react to form the Mg2Ti x Sn1-x O4 composite spinel at temperatures above 1373 K (1100 °C). All the specimens prepared are composed of the composite spinel and periclase phases. Increasing the SnO2 addition from 2 to 10 wt pct enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed composite spinels in the MgO matrix, but the density of the specimen decreases when the amount of SnO2 added is higher than 10 wt pct due to larger volume expansion and agglomeration of the composite spinel. The MgO-SnO2-TiO2 refractories prepared exhibit good corrosion resistance to the electrolyte melts owing to their high density and formation of the composite spinel in the specimens. Their corrosion resistance increases progressively with the increase in the SnO2 addition owing to the formation of more chemically stable composite spinel.

  15. Surface Passivation of ZrO2 Artificial Dentures by Magnetized Coaxial Plasma deposition

    NASA Astrophysics Data System (ADS)

    Arai, Soya; Kurumi, Satoshi; Matsuda, Ken-Ichi; Suzuki, Kaoru; Hara, Katsuya; Kato, Tatsuya; Asai, Tomohiko; Hirose, Hideharu; Masutani, Shigeyuki; Nihon University Team

    2015-09-01

    Recent growth and fabrication technologies for functional materials have been greatly contributed to drastic development of oral surgery field. Zirconia based ceramics is expected to utilize artificial dentures because these ceramics have good biocompatibility, high hardness and aesthetic attractively. However, to apply these ceramics to artificial dentures, this denture is removed from a dental plate because of weakly bond. For improving this problem, synthesis an Al passivation-layer on the ceramics for bonding with these dental items is suitable. In order to deposit the passivation layer, we focused on a magnetized coaxial plasma deposition (MCPD). The greatest characteristic of MCPD is that high-melting point metal can be deposited on various substrates. Additionally, adhesion force between substrate and films deposited by the MCPD is superior to it of general deposition methods. In this study, we have reported on the growth techniques of Al films on ZrO2 for contributing to oral surgery by the MCPD. Surface of deposited films shows there were some droplets and thickness of it is about 200 nm. Thickness is increased to 500 nm with increasing applied voltage.

  16. Effect of Er3+ concentration on the luminescence properties of Al2O3-ZrO2 powder

    NASA Astrophysics Data System (ADS)

    Clabel H., J. L.; Rivera, V. A. G.; Nogueira, I. C.; Leite, E. R.; Siu Li, M.; Marega, E.

    2016-12-01

    This manuscript reports on the effects of the luminescence properties of Er3+ on Al2O3-ZrO2 powder synthesized by the conventional solid-state method. The best conditions found for the calcinations were 1500 °C and 4 h. The structural dependence of the luminescence on Er3+:Al2O3-ZrO2 is associated with phase transformations of the Al2O3-ZrO2 host and presence of the OH group. Green and red emissions at room temperature from the 2H11/2, 4S3/2 → 4I15/2 and 4F9/2 → 4I15/2 levels of Er3+ ions were observed under 482 nm pumping. The green-to-red emission intensity ratios and CIE chromaticity coordinates were determined from emission spectra for the evaluation of light emitted as a function of the Er3+ concentration. The Er3+ luminescence quenching due to group OH and variation in the Er3+ concentration plays an important role in the definition of the luminescent response.

  17. Effect of Al2O3sf addition on the friction and wear properties of (SiCp+Al2O3sf)/Al2024 composites fabricated by pressure infiltration

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Zhang, Gong-zhen; Cui, Wei; Ren, Shu-bin; Wang, Qian-jin; Qu, Xuan-hui

    2018-03-01

    Aluminum (Al) 2024 matrix composites reinforced with alumina short fibers (Al2O3sf) and silicon carbide particles (SiCp) as wear-resistant materials were prepared by pressure infiltration in this study. Further, the effect of Al2O3sf on the friction and wear properties of the as-synthesized composites was systematically investigated, and the relationship between volume fraction and wear mechanism was discussed. The results showed that the addition of Al2O3sf, characterized by the ratio of Al2O3sf to SiCp, significantly affected the properties of the composites and resulted in changes in wear mechanisms. When the volume ratio of Al2O3sf to SiCp was increased from 0 to 1, the rate of wear mass loss ( K m) and coefficients of friction (COFs) of the composites decreased, and the wear mechanisms were abrasive wear and furrow wear. When the volume ratio was increased from 1 to 3, the COF decreased continuously; however, the K m increased rapidly and the wear mechanism became adhesive wear.

  18. Ion-beam irradiation of lanthanum compounds in the systems La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whittle, Karl R., E-mail: karl.whittle@ansto.gov.a; Lumpkin, Gregory R.; Blackford, Mark G.

    2010-10-15

    Thin crystals of La{sub 2}O{sub 3}, LaAlO{sub 3}, La{sub 2/3}TiO{sub 3}, La{sub 2}TiO{sub 5}, and La{sub 2}Ti{sub 2}O{sub 7} have been irradiated in situ using 1 MeV Kr{sup 2+} ions at the Intermediate Voltage Electron Microscope-Tandem User Facility (IVEM-Tandem), Argonne National Laboratory (ANL). We observed that La{sub 2}O{sub 3} remained crystalline to a fluence greater than 3.1x10{sup 16} ions cm{sup -2} at a temperature of 50 K. The four binary oxide compounds in the two systems were observed through the crystalline-amorphous transition as a function of ion fluence and temperature. Results from the ion irradiations give critical temperatures for amorphisationmore » (T{sub c}) of 647 K for LaAlO{sub 3}, 840 K for La{sub 2}Ti{sub 2}O{sub 7}, 865 K for La{sub 2/3}TiO{sub 3}, and 1027 K for La{sub 2}TiO{sub 5}. The T{sub c} values observed in this study, together with previous data for Al{sub 2}O{sub 3} and TiO{sub 2}, are discussed with reference to the melting points for the La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2} systems and the different local environments within the four crystal structures. Results suggest that there is an observable inverse correlation between T{sub c} and melting temperature (T{sub m}) in the two systems. More complex relationships exist between T{sub c} and crystal structure, with the stoichiometric perovskite LaAlO{sub 3} being the most resistant to amorphisation. - Graphical abstract: La{sub 2}TiO{sub 5} with atypical co-ordination for Ti, TiO{sub 5} is found to be different in radiation resistance to La{sub 2}Ti{sub 2}O{sub 7} and La{sub 2/3}TiO{sub 3}. Irradiation of La-Ti-O, and La-Al-O based systems has found that radiation damage resistance is related to the ability of the system to disorder.« less

  19. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  20. Density Measurements of Low Silica CaO-SiO2-Al2O3 Slags

    NASA Astrophysics Data System (ADS)

    Muhmood, Luckman; Seetharaman, Seshadri

    2010-08-01

    Density measurements of a low-silica CaO-SiO2-Al2O3 system were carried out using the Archimedes principle. A Pt 30 pct Rh bob and wire arrangement was used for this purpose. The results obtained were in good agreement with those obtained from the model developed in the current group as well as with other results reported earlier. The density for the CaO-SiO2 and the CaO-Al2O3 binary slag systems also was estimated from the ternary values. The extrapolation of density values for high-silica systems also showed good agreement with previous works. An estimation for the density value of CaO was made from the current experimental data. The density decrease at high temperatures was interpreted based on the silicate structure. As the mole percent of SiO2 was below the 33 pct required for the orthosilicate composition, discrete {text{SiO}}4^{4 - } tetrahedral units in the silicate melt would exist along with O2- ions. The change in melt expansivity may be attributed to the ionic expansions in the order of {text{Al}}^{ 3+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ - } Structural changes in the ternary slag also could be correlated to a drastic change in the value of enthalpy of mixing.

  1. Effects of nano-YAG (Y 3Al 5O 12) crystallization on the structure and photoluminescence properties of Nd 3+-doped K 2O-SiO 2-Y 2O 3-Al 2O 3 glasses

    NASA Astrophysics Data System (ADS)

    Tarafder, Anal; Molla, Atiar Rahaman; Karmakar, Basudeb

    2010-10-01

    Nd 3+-doped precursor glass in the K 2O-SiO 2-Y 2O 3-Al 2O 3 (KSYA) system was prepared by the melt-quench technique. The transparent Y 3Al 5O 12 (YAG) glass-ceramics were derived from this glass by a controlled crystallization process at 750 °C for 5-100 h. The formation of YAG crystal phase, size and morphology with progress of heat-treatment was examined by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Fourier transformed infrared reflectance spectroscopy (FT-IRRS). The crystallite sizes obtained from XRD are found to increase with heat-treatment time and vary in the range 25-40 nm. The measured photoluminescence spectra have exhibited emission transitions of 4F 3/2 → 4I J ( J = 9/2, 11/2 and 13/2) from Nd 3+ ions upon excitation at 829 nm. It is observed that the photoluminescence intensity and excited state lifetime of Nd 3+ ions decrease with increase in heat-treatment time. The present study indicates that the incorporation of Nd 3+ ions into YAG crystal lattice enhance the fluorescence performance of the glass-ceramic nanocomposites.

  2. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  3. Design and syntheses of hybrid metal–organic materials based on K{sub 3}[M(C{sub 2}O{sub 4}){sub 3}]·3H{sub 2}O [M(III)=Fe, Al, Cr] metallotectons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Yayong; Zong, Yingxia; Ma, Haoran

    2016-05-15

    (C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer, [KCr(C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer and [NaFe(C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer, respectively. - Graphical abstract: We report here eight novel complexes by using [M(C{sub 2}O{sub 4}){sub 3}]{sup 3−} [M(III)=Fe, Al, Cr] metallotectons as the starting materials. These complexes show supramolecular architectures bonded by charge-assisted hydrogen bonds.« less

  4. Electronic properties of Al xGa 1- xAs surface passivated by ultrathin silicon interface control layer

    NASA Astrophysics Data System (ADS)

    Adamowicz, B.; Miczek, M.; Ikeya, K.; Mutoh, M.; Saitoh, T.; Fujikura, H.; Hasegawa, H.

    1999-03-01

    The photoluminescence surface state spectroscopy (PLS 3) method was applied to a study of the surface state distribution ( NSS), effective surface recombination velocity ( Seff), electron ( EFn) and hole ( EFp) quasi-Fermi levels and band bending ( VS) on the Al 0.33Ga 0.67As surface air-exposed and passivated by the Si interface control layer (ICL) technique. Using the detailed measurements of the PL quantum efficiency for different excitation intensities, combined with the rigorous computer simulations of the bulk and surface recombination processes, the behavior and correlation among the surface characteristics under photo-excitation was determined. The present analysis indicated that forming of a Si 3N 4/Si ICL double layer (with a monolayer level control) on AlGaAs surface reduces the minimum interface state density down to 10 10 cm -2 eV -1 and surface recombination velocity to the range of 10 4 cm/s under low excitations.

  5. Grain size engineering for ferroelectric Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films by an insertion of Al{sub 2}O{sub 3} interlayer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Han Joon; Park, Min Hyuk; Kim, Yu Jin

    2014-11-10

    The degradation of ferroelectric (FE) properties of atomic layer deposited Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films with increasing thickness was mitigated by inserting 1 nm-thick Al{sub 2}O{sub 3} interlayer at middle position of the thickness of the FE film. The large P{sub r} of 10 μC/cm{sup 2}, which is 11 times larger than that of single layer Hf{sub 0.5}Zr{sub 0.5}O{sub 2} film with equivalent thickness, was achieved from the films as thick as 40 nm. The Al{sub 2}O{sub 3} interlayer could interrupt the continual growth of Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films, and the resulting decrease of grain size prevented the formation of non-ferroelectricmore » monoclinic phase. The Al{sub 2}O{sub 3} interlayer also largely decreased the leakage current of the Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films.« less

  6. Development and Application of Binary Suspensions in the Ternary System Cr2O3-TiO2-Al2O3 for S-HVOF Spraying

    NASA Astrophysics Data System (ADS)

    Potthoff, Annegret; Kratzsch, Robert; Barbosa, Maria; Kulissa, Nick; Kunze, Oliver; Toma, Filofteia-Laura

    2018-04-01

    Compositions in the system Cr2O3-TiO2-Al2O3 are among the most used ceramic materials for thermally sprayed coating solutions. Cr2O3 coatings present good sliding wear resistance; Al2O3 coatings show excellent insulation behavior and TiO2 striking corrosion properties. In order to combine these properties, coatings containing more than one oxide are highly interesting. The conventional spraying process is limited to the availability of binary feedstock powders with defined compositions. The use of suspensions offers the opportunity for tailor-made chemical compositions: within the triangle of Cr2O3-TiO2-Al2O3, each mixture of oxides can be created. Criteria for the selection of raw materials as well as the relevant aspects for the development of binary suspensions in the Cr2O3-TiO2-Al2O3 system to be used as feedstock for thermal spraying are presented. This formulation of binary suspensions required the development of water-based single-oxide suspensions with suitable behavior; otherwise, the interaction between the particles while mixing could lead up to a formation of agglomerates, which affect both the stability of the spray process and the coating properties. For the validation of this formulation procedure, binary Cr2O3-TiO2 and Al2O3-TiO2 suspensions were developed and sprayed using the S-HVOF process. The binary coatings were characterized and discussed in terms of microstructure and microhardness.

  7. Catalytic activity of CuOn-La2O3/gamma-Al2O3 for microwave assisted ClO2 catalytic oxidation of phenol wastewater.

    PubMed

    Bi, Xiaoyi; Wang, Peng; Jiang, Hong

    2008-06-15

    In order to develop a catalyst with high activity and stability for microwave assisted ClO2 catalytic oxidation, we prepared CuOn-La2O3/gamma-Al2O3 by impregnation-deposition method, and determined its properties using BET, XRF, XPS and chemical analysis techniques. The test results show that, better thermal ability of gamma-Al2O3 and high loading of Cu in the catalyst can be achieved by adding La2O3. The microwave assisted ClO2 catalytic oxidation process with CuOn-La2O3/gamma-Al2O3 used as catalyst was also investigated, and the results show that the catalyst has an excellent catalytic activity in treating synthetic wastewater containing 100 mg/L phenol, and 91.66% of phenol and 50.35% of total organic carbon (TOC) can be removed under the optimum process conditions. Compared with no catalyst process, CuOn-La2O3/gamma-Al2O3 can effectively degrade contaminants in short reaction time and with low oxidant dosage, extensive pH range. The comparison of phenol removal efficiency in the different process indicates that microwave irradiation and catalyst work together to oxidize phenol effectively. It can therefore be concluded from results and discussion that CuOn-La2O3/gamma-Al2O3 is a suitable catalyst in microwave assisted ClO2 catalytic oxidation process.

  8. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  9. Effect of N2 annealing on AlZrO oxide

    NASA Astrophysics Data System (ADS)

    Pétry, J.; Richard, O.; Vandervorst, W.; Conard, T.; Chen, J.; Cosnier, V.

    2003-07-01

    In the path to the introduction of high-k dielectric into integrated circuit components, a large number of challenges has to be solved. Subsequent to the film deposition, the high-k film is exposed to additional high-temperature anneals for polycrystalline Si activation but also to improve its own electrical properties. Hence, concerns can be raised regarding the thermal stability of these stacks upon annealing. In this study, we investigated the effect of N2 annealing (700 to 900 °C) of atomic layer chemical vapor deposition AlZrO layers using x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOFSIMS), transmission electron microscopy (TEM), and Fourier transform infrared (FTIR) spectroscopy. The effect of the Si surface preparation [H-Si, 0.5 nm rapid thermal oxide (RTO), Al2O3] on the modification of the high-k oxide and the interfacial layer upon annealing was also analyzed. Compositional changes can be observed for all temperature and surface preparations. In particular, we observe a segregation of Al(oxide) toward the surface of the mixed oxide. In addition, an increase of the Si concentration in the high-k film itself can be seen with a diffusion profile extending toward the surface of the film. On the other hand, the modification of the interfacial layer is strongly dependent on the system considered. In the case of mixed oxide grown on 0.5 nm RTO, no differences are observed between the as-deposited layer and the layer annealed at 700 °C. At 800 °C, a radical change occurs: The initial RTO layer seems to be converted into a mixed layer composed of the initial SiO2 and Al2O3 coming from the mixed oxide, however without forming an Al-silicate layer. A similar situation is found for anneals at 900 °C, as well. When grown on 1.5 nm Al2O3 on 0.5 nm RTO, the only difference with the previous system is the observation of an Al-silicate fraction in the interfacial layer for the as-deposited and 700 °C annealed samples

  10. A comparison of the doppler-broadened positron annihilation spectra of neutron irradiated Al 2O 3 and MgAl 2O 3

    NASA Astrophysics Data System (ADS)

    Jones, P. L.; Schaffer, J. P.; Cocks, F. H.; Clinard, F. W.; Hurley, G. F.

    1985-01-01

    Radiation damage studies of oxides and ceramics have become of increasing importance due to the projected use of these materials in thermonuclear fusion reactors as electronic insulators and first wall materials. In addition these materials are important in RAD waste disposal. As part of a study of the defect structure in radiation damaged ceramics Doppler-broadened positron annihilation spectra have been obtained for a series of single crystal sapphire (α-Al 2O 3) and polycrystal (1:1) and (1:2) magnesium aluminate spinel (MgO·Al 2O 3 and MgO-2Al 2O 3) samples. These samples were irradiated in EBR-II to a fluence of 3 × 10 25 n/m 2 (E > 0.1 MeV) at 740°C, and 2 × 10 26 n/m 2 (E > 0.1 MeV) at ~ 550°C respectively. Positron annihilation spectra lineshapes for the irradiated, annealed, and as-received samples of both materials were compared using S parameter analysis. These calculations were made on deconvoluted gamma ray spectra that were free of any instrumental broadening effects. In this way, absolute S parameter changes could be calculated. The observed changes in the S parameter are consistent with independent volume swelling measurements for both the α-A1 2O 3 and the (1:2) MgAl 2O 4 samples. However, the change in S parameter measured for the (1:1) spinel is contrary to the measured volume change. This apparent anomaly indicates a predominence of interstitial as opposed to vacancy type defects in this material.

  11. Synthesis, crystal structure, and ionic conductivity of a new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Sung-Chul; Kwak, Hyun-Jung; Yoo, Chung-Yul

    2016-11-15

    A new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}, was synthesized in the form of either a single-crystal or polycrystalline powder using the molten hydroxide flux method or a solid-state reaction, respectively. Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} crystallizes to the P2{sub 1}/n (Z=4) monoclinic space group with lattice parameters a≈4.95 Å, b≈22.06 Å, c≈8.63 Å, and β≈91.5°. The structure is composed of stacked [LiSrAl(PO{sub 4}){sub 2}] layers alternating regularly with [LiSrPO{sub 4}] layers. In the [LiSrAl(PO{sub 4}){sub 2}] sublattice, the AlO{sub 6} octahedra and PO{sub 4} tetrahedra are tilted cooperatively to form an anionic, corrugated, two-dimensional [Al(PO{sub 4}){sub 2}]{supmore » 3−} framework that can be regarded as a “distorted-glaserite” structure. The [LiSrPO{sub 4}] sublattice is that of a layered block containing a six-membered ring formed from alternating linkages of LiO{sub 4} and PO{sub 4} tetrahedra. The six-membered rings show a boat-type arrangement with the up(U) or down(D) pointing sequence, UUDUUD. The interspace between the two sublattices generates a two-dimensional pathway for Li{sup +} ion conduction. The impedance measurement indicated that Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} had a moderate ion conductivity (σ≈1.30×10{sup −4} S cm{sup −1} at 667 K), with an activation energy E{sub a}≈1.02 eV. - Graphical abstract: Polyhedral view of Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}. Li{sup +} ions are represented by green spheres, Sr atoms by white spheres, AlO{sub 6} groups by octahedra, and PO{sub 4} groups by tetrahedra. - Highlights: • New compound Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} is reported. • The crystal structure is investigated by single-crystal XRD analysis. • The structure is formed by the alternate stacking of two different sublattices. • Correlation between the crystal structure and ionic conductivity is discussed.« less

  12. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    PubMed

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Modulation-doped β-(Al0.2Ga0.8)2O3/Ga2O3 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Sriram; Xia, Zhanbo; Joishi, Chandan; Zhang, Yuewei; McGlone, Joe; Johnson, Jared; Brenner, Mark; Arehart, Aaron R.; Hwang, Jinwoo; Lodha, Saurabh; Rajan, Siddharth

    2017-07-01

    Modulation-doped heterostructures are a key enabler for realizing high mobility and better scaling properties for high performance transistors. We report the realization of a modulation-doped two-dimensional electron gas (2DEG) at the β-(Al0.2Ga0.8)2O3/Ga2O3 heterojunction by silicon delta doping. The formation of a 2DEG was confirmed using capacitance voltage measurements. A modulation-doped 2DEG channel was used to realize a modulation-doped field-effect transistor. The demonstration of modulation doping in the β-(Al0.2Ga0.8)2O3/Ga2O3 material system could enable heterojunction devices for high performance electronics.

  14. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  15. Capability for Fine Tuning of the Refractive Index Sensing Properties of Long-Period Gratings by Atomic Layer Deposited Al2O3 Overlays

    PubMed Central

    Śmietana, Mateusz; Myśliwiec, Marcin; Mikulic, Predrag; Witkowski, Bartłomiej S.; Bock, Wojtek J.

    2013-01-01

    This work presents an application of thin aluminum oxide (Al2O3) films obtained using atomic layer deposition (ALD) for fine tuning the spectral response and refractive-index (RI) sensitivity of long-period gratings (LPGs) induced in optical fibers. The technique allows for an efficient and well controlled deposition at monolayer level (resolution ∼ 0.12 nm) of excellent quality nano-films as required for optical sensors. The effect of Al2O3 deposition on the spectral properties of the LPGs is demonstrated experimentally and numerically. We correlated both the increase in Al2O3 thickness and changes in optical properties of the film with the shift of the LPG resonance wavelength and proved that similar films are deposited on fibers and oxidized silicon reference samples in the same process run. Since the thin overlay effectively changes the distribution of the cladding modes and thus also tunes the device's RI sensitivity, the tuning can be simply realized by varying number of cycles, which is proportional to thickness of the high-refractive-index (n > 1.6 in infrared spectral range) Al2O3 film. The advantage of this approach is the precision in determining the film properties resulting in RI sensitivity of the LPGs. To the best of our knowledge, this is the first time that an ultra-precise method for overlay deposition has been applied on LPGs for RI tuning purposes and the results have been compared with numerical simulations based on LP mode approximation.

  16. Decay channels of Al L sub 2,3 excitons and the absence of O K excitons in. alpha. -Al sub 2 O sub 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, W.L.; Jia, J.; Dong, Q.

    1991-12-15

    The Al {ital L}{sub 2,3} and O {ital K} thresholds for single-crystal {alpha}-Al{sub 2}O{sub 3} have been studied by photoemission. Energy-distribution curves, constant-initial-state (CIS), and constant-final-state (CFS) spectra are reported and compared to the absorption spectrum reported previously. An exciton appears as a doublet at threshold in the Al {ital L}{sub 2,3} CFS, CIS, and absorption spectra. The details of the Al {ital L}{sub 2,3} CFS spectrum and absorption spectrum are similar, while the exciton is the only feature present in the CIS spectrum. Comparisons of the various Al {ital L}{sub 2,3} spectra allow the probabilities of different exciton decaymore » channels to be determined. The probability for nonradiative direct recombination of the exciton is found to be (8{plus minus}1)% and the probability for Auger decay of the exciton is found to be (72{plus minus}20)%. Comparisons of the O {ital K} CIS and CFS spectra suggest that no O {ital K} exciton is formed.« less

  17. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  18. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  19. Investigations of nanodimensional Al{sup 2}O{sup 3} films deposited by ion-plasma sputtering onto porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Goloshchapov, D. L.

    2015-07-15

    The purpose of this study is the deposition of nanodimensional Al{sup 2}O{sup 3} films on the surface of nanoporous silicon and also fundamental investigations of the structural, optical, and morphological properties of these materials. Analyzing the results obtained here, it is possible to state that ultrathin nanostructured Al{sup 2}O{sup 3} films can be obtained in the form of threads oriented in one direction and located at a distance of 300–500 nm from each other using ion-plasma sputtering on a layer of porous silicon. Such a mechanism of aluminum-oxide growth is conditioned by the crystallographic orientation of the initial single-crystalline siliconmore » wafer used to fabricate the porous layer. The results of optical spectroscopy show that the Al{sup 2}O{sup 3}/por-Si/Si(111) heterophase structure perfectly transmits electromagnetic radiation in the range of 190–900 nm. The maximum in the dispersion of the refractive index obtained for the Al{sup 2}O{sup 3} film grown on por-Si coincides with the optical-absorption edge for aluminum oxide and is located in the region of ∼5.60 eV. This fact is confirmed by the results of calculations of the optical-absorption spectrum of the Al{sup 2}O{sup 3}/por-Si/Si(lll) heterophase structure. The Al{sup 2}O{sup 3} films formed on the heterophase-structure surface in the form of nanodimensional structured threads can serve as channels of optical conduction and can be rather efficiently introduced into conventional technologies, which are of great importance in microelectronics and optoelectronics.« less

  20. The effect of pre-dose on thermally and optically stimulated luminescence from α-Al2O3:C,Mg and α-Al2O3:C.

    PubMed

    Kalita, J M; Chithambo, M L

    2018-06-15

    We report the effect of pre-dose on the thermoluminescence (TL) and optically stimulated luminescence (OSL) dose response of α-Al 2 O 3 :C,Mg and α-Al 2 O 3 :C. Before any luminescence measurement, the samples were irradiated with different doses, namely 100, 500 and 1000 Gy to populate the deep electron traps. This is the pre-dose. The results from TL and OSL studies are compared with results from samples used without any pre-measurement dose. The TL glow curves and OSL decay curves of α-Al 2 O 3 :C,Mg recorded after pre-doses of 100, 500 and 1000 Gy are identical to those from a sample used without any pre-dose. Further, the TL and OSL dose response of all α-Al 2 O 3 :C,Mg samples are similar regardless of pre-dose. In comparison, the TL glow curves and OSL decay curves of α-Al 2 O 3 :C are influenced by pre-dose. We conclude that the differences in the TL and OSL dose response of various pre-dosed samples of α-Al 2 O 3 :C are due to the concentration of charge in the deep traps. On the other hand, owing to the lower concentration of such deep traps in α-Al 2 O 3 :C,Mg, the TL or OSL dose responses are not affected by pre-dose in this material. Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Tribological Properties of TiO2/SiO2 Double Layer Coatings Deposited on CP-Ti

    NASA Astrophysics Data System (ADS)

    Çomakli, O.; Yazici, M.; Yetim, T.; Yetim, A. F.; Çelik, A.

    In the present paper, the influences of different double layer on wear and scratch performances of commercially pure Titanium (CP-Ti) were investigated. TiO2/SiO2 and SiO2/TiO2 double layer coatings were deposited on CP-Ti by sol-gel dip coating process and calcined at 750∘C. The phase structure, cross-sectional morphology, composition, wear track morphologies, adhesion properties, hardness and roughness of uncoated and coated samples were characterized with X-ray diffraction, scanning electron microscopy (SEM), nano-indentation technique, scratch tester and 3D profilometer. Also, the tribological performances of all samples were investigated by a pin-on-disc tribo-tester against Al2O3 ball. Results showed that hardness, elastic modulus and adhesion resistance of double layer coated samples were higher than untreated CP-Ti. It was found that these properties of TiO2/SiO2 double layer coatings have higher than SiO2/TiO2 double layer coating. Additionally, the lowest friction coefficient and wear rates were obtained from TiO2/SiO2 double layer coatings. Therefore, it was seen that phase structure, hardness and film adhesion are important factors on the tribological properties of double layer coatings.

  2. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  3. Enhancement of two dimensional electron gas concentrations due to Si{sub 3}N{sub 4} passivation on Al{sub 0.3}Ga{sub 0.7}N/GaN heterostructure: strain and interface capacitance analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dinara, Syed Mukulika, E-mail: smdinara.iit@gmail.com; Jana, Sanjay Kr.; Ghosh, Saptarsi

    2015-04-15

    Enhancement of two dimensional electron gas (2DEG) concentrations at Al{sub 0.3}Ga{sub 0.7}N/GaN hetero interface after a-Si{sub 3}N{sub 4} (SiN) passivation has been investigated from non-destructive High Resolution X-ray Diffraction (HRXRD) analysis, depletion depth and capacitance-voltage (C-V) profile measurement. The crystalline quality and strained in-plane lattice parameters of Al{sub 0.3}Ga{sub 0.7}N and GaN were evaluated from double axis (002) symmetric (ω-2θ) diffraction scan and double axis (105) asymmetric reciprocal space mapping (DA RSM) which revealed that the tensile strain of the Al{sub 0.3}Ga{sub 0.7}N layer increased by 15.6% after SiN passivation. In accordance with the predictions from theoretical solution of Schrödinger-Poisson’smore » equations, both electrochemical capacitance voltage (ECV) depletion depth profile and C-V characteristics analyses were performed which implied effective 9.5% increase in 2DEG carrier density after passivation. The enhancement of polarization charges results from increased tensile strain in the Al{sub 0.3}Ga{sub 0.7}N layer and also due to the decreased surface states at the interface of SiN/Al{sub 0.3}Ga{sub 0.7}N layer, effectively improving the carrier confinement at the interface.« less

  4. Comparison of structural and electric properties of PbZr0.2Ti0.8O3 and CoFe2O4/PbZr0.2Ti0.8O3 films on (100)LaAlO3

    NASA Astrophysics Data System (ADS)

    Zhang, X. D.; Dho, Joonghoe; Park, Sungmin; Kwon, Hyosang; Hwang, Jihwan; Park, Gwangseo; Kwon, Daeyoung; Kim, Bongju; Jin, Yeryeong; Kim, Bog. G.; Karpinsky, D.; Kholkin, A. L.

    2011-09-01

    In this work, we investigated structural, electrical, and magnetic properties of ferroelectric PbZr0.2Ti0.8O3 (PZT) and ferrimagnetic/ferroelectric [CoFe2O4(CFO)/PZT] bilayers grown on (100)LaAlO3 (LAO) substrates supplied with bottom 50 nm thick LaNiO3 electrodes. Interestingly, structural and electrical properties of the PZT layer exhibited remarkable changes after the top-layer CFO deposition. X-ray diffraction data suggested that both the c- and a-domains exist in the PZT layer and the tetragonality of the PZT decreases upon the top-layer deposition. A variation in the electrical properties of the PZT layer upon the CFO deposition was investigated by polarization versus voltage (P-V), capacitance versus voltage (C-V), and capacitance versus frequency (C-f) measurements. The CFO deposition induced a slight decrease of the remnant polarization and more symmetric behavior of P-V loops as well as led to the improvement of fatigue behavior. The tentative origin of enhanced fatigue endurance is discussed based on the measurement results. These results were corroborated by local piezoelectric measurements. Ferrimagnetic property of the CFO/PZT bilayer was confirmed by magnetic measurement at room temperature.

  5. Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2013-10-01

    This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.

  6. Electrical transport and low-frequency noise in chemical vapor deposited single-layer MoS2 devices.

    PubMed

    Sharma, Deepak; Amani, Matin; Motayed, Abhishek; Shah, Pankaj B; Birdwell, A Glen; Najmaei, Sina; Ajayan, Pulickel M; Lou, Jun; Dubey, Madan; Li, Qiliang; Davydov, Albert V

    2014-04-18

    We have studied temperature-dependent (77-300 K) electrical characteristics and low-frequency noise (LFN) in chemical vapor deposited (CVD) single-layer molybdenum disulfide (MoS2) based back-gated field-effect transistors (FETs). Electrical characterization and LFN measurements were conducted on MoS2 FETs with Al2O3 top-surface passivation. We also studied the effect of top-surface passivation etching on the electrical characteristics of the device. Significant decrease in channel current and transconductance was observed in these devices after the Al2O3 passivation etching. For passivated devices, the two-terminal resistance variation with temperature showed a good fit to the activation energy model, whereas for the etched devices the trend indicated a hopping transport mechanism. A significant increase in the normalized drain current noise power spectral density (PSD) was observed after the etching of the top passivation layer. The observed channel current noise was explained using a standard unified model incorporating carrier number fluctuation and correlated surface mobility fluctuation mechanisms. Detailed analysis of the gate-referred noise voltage PSD indicated the presence of different trapping states in passivated devices when compared to the etched devices. Etched devices showed weak temperature dependence of the channel current noise, whereas passivated devices exhibited near-linear temperature dependence.

  7. Fabrication of hierarchical porous ZnO-Al2O3 microspheres with enhanced adsorption performance

    NASA Astrophysics Data System (ADS)

    Lei, Chunsheng; Pi, Meng; Xu, Difa; Jiang, Chuanjia; Cheng, Bei

    2017-12-01

    Hierarchical porous ZnO-Al2O3 microspheres were fabricated through a simple hydrothermal route. The as-prepared hierarchical porous ZnO-Al2O3 composites were utilized as adsorbents to remove organic dye Congo red (CR) from water. The ZnO-Al2O3 composites had morphology of microspheres with diameters in the range of 12-16 μm, which were assembled by nanosheets with thicknesses of approximately 60 nm. The adsorption kinetics of CR onto the ZnO-Al2O3 composites was properly fitted by the pseudo-second-order kinetic model. The equilibrium adsorption data were perfectly described by the Langmuir isotherm and had a maximum adsorption capacity that reached 397 mg/g, which was significantly higher than the value of the pure alumina (Al2O3) and zinc oxide (ZnO) samples. The superior CR removal efficiency of the ZnO-Al2O3 composites was attributed to its well-developed hierarchical porous structures and larger specific surface area (201 m2/g), which were conducive to the diffusion and adsorption of CR molecules. Moreover, the regeneration study reveals that the ZnO-Al2O3 composites have suitable stability and reusability. The results also indicate that the as-prepared sample can act as a highly effective adsorbent in anionic dye removal from wastewater.

  8. A study on electrical conductivity of chemosynthetic Al 2O 3-2SiO 2 geoploymer materials

    NASA Astrophysics Data System (ADS)

    Cui, Xue-Min; Zheng, Guang-Jian; Han, Yao-Cong; Su, Feng; Zhou, Ji

    Al 2O 3-2SiO 2 amorphous powders are synthesized by sol-gel method with tetraethoxysilane (TEOS) and aluminum nitrate (ANN) as the starting materials. The microstructure and phase structure of the powders are investigated by SEM and XRD analysis. Geopolymer materials samples are prepared by mechanically mixing stoichiometric amounts of calcined Al 2O 3-2SiO 2 powders and sodium silicate solutions to allow a mass ratio of Na 2O/Al 2O 3 = 0.4, 0.375, 0.35, 0.325, 0.288, 0.26, 0.23 or 0.2 separately, and finally to form a homogenous slurry at a fixed H 2O/Na 2O mole ratio = 11.7. The results show that the synthetic Al 2O 3-2SiO 2 powders have polycondensed property and their compressive strengthes are similar to that of nature metakaolin geopolymer materials. The results also show that the water consumption is not the main influencing factor on electrical conductivity of harden geopolymer materials but it can intensively affect the microstructure of geopolymer materials. In addition, the electrical conductivity of harden geopolymer sample is investigated, and the results show that the geopolymer materials have a high ionic electrical conductivity of about 1.5 × 10 -6 S cm -1 in air at room temperature.

  9. Band alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface determined by charge corrected X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Yan, Baojun; Liu, Shulin; Yang, Yuzhen; Heng, Yuekun

    2016-05-01

    Pure magnesium (MgO) and zinc oxide doped with aluminum oxide (Zn0.8Al0.2O) were prepared via atomic layer deposition. We have studied the structure and band gap of bulk Zn0.8Al0.2O material by X-ray diffractometer (XRD) and Tauc method, and the band offsets and alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface were investigated systematically using X-ray photoelectron spectroscopy (XPS) in this study. Different methodologies, such as neutralizing electron gun, the use of C 1s peak recalibration and zero charging method, were applied to recover the actual position of the core levels in insulator materials which were easily influenced by differential charging phenomena. Schematic band alignment diagram, valence band offset (ΔEV) and conduction band offset (ΔEC) for the interface of the MgO/Zn0.8Al0.2O heterostructure have been constructed. An accurate value of ΔEV = 0.72 ± 0.11 eV was obtained from various combinations of core levels of heterojunction with varied MgO thickness. Given the experimental band gaps of 7.83 eV for MgO and 5.29 eV for Zn0.8Al0.2O, a type-II heterojunction with a ΔEC of 3.26 ± 0.11 eV was found. Band offsets and alignment studies of these heterojunctions are important for gaining deep consideration to the design of various optoelectronic devices based on such heterointerface.

  10. Effect of the Molar Ratio of B2O3 to Bi2O3 in Al Paste with Bi2O3-B2O3-ZnO Glass on Screen Printed Contact Formation and Si Solar Cell Performance

    NASA Astrophysics Data System (ADS)

    Kim, Bit-Na; Kim, Hyeong Jun; Chang, Hyo Sik; Hong, Hyun Seon; Ryu, Sung-Soo; Lee, Heon

    2013-10-01

    In this study, eco-friendly Pb-free Bi2O3-B2O3-ZnO glass frits were chosen as an inorganic additive for the Al paste used in Si solar cells. The effects of the molar ratio of Bi2O3 to B2O3 in the glass composition on the electrical resistance of the Al electrode and on the cell performance were investigated. The results showed that as the molar ratio of Bi2O3 to B2O3 increased, the glass transition temperature and softening temperature decreased because of the reduced glass viscosity. In Al screen-printed Si solar cells, as the molar ratio of Bi2O3 to B2O3 increased, the sheet electrical resistance of the Al electrode decreased and the cell efficiency increased. The uniformity and thickness of the back-surface field was significantly influenced by the glass composition.

  11. Optical microcavities and enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Electroluminescence (EL) and electron emission into vacuum (EM) occur when a non-destructive dielectric breakdown of Al-Al{sub 2}O{sub 3}-Ag diodes, electroforming, results in the development of a filamentary region in which current-voltage (I-V) characteristics exhibit voltage-controlled negative resistance. The temperature dependence of I-V curves, EM, and, particularly, EL of Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 30 nm, has been studied. Two filters, a long-pass (LP) filter with transmission of photons with energies less than 3.0 eV and a short-pass (SP) filter with photon transmission between 3.0 and 4.0 eV, have been used to characterize EL. The voltagemore » threshold for EL with the LP filter, V{sub LP}, is ∼1.5 V. V{sub LP} is nearly independent of Al{sub 2}O{sub 3} thickness and of temperature and is 0.3–0.6 V less than the threshold voltage for EL for the SP filter, V{sub SP}. EL intensity is primarily between 1.8 and 3.0 eV when the bias voltage, V{sub S} ≲ 7 V. EL in the thinnest diodes is enhanced compared to EL in thicker diodes. For increasing V{sub S}, for diodes with the smallest Al{sub 2}O{sub 3} thicknesses, there is a maximum EL intensity, L{sub MX}, at a voltage, V{sub LMX}, followed by a decrease to a plateau. L{sub MX} and EL intensity at 4.0 V in the plateau region depend exponentially on Al{sub 2}O{sub 3} thickness. The ratio of L{sub MX} at 295 K for a diode with 12 nm of Al{sub 2}O{sub 3} to L{sub MX} for a diode with 25 nm of Al{sub 2}O{sub 3} is ∼140. The ratio of EL intensity with the LP filter to EL intensity with the SP filter, LP/SP, varies between ∼3 and ∼35; it depends on Al{sub 2}O{sub 3} thickness and V{sub S}. Enhanced EL is attributed to the increase of the spontaneous emission rate of a dipole in a non-resonant optical microcavity. EL photons interact with the Ag and Al films to create surface plasmon polaritons (SPPs) at the metal-Al

  12. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    PubMed

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  13. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  14. Comparisons of switching characteristics between Ti/Al2O3/Pt and TiN/Al2O3/Pt RRAM devices with various compliance currents

    NASA Astrophysics Data System (ADS)

    Qi, Yanfei; Zhao, Ce Zhou; Liu, Chenguang; Fang, Yuxiao; He, Jiahuan; Luo, Tian; Yang, Li; Zhao, Chun

    2018-04-01

    In this study, the influence of the Ti and TiN top electrodes on the switching behaviors of the Al2O3/Pt resistive random access memory devices with various compliance currents (CCs, 1-15 mA) has been compared. Based on the similar statistical results of the resistive switching (RS) parameters such as V set/V reset, R HRS/R LRS (measured at 0.10 V) and resistance ratio with various CCs for both devices, the Ti/Al2O3/Pt device differs from the TiN/Al2O3/Pt device mainly in the forming process rather than in the following switching cycles. Apart from the initial isolated state, the Ti/Al2O3/Pt device has the initial intermediate state as well. In addition, its forming voltage is relatively lower. The conduction mechanisms of the ON and OFF state for both devices are demonstrated as ohmic conduction and Frenkel-Poole emission, respectively. Therefore, with the combined modulations of the CCs and the stop voltages, the TiN/Al2O3/Pt device is more stable for nonvolatile memory applications to further improve the RS performance.

  15. Highly efficient passive mode locking of Nd:Lu2.9Gd0.1Al5O12 garnet crystal

    NASA Astrophysics Data System (ADS)

    Di, J. Q.; Xu, X. D.; Xia, C. T.; Tan, W. D.; Zhang, J.; Tang, D. Y.; Li, D. Z.; Zhou, D. H.; Wu, F.; Xu, J.

    2013-05-01

    Passive mode locking of Nd:Lu2.9Gd0.1Al5O12 (Nd:LuGdAG) crystal lasers was experimentally investigated. Stable mode-locked pulses with pulse widths as short as 9.7 ps were obtained for the Nd:LuGdAG crystal; the corresponding maximum output powers were 0.93 W while the mode-locked slope efficiencies were 43%, among the highest efficiencies ever reported for Nd3+ ps lasers. The results demonstrate that Nd:LuGdAG garnet crystal is a promising gain medium for efficient picosecond laser use.

  16. Creating Two-Dimensional Electron Gas in Polar/Polar Perovskite Oxide Heterostructures: First-Principles Characterization of LaAlO3/A(+)B(5+)O3.

    PubMed

    Wang, Yaqin; Tang, Wu; Cheng, Jianli; Behtash, Maziar; Yang, Kesong

    2016-06-01

    By using first-principles electronic structure calculations, we explored the possibility of producing two-dimensional electron gas (2DEG) at the polar/polar (LaO)(+)/(BO2)(+) interface in the LaAlO3/A(+)B(5+)O3 (A = Na and K, B = Nb and Ta) heterostructures (HS). Unlike the prototype polar/nonpolar LaAlO3/SrTiO3 HS system where there exists a least film thickness of four LaAlO3 unit cells to have an insulator-to-metal transition, we found that the polar/polar LaAlO3/A(+)B(5+)O3 HS systems are intrinsically conducting at their interfaces without an insulator-to-metal transition. The interfacial charge carrier densities of these polar/polar HS systems are on the order of 10(14) cm(-2), much larger than that of the LaAlO3/SrTiO3 system. This is mainly attributed to two donor layers, i.e., (LaO)(+) and (BO2)(+) (B = Nb and Ta), in the polar/polar LaAlO3/A(+)B(5+)O3 systems, while only one (LaO)(+) donor layer in the polar/nonpolar LaAlO3/SrTiO3 system. In addition, it is expected that, due to less localized Nb 4d and Ta 5d orbitals with respect to Ti 3d orbitals, these LaAlO3/A(+)B(5+)O3 HS systems can exhibit potentially higher electron mobility because of their smaller electron effective mass than that in the LaAlO3/SrTiO3 system. Our results demonstrate that the electronic reconstruction at the polar/polar interface could be an alternative way to produce superior 2DEG in the perovskite-oxide-based HS systems.

  17. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  18. Structure of oxides prepared by decomposition of layered double Mg–Al and Ni–Al hydroxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cherepanova, Svetlana V.; Novosibirsk State University, Novosibirsk; Leont’eva, Natalya N., E-mail: n_n_leonteva@list.ru

    2015-05-15

    Abstracts: Thermal decomposition of Mg–Al and Ni–Al layered double hydroxides LDH at temperatures lower than 800 °C leads to the formation of oxides with different structures. Mg–Al oxide has a very defective structure and consists of octahedral layers as in periclase MgO and mixed octahedral–tetrahedral layers as in spinel MgAl{sub 2}O{sub 4}. Mixed Ni–Al oxide has a sandwich-like structure, consisting of a core with Al-doped NiO-like structure and some surface layers with spinel NiAl{sub 2}O{sub 4} structure epitaxial connected with the core. Suggested models were verified by simulation of X-ray diffraction patterns using DIFFaX code, as well as HRTEM, IR-,more » UV-spectroscopies, and XPS. - Graphical abstract: In the Mg–Al layered double hydroxide Al{sup 3+} ions migrate into interlayers during decomposition. The Mg–Al oxide represents sequence of octahedral and octahedral–tetrahedral spinel layers with vacancies. The Ni–Al oxide has a sandwich-like structure with NiO-like core and surface spinel layers as a result of migration of Al{sup 3+} ions on the surface. The models explain the presence and absence of “memory effect” for the Mg–Al and Ni–Al oxides, respectively. - Highlights: • We study products of Mg(Ni)–Al LDH decomposition by calcination at 500(400)–800 °C. • In Mg–Al/Ni–Al LDH Al ions migrate into interlayers/on the surface during decomposition. • Mg–Al oxide represents sequence of periclase- and spinel-like layers with vacancies. • Ni–Al oxide has a sandwich-like structure with NiO-like core and surface spinel layers. • The models explain the presence/absence of “memory effect” for Mg–Al/Ni–Al oxides.« less

  19. Spectra of surface plasmon polariton enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Narrow band-pass filters have been used to measure the spectral distribution of electroluminescent photons with energies between 1.8 eV and 3.0 eV from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. Electroforming of metal-insulator-metal (MIM) diodes is a non-destructive dielectric breakdown that results in a conducting channel in the insulator and changes the initial high resistance of the MIM diode to a low resistance state. It is a critical step in the development of resistive-switching memories that utilize MIM diodes as the active element. Electroforming of Al-Al{sub 2}O{sub 3}-Ag diodes in vacuum results in voltage-controlledmore » negative resistance (VCNR) in the current-voltage (I-V) characteristics. Electroluminescence (EL) and electron emission into vacuum (EM) develop simultaneously with the current increase that results in VCNR in the I-V characteristics. EL is due to recombination of electrons injected at the Al-Al{sub 2}O{sub 3} interface with radiative defect centers in Al{sub 2}O{sub 3}. Measurements of EL photons between 1.8 eV and 3.0 eV using a wide band-pass filter showed that EL intensity is exponentially dependent on Al{sub 2}O{sub 3} thickness for Al-Al{sub 2}O{sub 3}-Ag diodes between 12 nm and 20 nm thick. Enhanced El intensity in the thinnest diodes is attributed to an increase in the spontaneous emission rate of recombination centers due to high electromagnetic fields generated in Al{sub 2}O{sub 3} when EL photons interact with electrons in Ag or Al to form surface plasmon polaritons at the Al{sub 2}O{sub 3}-Ag or Al{sub 2}O{sub 3}-Al interface. El intensity is a maximum at 2.0–2.2 eV for Al-Al{sub 2}O{sub 3}-Ag diodes with Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. EL in diodes with 12 nm or 14 nm of Al{sub 2}O{sub 3} is enhanced by factors of 8–10 over EL from a diode with 18 nm of Al{sub 2}O{sub 3}. The extent of EL

  20. Investigations on FCAM-III (Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36): A new homologue of the aenigmatite structure-type in the system CaO-MgO-Fe2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Zöll, Klaus; Kahlenberg, Volker; Krüger, Hannes; Tropper, Peter

    2018-02-01

    In the course of a systematic study of a part of the quaternary system Fe2O3-CaO-Al2O3-MgO (FCAM) the previously unknown compound Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 (FCAM-III) has been synthesized. By analogy with the so-called SFCA series [1-5], our investigation in the system of FCAM shows the existence of a stoichiometric homologous series M14+6nO20+8n, where M = Fe, Ca, Al, Mg and n = 1 or 2. In air, we can prove the formation of coexisting FCAM-III and FCAM-I solid solutions at 1400 °C. By increasing the temperature up to 1425 °C FCAM-I disappears completely and FCAM-III co-exists with magnesiumferrite and a variety of calcium iron oxides. At 1450 °C FCAM-III breaks down to a mixture of FCAM-I again as well as magnesioferrite and melt. Small single-crystals of FCAM-III up to 35 μm in size could be retrieved from the 1425 °C experiment and were subsequently characterized using electron microprobe analysis and synchroton X-ray single-crystal diffraction. Finally the Fe2+/Fetot ratio was calculated from the total iron content based on the crystal-chemical formula obtained from EMPA measurements and charge balance considerations. FCAM-III or Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 has a triclinic crystal structure (space group P 1 ̅). The basic crystallographic data are: a = 10.223(22) Å, b = 10.316(21) Å, c = 14.203(15) Å, α = 93.473(50)°, β = 107.418(67)°, γ = 109.646(60)°, V = 1323.85(2) ų, Z = 1. Using Schreinemaker's technique to analyze the phase relations in the system Fe2O3-CaO-Al2O3-MgO it was possible to obtain the semi-quantitative stability relations between the participating phases and construct a topologically correct phase sequence as a function of T and fO2. The analysis shows that Ca2Al0.5Fe1.5O5 (C2A0.25F0.75) and CaAl1.5Fe2.5O7 (CA0.75F1.25) with higher calculated Fe2+ contents are preferably formed at lower oxygen fugacity and react to CaAl0.5Fe1.5O4 (CA0.25F0.75) by increasing fO2. Spinel-type magnesium

  1. Comparative study on hydrostatic strain, stress and dislocation density of Al{sub 0.3}Ga{sub 0.7}N/GaN heterostructure before and after a-Si{sub 3}N{sub 4} passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dinara, Syed Mukulika, E-mail: smdinara.iit@gmail.com; Jana, Sanjay Kr.; Mukhopadhyay, Partha

    2015-08-28

    The hydrostatic strain, stress and dislocation densities were comparatively analyzed before and after passivation of amorphous silicon nitride (a-Si{sub 3}N{sub 4}) layer on Al{sub 0.3}Ga{sub 0.7}N/GaN heterostructure by nondestructive high resolution x-ray diffraction (HRXRD) technique. The crystalline quality, in-plane and out-of plane strain were evaluated from triple-axis (TA) (ω-2θ) diffraction profile across the (002) reflection plane and double-axis (DA) (ω-2θ) glancing incidence (GI) diffraction profile across (105) reflection plane. The hydrostatic strain and stress of Al{sub 0.3}Ga{sub 0.7}N barrier layer were increased significantly after passivation and both are tensile in nature. The dislocation density of GaN was also analyzed andmore » no significant change was observed after passivation of the heterostructure. The crystalline quality was not degraded after passivation on the heterostructure confirmed by the full-width-half-maximum (FWHM) analysis.« less

  2. Terahertz characterization of Y2O3-added AlN ceramics

    NASA Astrophysics Data System (ADS)

    Kang, Seung Beom; Chung, Dong Chul; Kim, Sung-Jin; Chung, Jun-Ki; Park, Sang-Yeup; Kim, Ki-Chul; Kwak, Min Hwan

    2016-12-01

    Terahertz optical and dielectric properties of AlN ceramics fabricated by hot pressed sintering are investigated by THz time-domain spectroscopy in the frequency range of 0.2-3.5 THz. The measured properties of the pure AlN ceramic are compared with those of Y2O3-added AlN ceramic. Two prominent resonance modes, which are essentially responsible for the dielectric properties of the Y2O3-added AlN in terahertz regime, are characterized at ωTO1/(2π) = 2.76 THz (92 cm-1) and ωTO2/(2π) = 18.2 THz (605 cm-1) and are well described by the pseudo-harmonic oscillator model through theoretical fitting. The resonance ωTO1 at 2.76 THz is proposed to be due to the formation of a YAG (Y3Al5O12) secondary phase in Y2O3-added AlN ceramic. From the experimental results, good correlation is observed between the prominent peak of YAG secondary phase at 2.76 THz and thermal conductivity. Additionally, there is a high correlation between densification and refractive index of AlN ceramics fabricated by hot pressed sintering.

  3. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  4. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  5. Bulk Crystallization in a SiO2/Al2O3/Y2O3/AlF3/B2O3/Na2O Glass: Fivefold Pseudo Symmetry due to Monoclinic Growth in a Glassy Matrix Containing Growth Barriers

    PubMed Central

    Wisniewski, Wolfgang; Seyring, Martin; Patzig, Christian; Höche, Thomas; Keshavarzi, Ashkan; Rüssel, Christian

    2016-01-01

    A glass with the mol% composition 17 Y2O3·33 Al2O3·40 SiO2·2 AlF3·3 Na22 CeF3·3 B2O3 is heat treated at 1000 °C for 6–24 h. This results in the surface nucleation and growth of YAG. Nucleation and growth of star-shaped alumina and later of monoclinic β-Y2Si2O7 and orthorhombic δ-Y2Si2O7 are additionally observed in the bulk. Phase identification and localization are performed by electron backscatter diffraction (EBSD) as well as TEM analysis. The monoclinic β-Y2Si2O7 observed in the bulk occurs in the form of large, crystal agglomerates which range from 50 to 120 μm in size. The individual crystals are aligned along the c-axis which is the fastest growing axis. Ten probability maxima are observed in the pole-figures illustrating the rotation of orientations around the c-axes indicating a fivefold symmetry. This symmetry is caused by multiple twinning which results in a high probability of specific orientation relationships with rotation angles of ~36°, ~108° (also referred to as the pentagon angle) and ~144° around the c-axis. All these rotation angles are close to the multiples of 36° which are required for an ideal fivefold symmetry. This is the first report of a fivefold symmetry triggered by the presence of barriers hindering crystal growth. PMID:26813152

  6. Kinetics of NiO and NiCl2 Hydrogen Reduction as Precursors and Properties of Produced Ni/Al2O3 and Ni-Pd/Al2O3 Catalysts

    PubMed Central

    Sokić, Miroslav; Kamberović, Željko; Nikolić, Vesna; Marković, Branislav; Korać, Marija; Anđić, Zoran; Gavrilovski, Milorad

    2015-01-01

    The objects of this investigation were the comparative kinetic analysis of the NiO and NiCl2 reduction by hydrogen during an induction period and elimination of the calcination during the synthesis of Ni/Al2O3 catalysts. The effect of temperature and time on NiO and NiCl2 reduction degrees was studied. Avrami I equation was selected as the most favorable kinetic model and used to determine activation energy of the NiO and NiCl2 reduction for the investigated temperature range (623–923 K) and time intervals (1–5 minutes). The investigation enabled reaching conclusions about the reaction ability and rate of the reduction processes. Afterward, Ni/Al2O3 catalysts were obtained by using oxide and chloride precursor for Ni. The catalysts were supported on alumina-based foam and prepared via aerosol route. Properties of the samples before and after low-temperature hydrogen reduction (633 K) were compared. Obtained results indicated that the synthesis of Ni/Al2O3 catalysts can be more efficient if chloride precursor for Ni is directly reduced by hydrogen during the synthesis process, without the calcination step. In addition, Ni-Pd/Al2O3 catalysts with different metal content were prepared by using chloride precursors. Lower reduction temperature was utilized and the chlorides were almost completely reduced at 533 K. PMID:25789335

  7. Organic-Free, ZnO-Assisted Synthesis of Zeolite FAU with Tunable SiO2 /Al2 O3 Molar Ratio.

    PubMed

    Guo, Ya; Sun, Tianjun; Gu, Yiming; Liu, Xiaowei; Ke, Quanli; Wang, Shudong

    2018-05-04

    Zeolite FAU with tunable SiO 2 /Al 2 O 3 molar ratio has been successfully synthesized in the absence of organic structure-directing agents (OSDA). Specifically, the addition of zinc species contributes to the feasible and effective adjustment of the framework SiO 2 /Al 2 O 3 molar ratio between about 4 and 6 depending on the amount of zinc species added in the batch composition. In contrast, a typical OSDA such as tetramethylammonium hydroxide (TMAOH) has a limited effect on the SiO 2 /Al 2 O 3 molar ratio of the zeolite. The role of zinc species is essential for the crystallization of zeolite FAU with a higher SiO 2 /Al 2 O 3 molar ratio under the particular synthesis conditions. It is speculated that zinc species may suppress the incorporation of aluminum into the aluminosilicate framework, which is due to the Coulombic repulsive interaction. A higher SiO 2 /Al 2 O 3 molar ratio is also found to be accompanied by a lower CO 2 adsorption heat for CO 2 /CH 4 separation. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Front surface passivation of silicon solar cells with antireflection coating

    NASA Technical Reports Server (NTRS)

    Crotty, G.; Daud, T.; Kachare, R.

    1987-01-01

    It is demonstrated that the deposition and postdeposition sintering of an antireflection (AR) coating in hydrogen acts to passivate silicon solar cells. Cells with and without an SiO2 passivating layer, coated with a TiO(x)/Al2O3 AR coating, showed comparable enhancements in short-wavelength spectral response and in open-circuit voltage Voc after sintering at 400 C for 5 min in a hydrogen ambient. The improvement in Voc of cells without SiO2 is attributed to front-surface passivation by the AR coating during processing.

  9. A high-performance nanoporous Si/Al2O3 foam lithium-ion battery anode fabricated by selective chemical etching of the Al-Si alloy and subsequent thermal oxidation.

    PubMed

    Hwang, Gaeun; Park, Hyungmin; Bok, Taesoo; Choi, Sinho; Lee, Sungjun; Hwang, Inchan; Choi, Nam-Soon; Seo, Kwanyong; Park, Soojin

    2015-03-14

    Nanostructured micrometer-sized Al-Si particles are synthesized via a facile selective etching process of Al-Si alloy powder. Subsequent thin Al2O3 layers are introduced on the Si foam surface via a selective thermal wet oxidation process of etched Al-Si particles. The resulting Si/Al2O3 foam anodes exhibit outstanding cycling stability (a capacity retention of 78% after 300 cycles at the C/5 rate) and excellent rate capability.

  10. The behavior of ZrO2/20%Y2O3 and Al2O3 coatings deposited on aluminum alloys at high temperature regime

    NASA Astrophysics Data System (ADS)

    Pintilei, G. L.; Crismaru, V. I.; Abrudeanu, M.; Munteanu, C.; Baciu, E. R.; Istrate, B.; Basescu, N.

    2015-10-01

    Aluminum alloy present numerous advantages like lightness, high specific strength and diversity which recommend them to a high number of applications from different fields. In extreme environments the protection of aluminum alloys is difficult and requires a high number of requirements like high temperature resistance, thermal fatigue resistance, corrosion fatigue resistance and galvanic corrosion resistance. To obtain these characteristics coatings can be applied to the surfaces so they can enhance the mechanical and chemical properties of the parts. In this paper two coatings were considered for deposition on an AA2024 aluminum alloy, ZrO2/20%Y2O3 and Al2O3. To obtain a better adherence of the coating to the base material an additional bond layer of NiCr is used. Both the coatings and bond layer were deposited by atmospheric plasma spraying on the samples. The samples were subjected to a temperature of 500 °C and after that slowly cooled to room temperature. The samples were analyzed by electron microscopy and X-ray diffraction to determine the morphological and phase changes that occurred during the temperature exposure. To determine the stress level in the parts due to thermal expansion a finite element analysis was performed in the same conditions as the tests.

  11. Naturally formed ultrathin V2O5 heteroepitaxial layer on VO2/sapphire(001) film

    NASA Astrophysics Data System (ADS)

    Littlejohn, Aaron J.; Yang, Yunbo; Lu, Zonghuan; Shin, Eunsung; Pan, KuanChang; Subramanyam, Guru; Vasilyev, Vladimir; Leedy, Kevin; Quach, Tony; Lu, Toh-Ming; Wang, Gwo-Ching

    2017-10-01

    Vanadium dioxide (VO2) and vanadium pentoxide (V2O5) thin films change their properties in response to external stimuli such as photons, temperature, electric field and magnetic field and have applications in electronics, optical devices, and sensors. Due to the multiple valence states of V and non-stoichiometry in thin films, it is challenging to grow epitaxial, single-phase V-oxide on a substrate, or a heterostructure of two epitaxial V-oxides. We report the formation of a heterostructure consisting of a few nm thick ultrathin V2O5 epitaxial layer on pulsed laser deposited tens of nm thick epitaxial VO2 thin films grown on single crystal Al2O3(001) substrates without post annealing of the VO2 film. The simultaneous observation of the ultrathin epitaxial V2O5 layer and VO2 epitaxial film is only possible by our unique reflection high energy electron diffraction pole figure analysis. The out-of-plane and in-plane epitaxial relationships are V2O5[100]||VO2[010]||Al2O3[001] and V2O5[03 2 bar ]||VO2[100]||Al2O3[1 1 bar 0], respectively. The existence of the V2O5 layer on the surface of the VO2 film is also supported by X-ray photoelectron spectroscopy and Raman spectroscopy.

  12. Selective hydrodesulfurization of 4,6-dimethyldibenzothiophene in the dominant presence of naphthalene over hybrid CoMo/A{sub 2}O{sub 3} and Ru/Al{sub 2}Al{sub 2}O{sub 3} catalyst

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Isoda, T.; Nagao, S.; Ma, X.

    1995-12-31

    Hydrodesulfurization of 4,6-dimethyldibenzothiophene (4,6-DMDBT) in decane containing significant amount of naphthalene was examined over a hybrid of CoMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} to design the selective hydrogenation and successive desulfurization of 4,6-DMDBT in aromatic moiety, and its activity was compared to those of CoMo/Al{sub 2}O{sub 3}, NiMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} in their single use. HDS activity of 4,6-DMDBT over NiMo/Al{sub 2}O{sub 3} was inferior to CoMo/Al{sub 2}O{sub 3}, although that of highest hydrogenation activity for naphthalene. The hybrid showed the highest activity for HDS of 4,6-DMDBT among these catalysts without excess hydrogenation of nahthalene.

  13. The influence of the PCF scattering on the electrical properties of the AlGaN/AlN/GaN HEMTs after the Si3N4 surface passivation

    NASA Astrophysics Data System (ADS)

    Fu, Chen; Lin, Zhaojun; Cui, Peng; Lv, Yuanjie; Zhou, Yang; Dai, Gang; Luan, Chongbiao; Liu, Huan; Cheng, Aijie

    2018-04-01

    In this paper, the detailed device characteristics were investigated both before and after the Si3N4 passivation grown by plasma-enhanced chemical vapor deposition (PECVD). Better transport properties have been observed for the passivated devices compared with the same ones before passivation. The strain variation and the influence of the scattering mechanisms were analyzed and studied. The calculated results show that the non-uniform distribution of the additional polarization charges at the AlGaN/AlN/GaN interfaces has been weakened by the deposition of the Si3N4 layer. The numerical rise of the two-dimensional electron gas (2DEG) electron mobility and the decrease of the measured R on- A values were in a good consistency, and the weakening of the polarization Coulomb field (PCF) scattering after the passivation process is considered to be the main cause of these phenomena.

  14. Catalytic performance of V2O5-MoO3/γ-Al2O3 catalysts for partial oxidation of n-hexane1

    NASA Astrophysics Data System (ADS)

    Mahmoudian, R.; Khodadadi, Z.; Mahdavi, Vahid; Salehi, Mohammed

    2016-01-01

    In the current study, a series of V2O5-MoO3 catalyst supported on γ-Al2O3 with various V2O5 and MoO3 loadings was prepared by wet impregnation technique. The characterization of prepared catalysts includes BET surface area, powder X-ray diffraction (XRD), and oxygen chemisorptions. The partial oxidation of n-hexane by air over V2O5-MoO3/γ-Al2O3 catalysts was carried out under flow condition in a fixed bed glass reactor. The effect of V2O5 loading, temperature, MoO3 loading, and n-hexane LHSV on the n-hexane conversion and the product selectivity were investigated. The partial oxygenated products of n-hexane oxidation were ethanol, acetic anhydride, acetic acid, and acetaldehyde. The 10% V2O5-1%MoO3/γ-Al2O3 was found in most active and selective catalyst during partial oxidation of n-hexane. The results indicated that by increasing the temperature, the n-hexane conversion increases as well, although the selectivity of the products passes through a maximum by increasing the temperature.

  15. Thermoluminescence and optically stimulated luminescence properties of Dy3+-doped CaO-Al2O3-B2O3-based glasses

    NASA Astrophysics Data System (ADS)

    Yahaba, T.; Fujimoto, Y.; Yanagida, T.; Koshimizu, M.; Tanaka, H.; Saeki, K.; Asai, K.

    2017-02-01

    We developed Dy3+-doped CaO-Al2O3-B2O3 based glasses with Dy concentrations of 0.5, 1.0, and 2.0 mol% using a melt-quenching technique. The as-synthesized glasses were applicable as materials exhibiting thermoluminescence (TL) and optically stimulated luminescence (OSL). The optical and radiation response properties of the glasses were characterized. In the photoluminescence (PL) spectra, two emission bands due to the 4F9/2 → 6H15/2 and 4F9/2 → 6H13/2 transitions of Dy3+ were observed at 480 and 580 nm. In the OSL spectra, the emission band due to the 4F9/2 → 6H15/2 transition of Dy3+ was observed. Excellent TL and OSL responses were observed for dose ranges of 0.1-90 Gy. In addition, TL fading behavior was better than that of OSL in term of the long-time storage. These results indicate that the Dy3+-doped CaO-Al2O3-B2O3-based glasses are applicable as TL materials.

  16. Passivation of black phosphorus saturable absorbers for reliable pulse formation of fiber lasers

    NASA Astrophysics Data System (ADS)

    Na, Dongsoo; Park, Kichul; Park, Ki-Hwan; Song, Yong-Won

    2017-11-01

    Black phosphorus (BP) has attracted increasing attention due to its unique electrical properties. In addition, the outstanding optical nonlinearity of BP has been demonstrated in various ways. Its functionality as a saturable absorber, in particular, has been validated in demonstrations of passive mode-locked lasers. However, normally, the performance of BP is degraded eventually by both thermal and chemical damage in ambient conditions. The passivation of BP is the critical issue to guarantee a stable performance of the optical devices. We quantitatively characterized the mode-locked lasers operated by BP saturable absorbers with diversified passivation materials such as polydimethylsiloxane (PDMS) or Al2O3, considering the atomic structure of the materials, and therefore the hydro-permeability of the passivation layers. Unlike the BP layers without passivation, we demonstrated that the Al2O3-passivated BP layer was protected from the surface oxidation reaction in the long-term, and the PDMS-passivated one had a short-term blocking effect. The quantitative analysis showed that the time-dependent characteristics of the pulsed laser without passivation were changed with respect to the pulse duration, spectral width, and time-bandwidth product displaying 550 fs, 2.8 nm, and 0.406, respectively. With passivation, the changes were limited to <43 fs, <0.3 nm, and <0.012, respectively.

  17. Passivation of black phosphorus saturable absorbers for reliable pulse formation of fiber lasers.

    PubMed

    Na, Dongsoo; Park, Kichul; Park, Ki-Hwan; Song, Yong-Won

    2017-11-24

    Black phosphorus (BP) has attracted increasing attention due to its unique electrical properties. In addition, the outstanding optical nonlinearity of BP has been demonstrated in various ways. Its functionality as a saturable absorber, in particular, has been validated in demonstrations of passive mode-locked lasers. However, normally, the performance of BP is degraded eventually by both thermal and chemical damage in ambient conditions. The passivation of BP is the critical issue to guarantee a stable performance of the optical devices. We quantitatively characterized the mode-locked lasers operated by BP saturable absorbers with diversified passivation materials such as polydimethylsiloxane (PDMS) or Al 2 O 3 , considering the atomic structure of the materials, and therefore the hydro-permeability of the passivation layers. Unlike the BP layers without passivation, we demonstrated that the Al 2 O 3 -passivated BP layer was protected from the surface oxidation reaction in the long-term, and the PDMS-passivated one had a short-term blocking effect. The quantitative analysis showed that the time-dependent characteristics of the pulsed laser without passivation were changed with respect to the pulse duration, spectral width, and time-bandwidth product displaying 550 fs, 2.8 nm, and 0.406, respectively. With passivation, the changes were limited to <43 fs, <0.3 nm, and <0.012, respectively.

  18. Temperature- and frequency-dependent dielectric behaviors of insulator/semiconductor (Al2O3/ZnO) nanolaminates with various ZnO thicknesses

    NASA Astrophysics Data System (ADS)

    Li, Jin; Bi, Xiaofang

    2016-07-01

    Al2O3/ZnO nanolaminates (NLs) with various ZnO sublayer thicknesses were prepared by atomic layer deposition. The Al2O3 sublayers are characterized as amorphous and the ZnO sublayers have an oriented polycrystalline structure. As the ZnO thickness decreases to a certain value, each NL exhibits a critical temperature at which its dielectric constant starts to rise quickly. Moreover, this temperature increases as the ZnO thickness is decreased further. On the other hand, the permittivity demonstrates a large value of several hundred at a frequency  ⩽1000 Hz, followed by a steplike decrease at a higher frequency. The change in the cut-off frequency with ZnO thickness is characterized by a hook function. It is revealed that the Coulomb confinement effect becomes predominant in the dielectric behaviors of the NLs with very thin ZnO. As the ZnO thickness decreases to about the same as or even smaller than the Bohr radius of ZnO, a great change in the carrier concentration and effective mass of ZnO is induced, which is shown to be responsible for the peculiar dielectric behaviors of Al2O3/ZnO with very thin ZnO. These findings provide insight into the prevailing mechanisms to optimize the dielectric properties of semiconductor/insulator laminates with nanoscale sublayer thickness.

  19. Atomic Layer Deposition for Coating of High Aspect Ratio TiO2 Nanotube Layers

    PubMed Central

    2016-01-01

    We present an optimized approach for the deposition of Al2O3 (as a model secondary material) coating into high aspect ratio (≈180) anodic TiO2 nanotube layers using the atomic layer deposition (ALD) process. In order to study the influence of the diffusion of the Al2O3 precursors on the resulting coating thickness, ALD processes with different exposure times (i.e., 0.5, 2, 5, and 10 s) of the trimethylaluminum (TMA) precursor were performed. Uniform coating of the nanotube interiors was achieved with longer exposure times (5 and 10 s), as verified by detailed scanning electron microscopy analysis. Quartz crystal microbalance measurements were used to monitor the deposition process and its particular features due to the tube diameter gradient. Finally, theoretical calculations were performed to calculate the minimum precursor exposure time to attain uniform coating. Theoretical values on the diffusion regime matched with the experimental results and helped to obtain valuable information for further optimization of ALD coating processes. The presented approach provides a straightforward solution toward the development of many novel devices, based on a high surface area interface between TiO2 nanotubes and a secondary material (such as Al2O3). PMID:27643411

  20. Effects of surface passivation dielectrics on carrier transport in AlGaN/GaN heterostructure field-effect transistors

    NASA Astrophysics Data System (ADS)

    Oh, Sejoon; Jang, Han-Soo; Choi, Chel-Jong; Cho, Jaehee

    2018-04-01

    Dielectric layers prepared by different deposition methods were used for the surface passivation of AlGaN/GaN heterostructure field-effect transistors (HFETs) and the corresponding electrical characteristics were examined. Increases in the sheet charge density and the maximum drain current by approximately 45% and 28%, respectively, were observed after the deposition of a 100 nm-thick SiO2 layer by plasma-enhanced chemical vapor deposition (PECVD) on the top of the AlGaN/GaN HFETs. However, SiO2 deposited by a radio frequency (rf) sputter system had the opposite effect. As the strain applied to AlGaN was influenced by the deposition methods used for the dielectric layers, the carrier transport in the two-dimensional electron gas formed at the interface between AlGaN and GaN was affected accordingly.

  1. Chemical trend of superconducting transition temperature in hole-doped delafossite of CuAlO2, AgAlO2 and AuAlO2

    NASA Astrophysics Data System (ADS)

    Nakanishi, Akitaka; Katayama-Yoshida, Hiroshi

    2012-12-01

    We have performed the first-principles calculations about the superconducting transition temperature Tc of hole-doped delafossite CuAlO2, AgAlO2 and AuAlO2. Calculated Tc are about 50 K (CuAlO2), 40 K (AgAlO2) and 3 K(AuAlO2) at maximum in the optimum hole-doping concentration. The low Tc of AuAlO2 is attributed to the weak electron-phonon interaction caused by the low covalency and heavy atomic mass.

  2. Reduction Mechanisms of Cu2+-Doped Na2O-Al2O3-SiO2 Glasses during Heating in H2 Gas.

    PubMed

    Nogami, Masayuki; Quang, Vu Xuan; Ohki, Shinobu; Deguchi, Kenzo; Shimizu, Tadashi

    2018-01-25

    Controlling valence state of metal ions that are doped in materials has been widely applied for turning optical properties. Even though hydrogen has been proven effective to reduce metal ions because of its strong reducing capability, few comprehensive studies focus on practical applications because of the low diffusion rate of hydrogen in solids and the limited reaction near sample surfaces. Here, we investigated the reactions of hydrogen with Cu 2+ -doped Na 2 O-Al 2 O 3 -SiO 2 glass and found that a completely different reduction from results reported so far occurs, which is dominated by the Al/Na concentration ratio. For Al/Na < 1, Cu 2+ ions were reduced via hydrogen to metallic Cu, distributing in glass body. For Al/Na > 1, on the other hand, the reduction of Cu 2+ ions occurred simultaneously with the formation of OH bonds, whereas the reduced Cu metal moved outward and formed a metallic film on glass surface. The NMR and Fourier transform infrared results indicated that the Cu 2+ ions were surrounded by Al 3+ ions that formed AlO 4 , distorted AlO 4 , and AlO 5 units. The diffused H 2 gas reacted with the Al-O - ···Cu + units, forming Al-OH and metallic Cu, the latter of which moved freely toward glass surface and in return enhanced H 2 diffusion.

  3. Monolayer dispersion of CoO on Al2O3 probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Liu, Z. W.; Zhang, H. J.; Chen, Z. Q.

    2014-02-01

    CoO/Al2O3 catalysts were prepared by wet impregnation method with CoO contents ranging from 0 wt% to 24 wt%. X-ray diffraction and X-ray photoelectron spectroscopy measurements suggest formation of CoO after calcined in N2. Quantitative X-ray diffraction analysis indicates monolayer dispersion capacity of CoO in CoO/Al2O3 catalysts to be about 3 wt%. Positron annihilation lifetime and coincidence Doppler broadening measurements were performed to study the dispersion state of CoO on Al2O3. The positron lifetime measurements reveal two long lifetime components τ3 and τ4, which correspond to ortho-positronium annihilation lifetime in microvoids and large pores, respectively. It was found that the positronium atom is very sensitive to the dispersion state of CoO on Al2O3. The presence of CoO significantly decreases both the lifetime and the intensity of τ4. Detailed analysis of the coincidence Doppler broadening measurements suggests that with the CoO content lower than the monolayer dispersion, spin conversion reaction of positronium is induced by CoO. When the cobalt content is higher than the monolayer dispersion capacity, inhibition of positronium formation becomes the dominate effect.

  4. Performance and Long-Term Stability of Pd/PSS and Pd/Al2O3 Membranes for Hydrogen Separation

    PubMed Central

    Liguori, Simona; Iulianelli, Adolfo; Dalena, Francesco; Pinacci, Pietro; Drago, Francesca; Broglia, Maria; Huang, Yan; Basile, Angelo

    2014-01-01

    The present work is focused on the investigation of the performance and long-term stability of two composite palladium membranes under different operating conditions. One membrane (Pd/porous stainless steel (PSS)) is characterized by a ~10 µm-thick palladium layer on a porous stainless steel substrate, which is pretreated by means of surface modification and oxidation; the other membrane (Pd/Al2O3) is constituted by a ~7 µm-thick palladium layer on an asymmetric microporous Al2O3 substrate. The operating temperature and pressure ranges, used for studying the performance of these two kinds of membranes, are 350–450 °C and 200–800 kPa, respectively. The H2 permeances and the H2/N2 selectivities of both membranes were investigated and compared with literature data. At 400 °C and 200 kPa as pressure difference, Pd/PSS and Pd/Al2O3 membranes exhibited an H2/N2 ideal selectivity equal to 11700 and 6200, respectively, showing stability for 600 h. Thereafter, H2/N2 selectivity of both membranes progressively decreased and after around 2000 h, dropped dramatically to 55 and 310 for the Pd/PSS and Pd/Al2O3 membranes, respectively. As evidenced by Scanning Electron Microscope (SEM) analyses, the pinholes appear on the whole surface of the Pd/PSS membrane and this is probably due to release of sulphur from the graphite seal rings. PMID:24957126

  5. Surface and interface engineering of anatase TiO2 anode for sodium-ion batteries through Al2O3 surface modification and wise electrolyte selection

    NASA Astrophysics Data System (ADS)

    Li, Tao; Gulzar, Umair; Bai, Xue; Monaco, Simone; Longoni, Gianluca; Prato, Mirko; Marras, Sergio; Dang, Zhiya; Capiglia, Claudio; Proietti Zaccaria, Remo

    2018-04-01

    In the present study, Al2O3 is utilized for the first time as coating agent on nanostructured anatase TiO2 in order to investigate its effect on sodium-ion batteries performance. Our results show that the Al2O3 coating, introduced by a facile two-step approach, provides beneficial effects to the TiO2-based anodes. However, the coated TiO2 still suffers of capacity fading upon cycling when using 1.0 M of NaClO4 in propylene carbonate (PC) as electrolyte. To address this issue, the influence of different electrolytes (NaClO4 salt in various solvents) is further studied. It is found that the modified TiO2 exhibits significant improvements in cycling performance using binary ethylene carbonate (EC) and PC solvent mixture without the need of the commonly used fluoroethylene carbonate (FEC) additive. Under the best configuration, our battery could deliver a high reversible capacity of 188.1 mAh g-1 at 0.1C after 50 cycles, good rate capability up to 5C, and remarkable long-term cycling stability at 1C rate for 650 cycles. This excellent performance can be ascribed to the synergistic effects of surface and interface engineering enabling the formation of a stable and highly ionic conductive interface layer in EC:PC based electrolyte which combines the native SEI film and an 'artificial' SEI layer of irreversibly formed Na-Al-O.

  6. Influence of microstructure on hardness of plasma sprayed Al2O3-TiO2-MgO coatings with interface diffusion by heat treatment

    NASA Astrophysics Data System (ADS)

    Chen, Kunlun; Song, Peng; Li, Chao; Lu, Jiansheng

    2017-12-01

    The effect of heat treatment on the microstructure and mechanical properties of Al2O3-TiO2 coatings doped with 5 wt% MgO was investigated in this paper. The composite coatings were prepared by atmospheric plasma spraying (APS) and heat treated at 1000 °C for 24 h in Ar. The coatings were analyzed using scanning electron microscopy with electron probe x-ray microanalysis and x-ray diffraction. The hardness was determined using a Vickers hardness test on the as-sprayed coatings and after heat treatment. The results showed that the interface diffusion between the Al-rich and Ti-rich layers resulted in mutual pinning within the coating during the heat treatment. The newly formed MgAl2O4 phase promoted cracking-healing behavior within the coating. We conclude that increase of the hardness of the coatings was mainly caused by the mutual pinning interface and crack healing.

  7. Fabrication and characterization of complex oxide RENiO3/LaAlO3 superlattices

    NASA Astrophysics Data System (ADS)

    Kareev, M.; Freeland, J. W.; Liu, J.; Kirby, B.; Keimer, B.; Chakhalian, J.

    2008-03-01

    Nowadays there has been growing interest to synthesis of atomically thin complex oxide superlattices which can result in novel electronic and magnetic properties at the interface. Here we report on digital synthesis of single unit cell nickel based heterostructures of RENiO3/LaAlO3 (RE = La, Nd and Pr) superlattices on SrTiO3 and LaAlO3 by laser MBE. RHEED analysis, grazing angle XRD and AFM imaging have confirmed the high quality of the epitaxially grown superlattices. The magnetic and electronic properties of the superlattices have been elucidated by polarized X-ray spectroscopies, which show a non-trivial evolution of magnetism and charge of the LNO layer with increasing LNO layer thickness. The work has been supported by U.S. DOD-ARO under Contract No. 0402-17291.

  8. Strain induced atomic structure at the Ir-doped LaAlO3/SrTiO3 interface.

    PubMed

    Lee, M; Arras, R; Warot-Fonrose, B; Hungria, T; Lippmaa, M; Daimon, H; Casanove, M J

    2017-11-01

    The structure of Ir-doped LaAlO 3 /SrTiO 3 (001) interfaces was investigated on the atomic scale using probe-corrected transmission electron microscopy in high-angle annular dark-field scanning mode (HAADF-STEM) and electron energy loss spectroscopy (EELS), combined with first-principles calculations. We report the evolution of the strain state experimentally measured in a 5 unit-cell thick LaAlO 3 film as a function of the Ir concentration in the topmost SrTiO 3 layer. It is shown that the LaAlO 3 layers remain fully elastically strained up to 3% of Ir doping, whereas a higher doping level seems to promote strain relaxation through enhanced cationic interdiffusion. The observed differences between the energy loss near edge structure (ELNES) of Ti-L 2,3 and O-K edges at non-doped and Ir-doped interfaces are consistent with the location of the Ir dopants at the interface, up to 3% of Ir doping. These findings, supported by the results of density functional theory (DFT) calculations, provide strong evidence that the effect of dopant concentrations on the properties of this kind of interface should not be analyzed without obtaining essential information from the fine structural and chemical analysis of the grown structures.

  9. Self-assembly preparation of SiO2@Ni-Al layered double hydroxide composites and their enhanced electrorheological characteristics

    PubMed Central

    Ji, Xuqiang; Zhang, Wenling; Shan, Lei; Tian, Yu; Liu, Jingquan

    2015-01-01

    The core-shell structured SiO2@Ni-Al layered double hydroxide (LDH) composites were prepared via self-assembly of Ni-Al LDH on the surface of SiO2 spheres. Only coating a layer of ultrathin Ni-Al LDH sheet, the resulting SiO2@Ni-Al LDH composites exhibit significantly enhanced electrorheological (ER) characteristics compared to conventional bare SiO2 spheres. The monodispersed SiO2 spheres with average diameters of 260 nm were synthesized by the hydrolysis of tetraethyl orthosilicate (TEOS), while the shell part, Ni-Al LDH sheet was prepared by the hydrothermal procedure. The morphology of the samples was investigated via scanning transmission electron microscopy (STEM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The structure of the samples was characterized by X-ray diffraction (XRD). The species and distribution of elements in samples were confirmed by X-ray photoelectron spectroscopy (XPS), Energy dispersive analysis of X-ray (EDX) and elemental mapping in STEM. Subsequently, the ER characteristics of the composites dispersed in insulating oil were characterized by a rotational rheometer. The electric field-stimulated rheological performances (yield stress, viscosity, modulus, etc.) were observed under an external electric field, which is different from the Newtonian state in the free electric field. PMID:26670467

  10. Self-assembly preparation of SiO2@Ni-Al layered double hydroxide composites and their enhanced electrorheological characteristics

    NASA Astrophysics Data System (ADS)

    Ji, Xuqiang; Zhang, Wenling; Shan, Lei; Tian, Yu; Liu, Jingquan

    2015-12-01

    The core-shell structured SiO2@Ni-Al layered double hydroxide (LDH) composites were prepared via self-assembly of Ni-Al LDH on the surface of SiO2 spheres. Only coating a layer of ultrathin Ni-Al LDH sheet, the resulting SiO2@Ni-Al LDH composites exhibit significantly enhanced electrorheological (ER) characteristics compared to conventional bare SiO2 spheres. The monodispersed SiO2 spheres with average diameters of 260 nm were synthesized by the hydrolysis of tetraethyl orthosilicate (TEOS), while the shell part, Ni-Al LDH sheet was prepared by the hydrothermal procedure. The morphology of the samples was investigated via scanning transmission electron microscopy (STEM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The structure of the samples was characterized by X-ray diffraction (XRD). The species and distribution of elements in samples were confirmed by X-ray photoelectron spectroscopy (XPS), Energy dispersive analysis of X-ray (EDX) and elemental mapping in STEM. Subsequently, the ER characteristics of the composites dispersed in insulating oil were characterized by a rotational rheometer. The electric field-stimulated rheological performances (yield stress, viscosity, modulus, etc.) were observed under an external electric field, which is different from the Newtonian state in the free electric field.

  11. 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium Metal

    DTIC Science & Technology

    2007-01-01

    The alumina was used as received. Anatase, rutile, aluminum, and titania metal powders, titanium (IV) isopropoxide , and pinacolyl methylphosphonate...Synthesis. Titanophosphonate synthesis was adapted from Mutin et al.4 using titanium (IV) isopropoxide (TIP) and pinacolyl methylphosphonate (PMPA...REPORT 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium

  12. Phase Compositions of Self Reinforcement Al2O3/CaAl12O19 Composite using X-ray Diffraction Data and Rietveld Technique

    NASA Astrophysics Data System (ADS)

    Asmi, D.; Low, I. M.; O'Connor, B.

    2008-03-01

    The analysis of x-ray diffraction (XRD) patterns by the Rietveld technique was tested to the quantitatively phase compositions of self reinforcement Al2O3/CaAl12O19 composite. Room-temperature XRD patterns revealed that α-Al2O3 was the only phase presence in the CA0 sample, whereas the α-Al2O3 and CaAl12O19 phases were found for CA5, CA15, CA30, and CA50 samples. The peak intensity of CA6 in the self reinforcement Al2O3/CaAl12O19 composites increased in proportion with increase in CaAl12O19 content in contrast to α-Al2O3. The diffraction patterns for CA100 sample shows minor traces of α-Al2O3 even in relatively low peak intensity. It is suggesting that the in-situ reaction sintering of raw materials were not react completely to form 100 wt% CaAl12O19 at temperature 1650 °C. Quantitative phase compositions of self reinforcement Al2O3/CaAl12O19 composites by Rietveld analysis with XRD data has been well demonstrated. The results showed that the GOF values are relatively low and the fluctuation in the difference plots shows a reasonable fit between the observed and the calculated plot.

  13. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  14. Preparation of SiO2 Passivation Thin Film for Improved the Organic Light-Emitting Device Life Time

    NASA Astrophysics Data System (ADS)

    Hong, Jeong Soo; Kim, Sang Mo; Kim, Kyung-Hwan

    2011-08-01

    To improve the organic light-emitting diode (OLED) lifetime, we prepared a SiO2 thin film for OLED passivation using a facing target sputtering (FTS) system as a function of oxygen gas flow rate and working pressure. The properties of the SiO2 thin film were examined by Fourier transform infrared (FT-IR), photoluminescence (PL) intensity measurement, field emission scanning electron microscopy (FE-SEM), and ultraviolet-visible (UV-vis) spectrometry that As a result, we found that a SiO2 thin film is formed at a 2 sccm oxygen gas flow rate and results the minimum damage to the organic layer is observed at a 1 mTorr working pressure. Also, from the water vapor transmission rate (WVTR), we observed that all of the as-deposited SiO2 thin films showed the ability of blocking moisture. After the properties were evaluated, an optimized SiO2 thin film was applied to OLED passivation. As a result, the property of the OLED fabricated by SiO2 passivation is similar to the OLED fabricated by glass passivation. However, the performance of OLED was degraded by enhancing of SiO2 passivation. This is the organic layer of the device is exposed to plasma for a prolonged period. Therefore, a method of minimizing damage to the organic layer and optimum conditions for what are important.

  15. Surfactant-assisted morphological studies of α-Al2O3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Shah, Janki; Ranjan, Mukesh; Gupta, Sanjeev K.; Sonvane, Yogesh

    2018-05-01

    The present study deals with the synthesis and characterization of aluminum oxide (Al2O3) nanopowders, it is very useful material as dielectric, ceramic and catalyst. The high-quality nanopowders were obtained by adding surfactants urea and sodium acetate. Further, all characterizations are done for with (urea and sodium acetate) and without surfactant. X-ray diffraction was used to characterize phase formation and the crystallite size of powder while, FTIR gives information about the particle composition and surface intermediates. X-ray diffraction spectra revealed the synthesized nanoparticles phase transformation were γ-Al2O3 to α-Al2O3 phase. Furthermore, the addition of urea and sodium acetate significantly reduced the crystalline size of α-Al2O3 nanoparticles from 43.94 nm to 35.12 nm respectively.

  16. Using TiO2 as a conductive protective layer for photocathodic H2 evolution.

    PubMed

    Seger, Brian; Pedersen, Thomas; Laursen, Anders B; Vesborg, Peter C K; Hansen, Ole; Chorkendorff, Ib

    2013-01-23

    Surface passivation is a general issue for Si-based photoelectrodes because it progressively hinders electron conduction at the semiconductor/electrolyte interface. In this work, we show that a sputtered 100 nm TiO(2) layer on top of a thin Ti metal layer may be used to protect an n(+)p Si photocathode during photocatalytic H(2) evolution. Although TiO(2) is a semiconductor, we show that it behaves like a metallic conductor would under photocathodic H(2) evolution conditions. This behavior is due to the fortunate alignment of the TiO(2) conduction band with respect to the hydrogen evolution potential, which allows it to conduct electrons from the Si while simultaneously protecting the Si from surface passivation. By using a Pt catalyst the electrode achieves an H(2) evolution onset of 520 mV vs NHE and a Tafel slope of 30 mV when illuminated by the red part (λ > 635 nm) of the AM 1.5 spectrum. The saturation photocurrent (H(2) evolution) was also significantly enhanced by the antireflective properties of the TiO(2) layer. It was shown that with proper annealing conditions these electrodes could run 72 h without significant degradation. An Fe(2+)/Fe(3+) redox couple was used to help elucidate details of the band diagram.

  17. Enhanced Corrosion Resistance of PVD-CrN Coatings by ALD Sealing Layers

    NASA Astrophysics Data System (ADS)

    Wan; Zhang, Teng Fei; Ding, Ji Cheng; Kim, Chang-Min; Park, So-Won; Yang, Yang; Kim, Kwang-Ho; Kwon, Se-Hun

    2017-04-01

    Multilayered hard coatings with a CrN matrix and an Al2O3, TiO2, or nanolaminate-Al2O3/TiO2 sealing layer were designed by a hybrid deposition process combined with physical vapor deposition (PVD) and atomic layer deposition (ALD). The strategy was to utilize ALD thin films as pinhole-free barriers to seal the intrinsic defects to protect the CrN matrix. The influences of the different sealing layers added in the coatings on the microstructure, surface roughness, and corrosion behaviors were investigated. The results indicated that the sealing layer added by ALD significantly decreased the average grain size and improved the corrosion resistance of the CrN coatings. The insertion of the nanolaminate-Al2O3/TiO2 sealing layers resulted in a further increase in corrosion resistance, which was attributed to the synergistic effect of Al2O3 and TiO2, both acting as excellent passivation barriers to the diffusion of corrosive substances.

  18. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    NASA Astrophysics Data System (ADS)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  19. X-ray and optical crystallographic parameters investigations of high frequency induction melted Al-(alpha-Al(2)O(3)) alloys.

    PubMed

    Bourbia, A; Draissia, M; Bedboudi, H; Boulkhessaim, S; Debili, M Y

    2010-01-01

    This article deals with the microstructural strengthening mechanisms of aluminium by means of hard alpha-Al(2)O(3) alumina fine particles. A broad of understanding views covering materials preparations, elaboration process, characterization techniques and associated microstructural characteristic parameters measurements is given. In order to investigate the microstructural characteristic parameters and the mechanical strengthening mechanisms of pure aluminium by hard fine particles, a set of Al-(alpha-Al(2)O(3)) alloys samples were made under vacuum by high fusion temperature melting, the high frequency (HF) process, and rapidly solidified under ambient temperature from a mixture of cold-compacted high-pure fine Al and alpha-Al(2)O(3) powders. The as-solidified Al-(alpha-Al(2)O(3)) alloys were characterized by means of X-ray diffraction (XRD) analyses, optical microscopy observations and Vickers microhardness tests in both brut and heat-treated states. It was found that the as-solidified HF Al-(alpha-Al(2)O(3)) alloys with compositions below 4 wt.% (alpha-Al(2)O(3)) are single-phase microstructures of the solid solution FCC Al phase and over two-phase microstructures of the solid solution FCC Al and the Rhombohedral alpha-Al(2)O(3) phases. The optical micrographs reveal the presence of a grain size refinement in these alloys. Vickers microhardness of the as-solidified Al-(alpha-Al(2)O(3)) is increased by means of pure fine alpha-Al(2)O(3) alumina particles. These combined effects of strengthening and grain size refinement observed in the as-solidified Al-(alpha-Al(2)O(3)) alloys are essentially due to a strengthening of Al by the alpha-Al(2)O(3) alumina particles insertion in the (HF) melted and rapidly solidified alloys.

  20. Simulation of thermal stress in Er2O3 and Al2O3 tritium penetration barriers by finite-element analysis

    NASA Astrophysics Data System (ADS)

    Ze, LIU; Guogang, YU; Anping, HE; Ling, WANG

    2017-09-01

    The physical vapor deposition method is an effective way to deposit Al2O3 and Er2O3 on 316L stainless steel substrates acting as tritium permeation barriers in a fusion reactor. The distribution of residual thermal stress is calculated both in Al2O3 and Er2O3 coating systems with planar and rough substrates using finite element analysis. The parameters influencing the thermal stress in the sputter process are analyzed, such as coating and substrate properties, temperature and Young’s modulus. This work shows that the thermal stress in Al2O3 and Er2O3 coating systems exhibit a linear relationship with substrate thickness, temperature and Young’s modulus. However, this relationship is inversed with coating thickness. In addition, the rough substrate surface can increase the thermal stress in the process of coating deposition. The adhesive strength between the coating and the substrate is evaluated by the shear stress. Due to the higher compressive shear stress, the Al2O3 coating has a better adhesive strength with a 316L stainless steel substrate than the Er2O3 coating. Furthermore, the analysis shows that it is a useful way to improve adhesive strength with increasing interface roughness.

  1. Interaction of Al with O2 exposed Mo2BC

    NASA Astrophysics Data System (ADS)

    Bolvardi, Hamid; Music, Denis; Schneider, Jochen M.

    2015-03-01

    A Mo2BC(0 4 0) surface was exposed to O2. The gas interaction was investigated using ab initio molecular dynamics and X-ray photoelectron spectroscopy (XPS) of air exposed surfaces. The calculations suggest that the most dominating physical mechanism is dissociative O2 adsorption whereby Mosbnd O, Osbnd Mosbnd O and Mo2sbnd Csbnd O bond formation is observed. To validate these results, Mo2BC thin films were synthesized utilizing high power pulsed magnetron sputtering and air exposed surfaces were probed by XPS. MoO2 and MoO3 bond formation is observed and is consistent with here obtained ab initio data. Additionally, the interfacial interactions of O2 exposed Mo2BC(0 4 0) surface with an Al nonamer is studied with ab initio molecular dynamics to describe on the atomic scale the interaction between this surface and Al to mimic the interface present during cold forming processes of Al based alloys. The Al nonamer was disrupted and Al forms chemical bonds with oxygen contained in the O2 exposed Mo2BC(0 4 0) surface. Based on the comparison of here calculated adsorption energy with literature data, Alsbnd Al bonds are shown to be significantly weaker than the Alsbnd O bonds formed across the interface. Hence, Alsbnd Al bond rupture is expected for a mechanically loaded interface. Therefore the adhesion of a residual Al on the native oxide layer is predicted. This is consistent with experimental observations. The data presented here may also be relevant for other oxygen containing surfaces in a contact with Al or Al based alloys for example during forming operations.

  2. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  3. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  4. N-doped carbon@Ni-Al2O3 nanosheet array@graphene oxide composite as an electrocatalyst for hydrogen evolution reaction in alkaline medium

    NASA Astrophysics Data System (ADS)

    Wang, Juan; Qiu, Tian; Chen, Xu; Lu, Yanluo; Yang, Wensheng

    2015-10-01

    An NiAl-layered double-hydroxide (NiAl-LDH) nanosheet array is grown on a graphene oxide (GO) substrate (NiAl-LDH@GO) by the hydrothermal method. The NiAl-LDH@GO is used as the precursor to synthetize an N-doped carbon@Ni-Al2O3 nanosheet array@GO composite (N-C@Ni-Al2O3@GO) by coating with dopamine followed by calcination. The N-C@Ni-Al2O3@GO is used as a non-noble metal electrocatalyst for hydrogen evolution reaction in alkaline medium, and exhibits high electrocatalytic activity with low onset overpotential (-75 mV). The improved electrocatalytic performance of N-C@Ni-Al2O3@GO arises from its intrinsic features. First, it has a high specific surface area with the Ni nanoparticles in the composite dispersed well and the sizes of Ni nanoparticles are small, which lead to the exposure of more active sites for electrocatalysis. Second, there is a synergistic effect between the Ni nanoparticles and the N-C coating layer, which is beneficial to reduce the activation energy of the Volmer step and improve the electrocatalytic activity. Third, the N-C coating layer and the XC-72 additive can form an electrically conductive network, which serves as a bridge for the transfer of electrons from the electrode to the Ni nanoparticles.

  5. Dependence of catalytic properties of Al/Fe2O3 thermites on morphology of Fe2O3 particles in combustion reactions

    NASA Astrophysics Data System (ADS)

    Zhao, Ningning; He, Cuicui; Liu, Jianbing; Gong, Hujun; An, Ting; Xu, Huixiang; Zhao, Fengqi; Hu, Rongzu; Ma, Haixia; Zhang, Jinzhong

    2014-11-01

    Three Fe2O3 particle samples with the same crystal structure but different morphologies were prepared by the hydrothermal method and then combined with Al nanoparticles to produce Al/Fe2O3 thermites using ultrasonic mixing. The properties of Fe2O3 and Al/Fe2O3 were studied using a combination of experimental techniques including scanning electron microscopy (SEM), energy dispersive spectrometer (EDS), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and differential scanning calorimetry (DSC). The influences of the three Al/Fe2O3 thermites on the combustion properties of the AP/HTPB (ammonium perchlorate/hydroxyl-terminated polybutadiene) composite propellant were investigated in comparison to those of Fe2O3. The results show that the Al/Fe2O3 thermites are better than Fe2O3 in enhancing the combustion performance of AP/HTPB. Furthermore, the surface area, which depends on size and mophology, of Fe2O3 particles was found to play a vital role in improving the burning rate of the thermites-containing propellant formulation, with the smallest particles with the largest surface-to-volume (S/V) ratio performing the best. The enhanced catalytic property of the granular-shape Fe2O3 and the corresponding thermite is attributed to the large specific surface area of Fe2O3. The different thermal behaviors of these three superthemites were supposed to be attributed to the surface site of Fe2O3 particles. This work provides a better understanding on the catalytic properties of thermites that are important for combustion applications.

  6. Silicon surface passivation by PEDOT: PSS functionalized by SnO2 and TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    García-Tecedor, M.; Karazhanov, S. Zh; Vásquez, G. C.; Haug, H.; Maestre, D.; Cremades, A.; Taeño, M.; Ramírez-Castellanos, J.; González-Calbet, J. M.; Piqueras, J.; You, C. C.; Marstein, E. S.

    2018-01-01

    In this paper, we present a study of silicon surface passivation based on the use of spin-coated hybrid composite layers. We investigate both undoped poly(3,4-ethylenedioxythiophene)/poly-(styrenesulfonate) (PEDOT:PSS), as well as PEDOT:PSS functionalized with semiconducting oxide nanomaterials (TiO2 and SnO2). The hybrid compound was deposited at room temperature by spin coating—a potentially lower cost, lower processing time and higher throughput alternative compared with the commonly used vacuum-based techniques. Photoluminescence imaging was used to characterize the electronic properties of the Si/PEDOT:PSS interface. Good surface passivation was achieved by PEDOT:PSS functionalized by semiconducting oxides. We show that control of the concentration of semiconducting oxide nanoparticles in the polymer is crucial in determining the passivation performance. A charge carrier lifetime of about 275 μs has been achieved when using SnO2 nanoparticles at a concentration of 0.5 wt.% as a filler in the composite film. X-ray diffraction (XRD), scanning electron microscopy, high resolution transmission electron microscopy (HRTEM), energy dispersive x-ray in an SEM, and μ-Raman spectroscopy have been used for the morphological, chemical and structural characterization. Finally, a simple model of a photovoltaic device based on PEDOT:PSS functionalized with semiconducting oxide nanoparticles has been fabricated and electrically characterized.

  7. Silicon surface passivation by PEDOT: PSS functionalized by SnO2 and TiO2 nanoparticles.

    PubMed

    García-Tecedor, M; Karazhanov, S Zh; Vásquez, G C; Haug, H; Maestre, D; Cremades, A; Taeño, M; Ramírez-Castellanos, J; González-Calbet, J M; Piqueras, J; You, C C; Marstein, E S

    2018-01-19

    In this paper, we present a study of silicon surface passivation based on the use of spin-coated hybrid composite layers. We investigate both undoped poly(3,4-ethylenedioxythiophene)/poly-(styrenesulfonate) (PEDOT:PSS), as well as PEDOT:PSS functionalized with semiconducting oxide nanomaterials (TiO 2 and SnO 2 ). The hybrid compound was deposited at room temperature by spin coating-a potentially lower cost, lower processing time and higher throughput alternative compared with the commonly used vacuum-based techniques. Photoluminescence imaging was used to characterize the electronic properties of the Si/PEDOT:PSS interface. Good surface passivation was achieved by PEDOT:PSS functionalized by semiconducting oxides. We show that control of the concentration of semiconducting oxide nanoparticles in the polymer is crucial in determining the passivation performance. A charge carrier lifetime of about 275 μs has been achieved when using SnO 2 nanoparticles at a concentration of 0.5 wt.% as a filler in the composite film. X-ray diffraction (XRD), scanning electron microscopy, high resolution transmission electron microscopy (HRTEM), energy dispersive x-ray in an SEM, and μ-Raman spectroscopy have been used for the morphological, chemical and structural characterization. Finally, a simple model of a photovoltaic device based on PEDOT:PSS functionalized with semiconducting oxide nanoparticles has been fabricated and electrically characterized.

  8. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  9. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  10. Microstructure and Mechanical Properties of Al2O3/Er3Al5O12 Binary Eutectic Ceramic Prepared by Bridgman Method

    PubMed Central

    Song, Caiyu; Wang, Shunheng; Liu, Juncheng; Zhai, Shuoyan

    2018-01-01

    Directionally solidified Al2O3/Er3Al5O12 (EAG) eutectic ceramic was prepared via vertical Bridgman method with high-frequency induction heating. The effects of the growth rate on the microstructure and mechanical properties of the solidified ceramic were investigated. The experimental results showed that there were no pores or amorphous phases in the directionally solidified Al2O3/EAG eutectic ceramic. Al2O3 phase was embedded in the EAG matrix phase, and the two phases were intertwined with each other to form a typical binary eutectic “hieroglyphic” structure. With the increase of growth rate, the phase size and spacing of the solidified Al2O3/EAG ceramic both decreased, and the growth rate and phase spacing satisfied the λ2v ≈ 60 formula of Jackson-Hunt theory. The cross section microstructure of the solidified ceramic always exhibited an irregular eutectic growth, while the longitudinal section microstructure presented a directional growth. The mechanical properties of the solidified ceramic gradually increased with the increase of growth rate, and the maximum hardness and fracture toughness could reach 21.57 GPa and 2.98 MPa·m1/2 respectively. It was considered that the crack deflection and branching could enhance the toughness of the solidified ceramic effectively. PMID:29601545

  11. Nature of electron trap states under inversion at In0.53Ga0.47As/Al2O3 interfaces

    NASA Astrophysics Data System (ADS)

    Colleoni, Davide; Pourtois, Geoffrey; Pasquarello, Alfredo

    2017-03-01

    In and Ga impurities substitutional to Al in the oxide layer resulting from diffusion out of the substrate are identified as candidates for electron traps under inversion at In0.53Ga0.47As/Al2O3 interfaces. Through density-functional calculations, these defects are found to be thermodynamically stable in amorphous Al2O3 and to be able to capture two electrons in a dangling bond upon breaking bonds with neighboring O atoms. Through a band alignment based on hybrid functional calculations, it is inferred that the corresponding defect levels lie at ˜1 eV above the conduction band minimum of In0.53Ga0.47As, in agreement with measured defect densities. These results support the technological importance of avoiding cation diffusion into the oxide layer.

  12. Improved water resistance of SrAl2O4: Eu2+, Dy3+ phosphor directly achieved in a water-containing medium

    NASA Astrophysics Data System (ADS)

    Qi, Tonggang; Xia, Haofu; Zhang, Zhanhui; Kong, Shijin; Peng, Weikang; Zhao, Qi; Huang, Zhiliang

    2017-03-01

    In this paper, a heterogeneous precipitation method utilizing urea hydrolysis was adopted to coat a SiO2 layer on the surface of SrAl2O4:Eu2+, Dy3+ long persistence phosphors. To avoid phosphor hydrolysis in a water-containing coating medium, the hydrolysis and polymerization reactions of tetraethyl orthosilicate (TEOS) were concerned and carried out. The crystal phases, surface morphologies, hydrolysis stability and water resistance on afterglow properties of coated phosphors were investigated. Scanning electron microscopy, energy dispersive spectrum analysis, transmission electron microscope and Fourier transform infrared spectrum results confirmed that a continuous, uniform and compact SiO2 coating layer was successfully obtained on the phosphors surface. A theoretical coating amount of 5% or higher was found to be good for hydrolysis stability. Photoluminescence results revealed the coated phosphors showed much better water resistance on afterglow properties than the uncoated phosphor. We also discussed and proposed the hydrolysis restriction mechanism of SrAl2O4:Eu2+, Dy3+ in the water-containing coating medium.

  13. Atomistic simulation study of influence of Al2O3-Al interface on dislocation interaction and prismatic loop formation during nano-indentation on Al2O3-coated aluminum.

    PubMed

    Mishra, Srishti; Meraj, Md; Pal, Snehanshu

    2018-06-19

    A large-scale molecular dynamics (MD) simulation of nano-indentation was carried out to provide insight into the influence of the Al-Al 2 O 3 interface on dislocation evolution and deformation behavior of Al substrate coated with Al 2 O 3 thin film. Adaptive common neighbor analysis (a-CNA), centro-symmetry parameter (CSP) estimation, and dislocation extraction algorithm (DXA) were implemented to represent structural evolution during nano-indentation deformation. The absence of elastic regime was observed in the P-h curve for this simulated nano-indentation test of Al 2 O 3 thin film coated Al specimen. The displacement of oxygen atoms from Al 2 O 3 to Al partly through the interface greatly influences the plastic deformation behavior of the specimen during nano-indentation. Prismatic dislocation loops, which are formed due to pinning of Shockley partials (1/6 < 112>) by Stair-rod (1/6 < 110>) and Hirth dislocation (1/3 < 001>), were observed in all cases studied in this work. Pile-up of atoms was also observed and the extent of the pile-up was found to vary with the test temperature. A distorted stacking fault tetrahedron (SFT) is formed when a nano-indentation test is carried out at 100 K. The presence of a prismatic dislocation loop, SFT and dislocation forest caused strain hardening and, consequently, there is an increase in hardness as indentation depth increases. Graphical abstract Figure illustrates nano-indentation model set up along with load vs. depth curve and distorted stacking fault tetrahedron.

  14. ZnO, TiO(2), SiO(2,) and Al(2)O(3) nanoparticles-induced toxic effects on human fetal lung fibroblasts.

    PubMed

    Zhang, Xiao Qiang; Yin, Li Hong; Tang, Meng; Pu, Yue Pu

    2011-12-01

    This study aims to investigate and compare the toxic effects of four types of metal oxide (ZnO, TiO(2), SiO(2,) and Al(2)O(3)) nanoparticles with similar primary size (∼20 nm) on human fetal lung fibroblasts (HFL1) in vitro. The HFL1 cells were exposed to the nanoparticles, and toxic effects were analyzed by using MTT assay, cellular morphology observation and Hoechst 33 258 staining. The results show that the four types of metal oxide nanoparticles lead to cellular mitochondrial dysfunction, morphological modifications and apoptosis at the concentration range of 0.25-1.50 mg/mL and the toxic effects are obviously displayed in dose-dependent manner. ZnO is the most toxic nanomaterials followed by TiO(2), SiO(2), and Al(2)O(3) nanoparticles in a descending order. The results highlight the differential cytotoxicity associated with exposure to ZnO, TiO(2), SiO(2), and Al(2)O(3) nanoparticles, and suggest an extreme attention to safety utilization of these nanomaterials. Copyright © 2011 The Editorial Board of Biomedical and Environmental Sciences. Published by Elsevier B.V. All rights reserved.

  15. Al-doped ZnO seed layer-dependent crystallographic control of ZnO nanorods by using electrochemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Hyo-Soo; Choi, Nak-Jung; Kim, Kyoung-Bo

    Highlights: • Polar and semipolar ZnO NRs were successfully achieved by hydrothermal synthesis. • Semipolar and polar ZnO NRs were grown on ZnO and AZO/m-sapphire, respectively. • Al % of AZO/m-sapphire enhanced the lateral growth rate of polar ZnO NRs. - Abstract: We investigated the effect of an Al-doped ZnO film on the crystallographic direction of ZnO nanorods (NRs) using electrochemical deposition. From high-solution X-ray diffraction measurements, the crystallographic plane of ZnO NRs grown on (1 0 0) ZnO/m-plane sapphire was (1 0 1). The surface grain size of the (100) Al-doped ZnO (AZO) film decreased with increasing Al contentmore » in the ZnO seed layer, implying that the Al dopant accelerated the three-dimensional (3D) growth of the AZO film. In addition, it was found that with increasing Al doping concentration of the AZO seed layer, the crystal orientation of the ZnO NRs grown on the AZO seed layer changed from [1 0 1] to [0 0 1]. With increasing Al content of the nonpolar (1 0 0) AZO seed layer, the small surface grains with a few crystallographic planes of the AZO film changed from semipolar (1 0 1) ZnO NRs to polar (0 0 1) ZnO NRs due to the increase of the vertical [0 0 1] growth rate of the ZnO NRs owing to excellent electrical properties.« less

  16. Low-Temperature Sintering of AlN Ceramics by Sm2O3-Y2O3-CaO Sintering Additives Formed via Decomposition of Nitrate Solutions

    NASA Astrophysics Data System (ADS)

    Zhan, Jun; Cao, Ye; Zhang, Hao; Guo, Jun; Zhang, Jianhua; Geng, Chunlei; Shi, Changdong; Cui, Song; Tang, Wenming

    2017-01-01

    The Sm, Y and Ca anhydrous nitrates were mixed with the AlN powder in ethanol and then decomposed into the Sm2O3-Y2O3-CaO sintering additives via calcining. Low-temperature sintering of the AlN ceramics was carried out at temperature range from 1675 to 1750 °C. Effects of the composition and adding amount of the sintering additives on the phases, microstructures and properties of the AlN ceramics were investigated. During sintering the AlN ceramics, main secondary phases of CaYAl3O7 and CaSmAl3O7 form. The relative density, bending strength and thermal conductivity of the AlN ceramics increase with the increase in the rare-earth oxides in them. The thermal conductivity of the sintered AlN ceramics is also greatly affected by the distribution of the secondary phases. As sintered at 1750 °C, the AlN ceramics by adding the sintering additives of 2 wt.% Sm2O3, 2 wt.% Y2O3 and 1 wt.% CaO formed via decomposition of their nitrates is fully dense and have the optimal bending strength and thermal conductivity of 402.1 MPa and 153.7 W/(m K), respectively.

  17. Tribological Properties of Ti(Al,O)/Al2O3 Composite Coating by Thermal Spraying

    NASA Astrophysics Data System (ADS)

    Salman, Asma; Gabbitas, Brian; Cao, Peng; Zhang, Deliang

    The use of thermal spray coatings provides protection to the surfaces operating in severe environments. The main goal of the current work is to investigate the possibility of using a high velocity air fuel (HVAF) thermally sprayed wear resistant Ti(Al,O)/Al2O3 coating on tool steel (H13) which is used for making dies for aluminium high pressure die casting and dummy blocks aluminium extrusion. A feedstock of Ti(Al,O)/Al2O3 composite powder was produced from a mixture of Al and TiO2 powders by high energy mechanical milling, followed by a thermal reaction process. The feedstock was then thermally sprayed using a high velocity air-fuel (HVAF) technique onto H13 steel substrates to produce a composite coating. The present study describes and compares the tribological properties such as friction and sliding wear rate of the coating both at room and high temperature (700°C). The wear resistance of the coating was investigated by a tribometer using a spherical ended alumina pin as a counter body under dry and lubricating conditions. The results showed that composite coating has lower wear rate at high temperature than at room temperature without using lubricant. The composite coating was characterized using scanning electron microscopy (SEM), optical microscopy and X-ray diffractometry (XRD). This paper reports the experimental observations and discusses the wear resistance performance of the coatings at room and high temperatures.

  18. Mechanical properties of Al/Al[sub 2]O[sub 3] and Cu/Al[sub 2]O[sub 3] composites with interpenetrating networks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Knechtel, M.; Prielipp, H.; Claussen, N.

    The rising fracture resistance with crack length in metal-toughened ceramics due to ductile bridging has been discussed from some selected microstructures and metal-ceramic combinations. An intriguing feature of these composites is the influence of interfacial fracture strength. Strong interfacial bonding leads to high geometrical constraint for the metal and high degree of triaxial tension in the metal ligament, thereby increasing the uniaxial yield strength by a factor of 5--7. This in turn increases the closure stress of the metal ligament, but ultimately limits the total plastic dissipation in the ductile reinforcement. The intent of this paper is to provide somemore » insight on the influence of metal ligament size on both fracture toughness and fracture strength. The materials chosen are Al/Al[sub 2]O[sub 3] and Cu/Al[sub 2]O[sub 3] composites, both prepared by gas-pressure metal-infiltration of porous alumina preforms. SEM observations of fracture surfaces in conjunction with preliminary TEM and PEELS investigations of the metal-ceramic interfaces are used to explain the trends in mechanical property data.« less

  19. Designing optical metamaterial with hyperbolic dispersion based on Al:ZnO/ZnO nano-layered structure using Atomic Layer Deposition technique

    DOE PAGES

    Kelly, Priscilla; Liu, Mingzhao; Kuznetsova, Lyuba

    2016-04-07

    In this study, nano-layered Al:ZnO/ZnO hyperbolic dispersion metamaterial with a large number of layers was fabricated using the atomic layer deposition (ALD) technique. Experimental dielectric functions for Al:ZnO/ZnO structures are obtained by an ellipsometry technique in the visible and near-infrared spectral ranges. The theoretical modeling of the Al:ZnO/ZnO dielectric permittivity is done using effective medium approximation. A method for analysis of spectroscopic ellipsometry data is demonstrated to extract the optical permittivity for this highly anisotropic nano-layered metamaterial. The results of the ellipsometry analysis show that Al:ZnO/ZnO structures with a 1:9 ALD cycle ratio exhibit hyperbolic dispersion transition change near 1.8more » μm wavelength.« less

  20. Image reconstruction algorithm for optically stimulated luminescence 2D dosimetry using laser-scanned Al2O3:C and Al2O3:C,Mg films

    NASA Astrophysics Data System (ADS)

    Ahmed, M. F.; Schnell, E.; Ahmad, S.; Yukihara, E. G.

    2016-10-01

    The objective of this work was to develop an image reconstruction algorithm for 2D dosimetry using Al2O3:C and Al2O3:C,Mg optically stimulated luminescence (OSL) films imaged using a laser scanning system. The algorithm takes into account parameters associated with detector properties and the readout system. Pieces of Al2O3:C films (~8 mm  ×  8 mm  ×  125 µm) were irradiated and used to simulate dose distributions with extreme dose gradients (zero and non-zero dose regions). The OSLD film pieces were scanned using a custom-built laser-scanning OSL reader and the data obtained were used to develop and demonstrate a dose reconstruction algorithm. The algorithm includes corrections for: (a) galvo hysteresis, (b) photomultiplier tube (PMT) linearity, (c) phosphorescence, (d) ‘pixel bleeding’ caused by the 35 ms luminescence lifetime of F-centers in Al2O3, (e) geometrical distortion inherent to Galvo scanning system, and (f) position dependence of the light collection efficiency. The algorithm was also applied to 6.0 cm  ×  6.0 cm  ×  125 μm or 10.0 cm  ×  10.0 cm  ×  125 µm Al2O3:C and Al2O3:C,Mg films exposed to megavoltage x-rays (6 MV) and 12C beams (430 MeV u-1). The results obtained using pieces of irradiated films show the ability of the image reconstruction algorithm to correct for pixel bleeding even in the presence of extremely sharp dose gradients. Corrections for geometric distortion and position dependence of light collection efficiency were shown to minimize characteristic limitations of this system design. We also exemplify the application of the algorithm to more clinically relevant 6 MV x-ray beam and a 12C pencil beam, demonstrating the potential for small field dosimetry. The image reconstruction algorithm described here provides the foundation for laser-scanned OSL applied to 2D dosimetry.