Sample records for aligned silicon nanowire

  1. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  2. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  3. Roll up nanowire battery from silicon chips

    PubMed Central

    Vlad, Alexandru; Reddy, Arava Leela Mohana; Ajayan, Anakha; Singh, Neelam; Gohy, Jean-François; Melinte, Sorin; Ajayan, Pulickel M.

    2012-01-01

    Here we report an approach to roll out Li-ion battery components from silicon chips by a continuous and repeatable etch-infiltrate-peel cycle. Vertically aligned silicon nanowires etched from recycled silicon wafers are captured in a polymer matrix that operates as Li+ gel-electrolyte and electrode separator and peeled off to make multiple battery devices out of a single wafer. Porous, electrically interconnected copper nanoshells are conformally deposited around the silicon nanowires to stabilize the electrodes over extended cycles and provide efficient current collection. Using the above developed process we demonstrate an operational full cell 3.4 V lithium-polymer silicon nanowire (LIPOSIL) battery which is mechanically flexible and scalable to large dimensions. PMID:22949696

  4. Direct measurement of AC electrokinetics properties and capture frequencies of silicon and silicon-germanium nanowires

    NASA Astrophysics Data System (ADS)

    Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.

    2018-01-01

    The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.

  5. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  6. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  7. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  8. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  9. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  10. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  11. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  12. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  13. Permanent bending and alignment of ZnO nanowires.

    PubMed

    Borschel, Christian; Spindler, Susann; Lerose, Damiana; Bochmann, Arne; Christiansen, Silke H; Nietzsche, Sandor; Oertel, Michael; Ronning, Carsten

    2011-05-06

    Ion beams can be used to permanently bend and re-align nanowires after growth. We have irradiated ZnO nanowires with energetic ions, achieving bending and alignment in different directions. Not only the bending of single nanowires is studied in detail, but also the simultaneous alignment of large ensembles of ZnO nanowires. Computer simulations reveal how the bending is initiated by ion beam induced damage. Detailed structural characterization identifies dislocations to relax stresses and make the bending and alignment permanent, even surviving annealing procedures.

  14. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  15. Flexible integration of free-standing nanowires into silicon photonics.

    PubMed

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  16. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  17. Self-aligned process for forming microlenses at the tips of vertical silicon nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dan, Yaping, E-mail: yaping.dan@sjtu.edu.cn; Chen, Kaixiang; Crozier, Kenneth B.

    The microlens is a key enabling technology in optoelectronics, permitting light to be efficiently coupled to and from devices such as image sensors and light-emitting diodes. Their ubiquitous nature motivates the development of new fabrication techniques, since existing methods face challenges as microlenses are scaled to smaller dimensions. Here, the authors demonstrate the formation of microlenses at the tips of vertically oriented silicon nanowires via a rapid atomic layer deposition process. The nature of the process is such that the microlenses are centered on the nanowires, and there is a self-limiting effect on the final sizes of the microlenses arisingmore » from the nanowire spacing. Finite difference time domain electromagnetic simulations are performed of microlens focusing properties, including showing their ability to enhance visible-wavelength absorption in silicon nanowires.« less

  18. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  19. Field emission from in situ-grown vertically aligned SnO2 nanowire arrays

    PubMed Central

    2012-01-01

    Vertically aligned SnO2 nanowire arrays have been in situ fabricated on a silicon substrate via thermal evaporation method in the presence of a Pt catalyst. The field emission properties of the SnO2 nanowire arrays have been investigated. Low turn-on fields of 1.6 to 2.8 V/μm were obtained at anode-cathode separations of 100 to 200 μm. The current density fluctuation was lower than 5% during a 120-min stability test measured at a fixed applied electric field of 5 V/μm. The favorable field-emission performance indicates that the fabricated SnO2 nanowire arrays are promising candidates as field emitters. PMID:22330800

  20. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  1. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  2. Batchwise growth of silica cone patterns via self-assembly of aligned nanowires.

    PubMed

    Luo, Shudong; Zhou, Weiya; Chu, Weiguo; Shen, Jun; Zhang, Zengxing; Liu, Lifeng; Liu, Dongfang; Xiang, Yanjuan; Ma, Wenjun; Xie, Sishen

    2007-03-01

    Silica-cone patterns self-assembled from well-aligned nanowires are synthesized using gallium droplets as the catalyst and silicon wafers as the silicon source. The cones form a triangular pattern array radially on almost the whole surface of the molten Ga ball. Detailed field-emission scanning electron microscopy (SEM) analysis shows that the cone-pattern pieces frequently slide off and are detached from the molten Ga ball surface, which leads to the exposure of the catalyst surface and the growth of a new batch of silicon oxide nanowires as well as the cone patterns. The processes of growth and detachment alternate, giving rise to the formation of a volcano-like or a flower-like structure with bulk-quantity pieces of cone patterns piled up around the Ga ball. Consequently, the cone-patterned layer grows batch by batch until the reaction is terminated. Different to the conventional metal-catalyzed growth model, the batch-by-batch growth of the triangular cone patterns proceeds on the molten Ga balls via alternate growth on and detachment from the catalyst surface of the patterns; the Ga droplet can be used continuously and circularly as an effective catalyst for the growth of amorphous SiO(x) nanowires during the whole growth period. The intriguing batchwise growth phenomena may enrich our understanding of the vapour-liquid-solid (VLS) growth mechanism for the catalyst growth of nanowires or other nanostructures and may offer a different way of self-assembling novel silica nanostructures.

  3. Aligned silica nanowires on the inner wall of bubble-like silica film: the growth mechanism and photoluminescence.

    PubMed

    Chen, Yiqing; Zhou, Qingtao; Jiang, Haifeng; Su, Yong; Xiao, Haihua; Zhu, Li-Ang; Xu, Liang

    2006-02-28

    Large area, aligned amorphous silica nanowires grow on the inner wall of bubble-like silica film, which is prepared by thermal evaporation of a molten gallium-silicon alloy in a flow of ammonia. These nanowires are 10-20 nm in diameter and 0.5-1.5 µm in length. The bubble-like silica film functions as a substrate, guiding the growth of silica nanowires by a vapour-solid process. This work helps us to clearly elucidate the growth mechanism of aligned amorphous silica nanowires, ruling out the possibility of liquid gallium acting as a nucleation substrate for the growth of the aligned silica nanowires. A broad emission band from 290 to 600 nm is observed in the photoluminescence (PL) spectrum of these nanowires. There are seven PL peaks: two blue emission peaks at 430 nm (2.88 eV) and 475 nm (2.61 eV); and five ultraviolet emission peaks at 325 nm (3.82 eV), 350 nm (3.54 eV), 365 nm (3.40 eV), 385 nm (3.22 eV) and 390 nm (3.18 eV), which may be related to various oxygen defects.

  4. Directed branch growth in aligned nanowire arrays.

    PubMed

    Beaudry, Allan L; LaForge, Joshua M; Tucker, Ryan T; Sorge, Jason B; Adamski, Nicholas L; Li, Peng; Taschuk, Michael T; Brett, Michael J

    2014-01-01

    Branch growth is directed along two, three, or four in-plane directions in vertically aligned nanowire arrays using vapor-liquid-solid glancing angle deposition (VLS-GLAD) flux engineering. In this work, a dynamically controlled collimated vapor flux guides branch placement during the self-catalyzed epitaxial growth of branched indium tin oxide nanowire arrays. The flux is positioned to grow branches on select nanowire facets, enabling fabrication of aligned nanotree arrays with L-, T-, or X-branching. In addition, a flux motion algorithm is designed to selectively elongate branches along one in-plane axis. Nanotrees are found to be aligned across large areas by X-ray diffraction pole figure analysis and through branch length and orientation measurements collected over 140 μm(2) from scanning electron microscopy images for each array. The pathway to guided assembly of nanowire architectures with controlled interconnectivity in three-dimensions using VLS-GLAD is discussed.

  5. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  6. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  7. Effects of Asymmetric Local Joule Heating on Silicon Nanowire-Based Devices Formed by Dielectrophoresis Alignment Across Pt Electrodes

    NASA Astrophysics Data System (ADS)

    Ho, Hsiang-Hsi; Lin, Chun-Lung; Tsai, Wei-Che; Hong, Liang-Zheng; Lyu, Cheng-Han; Hsu, Hsun-Feng

    2018-01-01

    We demonstrate the fabrication and characterization of silicon nanowire-based devices in metal-nanowire-metal configuration using direct current dielectrophoresis. The current-voltage characteristics of the devices were found rectifying, and their direction of rectification could be determined by voltage sweep direction due to the asymmetric Joule heating effect that occurred in the electrical measurement process. The photosensing properties of the rectifying devices were investigated. It reveals that when the rectifying device was in reverse-biased mode, the excellent photoresponse was achieved due to the strong built-in electric field at the junction interface. It is expected that rectifying silicon nanowire-based devices through this novel and facile method can be potentially applied to other applications such as logic gates and sensors.

  8. Optimal design of aperiodic, vertical silicon nanowire structures for photovoltaics.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2011-09-12

    We design a partially aperiodic, vertically-aligned silicon nanowire array that maximizes photovoltaic absorption. The optimal structure is obtained using a random walk algorithm with transfer matrix method based electromagnetic forward solver. The optimal, aperiodic structure exhibits a 2.35 times enhancement in ultimate efficiency compared to its periodic counterpart. The spectral behavior mimics that of a periodic array with larger lattice constant. For our system, we find that randomly-selected, aperiodic structures invariably outperform the periodic array.

  9. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  10. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  11. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  12. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  13. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  14. Potentialities of silicon nanowire forests for thermoelectric generation

    NASA Astrophysics Data System (ADS)

    Dimaggio, Elisabetta; Pennelli, Giovanni

    2018-04-01

    Silicon is a material with very good thermoelectric properties, with regard to Seebeck coefficient and electrical conductivity. Low thermal conductivities, and hence high thermal to electrical conversion efficiencies, can be achieved in nanostructures, which are smaller than the phonon mean free path but large enough to preserve the electrical conductivity. We demonstrate that it is possible to fabricate a leg of a thermoelectric generator based on large collections of long nanowires, placed perpendicularly to the two faces of a silicon wafer. The process exploits the metal assisted etching technique which is simple, low cost, and can be easily applied to large surfaces. Copper can be deposited by electrodeposition on both faces, so that contacts can be provided, on top of the nanowires. Thermal conductivity of silicon nanowire forests with more than 107 nanowires mm-2 have been measured; the result is comparable with that achieved by several groups on devices based on few nanowires. On the basis of the measured parameters, numerical calculations of the efficiency of silicon-based thermoelectric generators are reported, and the potentialities of these devices for thermal to electrical energy conversion are shown. Criteria to improve the conversion efficiency are suggested and described.

  15. Multispectral imaging with vertical silicon nanowires

    PubMed Central

    Park, Hyunsung; Crozier, Kenneth B.

    2013-01-01

    Multispectral imaging is a powerful tool that extends the capabilities of the human eye. However, multispectral imaging systems generally are expensive and bulky, and multiple exposures are needed. Here, we report the demonstration of a compact multispectral imaging system that uses vertical silicon nanowires to realize a filter array. Multiple filter functions covering visible to near-infrared (NIR) wavelengths are simultaneously defined in a single lithography step using a single material (silicon). Nanowires are then etched and embedded into polydimethylsiloxane (PDMS), thereby realizing a device with eight filter functions. By attaching it to a monochrome silicon image sensor, we successfully realize an all-silicon multispectral imaging system. We demonstrate visible and NIR imaging. We show that the latter is highly sensitive to vegetation and furthermore enables imaging through objects opaque to the eye. PMID:23955156

  16. Biodegradable porous silicon barcode nanowires with defined geometry

    PubMed Central

    Chiappini, Ciro; Liu, Xuewu; Fakhoury, Jean Raymond; Ferrari, Mauro

    2010-01-01

    Silicon nanowires are of proven importance in diverse fields such as energy production and storage, flexible electronics, and biomedicine due to the unique characteristics emerging from their one-dimensional semiconducting nature and their mechanical properties. Here we report the synthesis of biodegradable porous silicon barcode nanowires by metal assisted electroless etch of single crystal silicon with resistivity ranging from 0.0008 Ω-cm to 10 Ω-cm. We define the geometry of the barcode nanowiresby nanolithography and we characterize their multicolor reflectance and photoluminescence. We develop phase diagrams for the different nanostructures obtained as a function of metal catalyst, H2O2 concentration, ethanol concentration and silicon resistivity, and propose a mechanism that explains these observations. We demonstrate that these nanowires are biodegradable, and their degradation time can be modulated by surface treatments. PMID:21057669

  17. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  18. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  19. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  20. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  1. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    DTIC Science & Technology

    2016-08-19

    in a dielectric matrix. This paper explores the electronic device applications of dense arrays of silicon nanowires that are embedded in Nanotechnology ... Nanotechnology 27 (2016) 295302 (11pp) doi:10.1088/0957-4484/27/29/295302 Original content from this work may be used under the terms of the Creative...compared 2 Nanotechnology 27 (2016) 295302 S A Guerrera and A I Akinwande to the device reported by Velasquez-Garcia et al, but it also reduces the

  2. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  3. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  4. Multimode Silicon Nanowire Transistors

    PubMed Central

    2014-01-01

    The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 104 is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 107 whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport. PMID:25303290

  5. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  6. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  7. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  8. Silicon nanowire biologically sensitive field effect transistors: electrical characteristics and applications.

    PubMed

    Rim, Taiuk; Baek, Chang-Ki; Kim, Kihyun; Jeong, Yoon-Ha; Lee, Jeong-Soo; Meyyappan, M

    2014-01-01

    The interest in biologically sensitive field effect transistors (BioFETs) is growing explosively due to their potential as biosensors in biomedical, environmental monitoring and security applications. Recently, adoption of silicon nanowires in BioFETs has enabled enhancement of sensitivity, device miniaturization, decreasing power consumption and emerging applications such as the 3D cell probe. In this review, we describe the device physics and operation of the silicon nanowire BioFETs along with recent advances in the field. The silicon nanowire BioFETs are basically the same as the conventional field-effect transistors (FETs) with the exceptions of nanowire channel instead of thin film and a liquid gate instead of the conventional gate. Therefore, the silicon device physics is important to understand the operation of the BioFETs. Herein, physical characteristics of the silicon nanowire FETs are described and the operational principles of the BioFETs are classified according to the number of gates and the analysis domain of the measured signal. Even the bottom-up process has merits on low-cost fabrication; the top-down process technique is highlighted here due to its reliability and reproducibility. Finally, recent advances in the silicon nanowire BioFETs in the literature are described and key features for commercialization are discussed.

  9. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  10. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  11. Giant enhancement of the carrier mobility in silicon nanowires with diamond coating.

    PubMed

    Fonoberov, Vladimir A; Balandin, Alexander A

    2006-11-01

    We show theoretically that the low-field carrier mobility in silicon nanowires can be greatly enhanced by embedding the nanowires within a hard material such as diamond. The electron mobility in the cylindrical silicon nanowires with 4-nm diameter, which are coated with diamond, is 2 orders of magnitude higher at 10 K and a factor of 2 higher at room temperature than the mobility in a free-standing silicon nanowire. The importance of this result for the downscaled architectures and possible silicon-carbon nanoelectronic devices is augmented by an extra benefit of diamond, a superior heat conductor, for thermal management.

  12. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  13. Smart integration of silicon nanowire arrays in all-silicon thermoelectric micro-nanogenerators

    NASA Astrophysics Data System (ADS)

    Fonseca, Luis; Santos, Jose-Domingo; Roncaglia, Alberto; Narducci, Dario; Calaza, Carlos; Salleras, Marc; Donmez, Inci; Tarancon, Albert; Morata, Alex; Gadea, Gerard; Belsito, Luca; Zulian, Laura

    2016-08-01

    Micro and nanotechnologies are called to play a key role in the fabrication of small and low cost sensors with excellent performance enabling new continuous monitoring scenarios and distributed intelligence paradigms (Internet of Things, Trillion Sensors). Harvesting devices providing energy autonomy to those large numbers of microsensors will be essential. In those scenarios where waste heat sources are present, thermoelectricity will be the obvious choice. However, miniaturization of state of the art thermoelectric modules is not easy with the current technologies used for their fabrication. Micro and nanotechnologies offer an interesting alternative considering that silicon in nanowire form is a material with a promising thermoelectric figure of merit. This paper presents two approaches for the integration of large numbers of silicon nanowires in a cost-effective and practical way using only micromachining and thin-film processes compatible with silicon technologies. Both approaches lead to automated physical and electrical integration of medium-high density stacked arrays of crystalline or polycrystalline silicon nanowires with arbitrary length (tens to hundreds microns) and diameters below 100 nm.

  14. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    NASA Astrophysics Data System (ADS)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  15. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    NASA Astrophysics Data System (ADS)

    Martineau, F.; Namur, K.; Mallet, J.; Delavoie, F.; Endres, F.; Troyon, M.; Molinari, M.

    2009-11-01

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source or GeCl4 as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  16. Vertically aligned nanowires from boron-doped diamond.

    PubMed

    Yang, Nianjun; Uetsuka, Hiroshi; Osawa, Eiji; Nebel, Christoph E

    2008-11-01

    Vertically aligned diamond nanowires with controlled geometrical properties like length and distance between wires were fabricated by use of nanodiamond particles as a hard mask and by use of reactive ion etching. The surface structure, electronic properties, and electrochemical functionalization of diamond nanowires were characterized by atomic force microscopy (AFM) and scanning tunneling microscopy (STM) as well as electrochemical techniques. AFM and STM experiments show that diamond nanowire etched for 10 s have wire-typed structures with 3-10 nm in length and with typically 11 nm spacing in between. The electrode active area of diamond nanowires is enhanced by a factor of 2. The functionalization of nanowire tips with nitrophenyl molecules is characterized by STM on clean and on nitrophenyl molecule-modified diamond nanowires. Tip-modified diamond nanowires are promising with respect to biosensor applications where controlled biomolecule bonding is required to improve chemical stability and sensing significantly.

  17. Tip-Enhanced Raman Imaging and Nano Spectroscopy of Etched Silicon Nanowires

    PubMed Central

    Kazemi-Zanjani, Nastaran; Kergrene, Erwan; Liu, Lijia; Sham, Tsun-Kong; Lagugné-Labarthet, François

    2013-01-01

    Tip-enhanced Raman spectroscopy (TERS) is used to investigate the influence of strains in isolated and overlapping silicon nanowires prepared by chemical etching of a (100) silicon wafer. An atomic force microscopy tip made of nanocrystalline diamond coated with a thin layer of silver is used in conjunction with an excitation wavelength of 532 nm in order to probe the first order optical phonon mode of the [100] silicon nanowires. The frequency shift and the broadening of the silicon first order phonon are analyzed and compared to the topographical measurements for distinct configuration of nanowires that are disposed in straight, bent or overlapping configuration over a microscope coverslip. The TERS spatial resolution is close to the topography provided by the nanocrystalline diamond tip and subtle spectral changes are observed for different nanowire configurations. PMID:24072021

  18. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  19. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  20. Evaporation-induced self-alignment and transfer of semiconductor nanowires by wrinkled elastomeric templates.

    PubMed

    Lee, Seung Goo; Kim, Haena; Choi, Hyun Ho; Bong, Hyojin; Park, Yeong Don; Lee, Wi Hyoung; Cho, Kilwon

    2013-04-18

    The evaporation-induced self-alignment of semiconductor nanowires is achieved using wrinkled elastomeric templates. The wrinkled templates, which have a surface topography that can be tuned via changes in the mechanical strain, are used as both a template to align the nanowires and as a stamp to transfer the aligned nanowires to target substrates. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Optical modulator based on silicon nanowires racetrack resonator

    NASA Astrophysics Data System (ADS)

    Sherif, S. M.; Shahada, L.; Swillam, M.

    2018-02-01

    An optical modulator based on the racetrack resonator configuration is introduced. The structure of the resonator modulator is built from silicon nanowires on silica. The cladding and voids between the silicon nanowires are filled with an electro-optic polymer. The proposed modulator is fully CMOS compatible. When the resonance is tuned to the 1.55μm wavelength, it experiences a wavelength shift upon voltage application, which is measured at the output as a change in the power level.

  2. Localized synthesis, assembly and integration of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  3. Structural and optical properties of silicon-carbide nanowires produced by the high-temperature carbonization of silicon nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlikov, A. V., E-mail: pavlikov@physics.msu.ru; Latukhina, N. V.; Chepurnov, V. I.

    Silicon-carbide (SiC) nanowire structures 40–50 nm in diameter are produced by the high-temperature carbonization of porous silicon and silicon nanowires. The SiC nanowires are studied by scanning electron microscopy, X-ray diffraction analysis, Raman spectroscopy, and infrared reflectance spectroscopy. The X-ray structural and Raman data suggest that the cubic 3C-SiC polytype is dominant in the samples under study. The shape of the infrared reflectance spectrum in the region of the reststrahlen band 800–900 cm{sup –1} is indicative of the presence of free charge carriers. The possibility of using SiC nanowires in microelectronic, photonic, and gas-sensing devices is discussed.

  4. Strong polarization-dependent terahertz modulation of aligned Ag nanowires on Si substrate.

    PubMed

    Lee, Gyuseok; Maeng, Inhee; Kang, Chul; Oh, Myoung-Kyu; Kee, Chul-Sik

    2018-05-14

    Optically tunable, strong polarization-dependent transmission of terahertz pulses through aligned Ag nanowires on a Si substrate is demonstrated. Terahertz pulses primarily pass through the Ag nanowires and the transmittance is weakly dependent on the angle between the direction of polarization of the terahertz pulse and the direction of nanowire alignment. However, the transmission of a terahertz pulse through optically excited materials strongly depends on the polarization direction. The extinction ratio increases as the power of the pumping laser increases. The enhanced polarization dependency is explained by the redistribution of photocarriers, which accelerates the sintering effect along the direction of alignment of the Ag nanowires. The photocarrier redistribution effect is examined by the enhancement of terahertz emission from the sample. Oblique metal nanowires on Si could be utilized for designing optically tunable terahertz polarization modulators.

  5. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    PubMed Central

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  6. Silicon nanowire synthesis by a vapor-liquid-solid approach.

    PubMed

    Mao, Aaron; Ng, H T; Nguyen, Pho; McNeil, Melanie; Meyyappan, M

    2005-05-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  7. Silicon nanowire synthesis by a vapor-liquid-solid approach

    NASA Technical Reports Server (NTRS)

    Mao, Aaron; Ng, H. T.; Nguyen, Pho; McNeil, Melanie; Meyyappan, M.

    2005-01-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  8. Incubation behavior of silicon nanowire growth investigated by laser-assisted rapid heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Sang-gil; Kim, Eunpa; Grigoropoulos, Costas P., E-mail: cgrigoro@berkeley.edu

    2016-08-15

    We investigate the early stage of silicon nanowire growth by the vapor-liquid-solid mechanism using laser-localized heating combined with ex-situ chemical mapping analysis by energy-filtered transmission electron microscopy. By achieving fast heating and cooling times, we can precisely determine the nucleation times for nanowire growth. We find that the silicon nanowire nucleation process occurs on a time scale of ∼10 ms, i.e., orders of magnitude faster than the times reported in investigations using furnace processes. The rate-limiting step for silicon nanowire growth at temperatures in the vicinity of the eutectic temperature is found to be the gas reaction and/or the silicon crystalmore » growth process, whereas at higher temperatures it is the rate of silicon diffusion through the molten catalyst that dictates the nucleation kinetics.« less

  9. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    NASA Astrophysics Data System (ADS)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  10. Method of making a silicon nanowire device

    DOEpatents

    None, None

    2017-05-23

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation. The method, in examples, includes thinning the nanowire through iterative oxidation and etching of the oxidized portion.

  11. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  12. Scalable alignment and transfer of nanowires in a Spinning Langmuir Film.

    PubMed

    Zhu, Ren; Lai, Yicong; Nguyen, Vu; Yang, Rusen

    2014-10-21

    Many nanomaterial-based integrated nanosystems require the assembly of nanowires and nanotubes into ordered arrays. A generic alignment method should be simple and fast for the proof-of-concept study by a researcher, and low-cost and scalable for mass production in industries. Here we have developed a novel Spinning-Langmuir-Film technique to fulfill both requirements. We used surfactant-enhanced shear flow to align inorganic and organic nanowires, which could be easily transferred to other substrates and ready for device fabrication in less than 20 minutes. The aligned nanowire areal density can be controlled in a wide range from 16/mm(-2) to 258/mm(-2), through the compression of the film. The surface surfactant layer significantly influences the quality of alignment and has been investigated in detail.

  13. A silicon-nanowire memory driven by optical gradient force induced bistability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, B.; Institute of Microelectronics, A*STAR; Cai, H., E-mail: caih@ime.a-star.edu.sg

    2015-12-28

    In this paper, a bistable optical-driven silicon-nanowire memory is demonstrated, which employs ring resonator to generate optical gradient force over a doubly clamped silicon-nanowire. Two stable deformation positions of a doubly clamped silicon-nanowire represent two memory states (“0” and “1”) and can be set/reset by modulating the light intensity (<3 mW) based on the optical force induced bistability. The time response of the optical-driven memory is less than 250 ns. It has applications in the fields of all optical communication, quantum computing, and optomechanical circuits.

  14. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method.

    PubMed

    Ouertani, Rachid; Hamdi, Abderrahmen; Amri, Chohdi; Khalifa, Marouan; Ezzaouia, Hatem

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films.

  15. Scalable alignment and transfer of nanowires based on oriented polymer nanofibers.

    PubMed

    Yan, Shancheng; Lu, Lanxin; Meng, Hao; Huang, Ningping; Xiao, Zhongdang

    2010-03-05

    We develop a simple and scalable method based on oriented polymer nanofiber films for the parallel assembly and transfer of nanowires at high density. Nanowires dispersed in solution are aligned and selectively deposited at the central space of parallel nanochannels formed by the well-oriented nanofibers as a result of evaporation-induced flow and capillarity. A general contact printing method is used to realize the transfer of the nanowires from the donor nanofiber film to a receiver substrate. The mechanism, which involves ordered alignment of nanowires on oriented polymer nanofiber films, is also explored with an evaporation model of cylindrical droplets. The simplicity of the assembly and transfer, and the facile fabrication of large-area well-oriented nanofiber films, make the present method promising for the application of nanowires, especially for the disordered nanowires synthesized by solution chemistry.

  16. Method of fabricating vertically aligned group III-V nanowires

    DOEpatents

    Wang, George T; Li, Qiming

    2014-11-25

    A top-down method of fabricating vertically aligned Group III-V micro- and nanowires uses a two-step etch process that adds a selective anisotropic wet etch after an initial plasma etch to remove the dry etch damage while enabling micro/nanowires with straight and smooth faceted sidewalls and controllable diameters independent of pitch. The method enables the fabrication of nanowire lasers, LEDs, and solar cells.

  17. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  18. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  19. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method

    PubMed Central

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films. PMID:25349554

  20. Indium nanowires at the silicon surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, A. S., E-mail: antonkozhukhov@yandex.ru; Sheglov, D. V.; Latyshev, A. V.

    2016-07-15

    Conductive indium nanowires up to 50 nm in width and up to 10 μm in length are fabricated on the surface of silicon by local resputtering from the probe of an atomic-force microscope. The transfer of indium from the probe of the atomic-force microscope onto the silicon surface is initiated by applying a potential between the probe and the surface as they approach each other to spacings, at which the mutual repulsive force is ~10{sup –7} N. The conductivity of the nanowires ranges from 7 × 10{sup –3} to 4 × 10{sup –2} Ω cm, which is several orders ofmore » magnitude lower than that in the case of the alternative technique of heat transfer.« less

  1. Shear Alignment of Diblock Copolymers for Patterning Nanowire Meshes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gustafson, Kyle T.

    2016-09-08

    Metallic nanowire meshes are useful as cheap, flexible alternatives to indium tin oxide – an expensive, brittle material used in transparent conductive electrodes. We have fabricated nanowire meshes over areas up to 2.5 cm 2 by: 1) mechanically aligning parallel rows of diblock copolymer (diBCP) microdomains; 2) selectively infiltrating those domains with metallic ions; 3) etching away the diBCP template; 4) sintering to reduce ions to metal nanowires; and, 5) repeating steps 1 – 4 on the same sample at a 90° offset. We aligned parallel rows of polystyrene-b-poly(2-vinylpyridine) [PS(48.5 kDa)-b-P2VP(14.5 kDa)] microdomains by heating above its glass transition temperaturemore » (T g ≈ 100°C), applying mechanical shear pressure (33 kPa) and normal force (13.7 N), and cooling below T g. DiBCP samples were submerged in aqueous solutions of metallic ions (15 – 40 mM ions; 0.1 – 0.5 M HCl) for 30 – 90 minutes, which coordinate to nitrogen in P2VP. Subsequent ozone-etching and sintering steps yielded parallel nanowires. We aimed to optimize alignment parameters (e.g. shear and normal pressures, alignment duration, and PDMS thickness) to improve the quality, reproducibility, and scalability of meshes. We also investigated metals other than Pt and Au that may be patterned using this technique (Cu, Ag).« less

  2. Nonlinear Conductive Behaviour of Silver Nanowires/Silicone Rubber Composites

    NASA Astrophysics Data System (ADS)

    Lu, Pin; Qu, Zhaoming; Wang, Qingguo; Bai, Liyun; Zhao, Shiyang

    2018-01-01

    Silver nanowires with an average length of 10 μm and diameter of about 90 nm have been synthesized by polyol reduction of silver nitrate in the presence of polyvinylpyrrolidone(PVP). Silver nanowires (AgNWs)/silicone rubber (SR) composites have been made by mixing silver nanowires into silicone rubber. The nonlinear response of AgNWs/SR composites under high electric field is investigated. The nonlinear Conductive behavior of composites is considered as a competitive process of several effects. From the perspective of the microstructure of composites, the conductive path is established by the quantum tunnel effect between silver nanowires. The influence factors on the conductivity of composites are discussed and analyzed. The results show that the AgNWs/SR composites with nonlinear conductive properties are of great potential application in electromagnetic protection of electron device and system.

  3. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  4. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  5. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  6. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  7. Twisted ultrathin silicon nanowires: A possible torsion electromechanical nanodevice

    NASA Astrophysics Data System (ADS)

    Garcia, J. C.; Justo, J. F.

    2014-11-01

    Nanowires have been considered for a number of applications in nanometrology. In such a context, we have explored the possibility of using ultrathin twisted nanowires as torsion nanobalances to probe forces and torques at molecular level with high precision, a nanoscale system analogous to the Coulomb's torsion balance electrometer. In order to achieve this goal, we performed a first-principles investigation on the structural and electronic properties of twisted silicon nanowires, in their pristine and hydrogenated forms. The results indicated that wires with pentagonal and hexagonal cross-sections are the thinnest stable silicon nanostructures. Additionally, all wires followed a Hooke's law behavior for small twisting deformations. Hydrogenation leads to spontaneous twisting, but with angular spring constants considerably smaller than the ones for the respective pristine forms. We observed considerable changes on the nanowire electronic properties upon twisting, which allows to envision the possibility of correlating the torsional angular deformation with the nanowire electronic transport. This could ultimately allow a direct access to measurements on interatomic forces at molecular level.

  8. Sub-diffraction Laser Synthesis of Silicon Nanowires

    PubMed Central

    Mitchell, James I.; Zhou, Nan; Nam, Woongsik; Traverso, Luis M.; Xu, Xianfan

    2014-01-01

    We demonstrate synthesis of silicon nanowires of tens of nanometers via laser induced chemical vapor deposition. These nanowires with diameters as small as 60 nm are produced by the interference between incident laser radiation and surface scattered radiation within a diffraction limited spot, which causes spatially confined, periodic heating needed for high resolution chemical vapor deposition. By controlling the intensity and polarization direction of the incident radiation, multiple parallel nanowires can be simultaneously synthesized. The nanowires are produced on a dielectric substrate with controlled diameter, length, orientation, and the possibility of in-situ doping, and therefore are ready for device fabrication. Our method offers rapid one-step fabrication of nano-materials and devices unobtainable with previous CVD methods. PMID:24469704

  9. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  10. Impurity and phonon scattering in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, W.; Persson, M. P.; Mera, H.; Delerue, C.; Niquet, Y. M.; Allan, G.; Wang, E.

    2011-03-01

    We model the scattering of electrons by phonons and dopant impurities in ultimate [110]-oriented gate-all-around silicon nanowires with an atomistic valence force field and tight-binding approach. All electron-phonons interactions are included. We show that impurity scattering can reduce with decreasing nanowire diameter due to the enhanced screening by the gate. Donors and acceptors however perform very differently : acceptors behave as tunnel barriers for the electrons, while donors behave as quantum wells which introduce Fano resonances in the conductance. As a consequence the acceptors are much more limiting the mobility than the donors. The resistances of single acceptors are also very dependent on their radial position in the nanowire, which might be a significant source of variability in ultimate silicon nanowire devices. Concerning phonons, we show that, as a result of strong confinement, i) electrons couple to a wide and complex distribution of phonons modes, and ii) the mobility has a non-monotonic variation with wire diameter and is strongly reduced with respect to bulk. French National Research Agency ANR project QUANTAMONDE Contract No. ANR-07-NANO-023-02 and by the Délégation Générale pour l'Armement, French Ministry of Defense under Grant No. 2008.34.0031.

  11. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  12. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  13. Highly aligned arrays of high aspect ratio barium titanate nanowires via hydrothermal synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowland, Christopher C.; Zhou, Zhi; Malakooti, Mohammad H.

    2015-06-01

    We report on the development of a hydrothermal synthesis procedure that results in the growth of highly aligned arrays of high aspect ratio barium titanate nanowires. Using a multiple step, scalable hydrothermal reaction, a textured titanium dioxide film is deposited on titanium foil upon which highly aligned nanowires are grown via homoepitaxy and converted to barium titanate. Scanning electron microscope images clearly illustrate the effect the textured film has on the degree of orientation of the nanowires. The alignment of nanowires is quantified by calculating the Herman's Orientation Factor, which reveals a 58% improvement in orientation as compared to growthmore » in the absence of the textured film. The ferroelectric properties of barium titanate combined with the development of this scalable growth procedure provide a powerful route towards increasing the efficiency and performance of nanowire-based devices in future real-world applications such as sensing and power harvesting.« less

  14. Carbon-silicon core-shell nanowires as high capacity electrode for lithium ion batteries.

    PubMed

    Cui, Li-Feng; Yang, Yuan; Hsu, Ching-Mei; Cui, Yi

    2009-09-01

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires showed great performance as anode material. Since carbon has a much smaller capacity compared to silicon, the carbon core experiences less structural stress or damage during lithium cycling and can function as a mechanical support and an efficient electron conducting pathway. These nanowires have a high charge storage capacity of approximately 2000 mAh/g and good cycling life. They also have a high Coulmbic efficiency of 90% for the first cycle and 98-99.6% for the following cycles. A full cell composed of LiCoO(2) cathode and carbon-silicon core-shell nanowire anode is also demonstrated. Significantly, using these core-shell nanowires we have obtained high mass loading and an area capacity of approximately 4 mAh/cm(2), which is comparable to commercial battery values.

  15. Study of Charge Transport in Vertically Aligned Nitride Nanowire Based Core Shell P-I-N Junctions

    DTIC Science & Technology

    2016-07-01

    Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Distribution Statement A. Approved for public release; distribution is...Study of Charge Transport in Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Grant Number: HDTRA1-14-1-0003 Principal...Investigator: Abhishek Motayed University of Maryland DISTRIBUTION A: Public Release Study of Charge Transport in Vertically-Aligned Nitride Nanowire

  16. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  17. A III-V nanowire channel on silicon for high-performance vertical transistors.

    PubMed

    Tomioka, Katsuhiro; Yoshimura, Masatoshi; Fukui, Takashi

    2012-08-09

    Silicon transistors are expected to have new gate architectures, channel materials and switching mechanisms in ten years' time. The trend in transistor scaling has already led to a change in gate structure from two dimensions to three, used in fin field-effect transistors, to avoid problems inherent in miniaturization such as high off-state leakage current and the short-channel effect. At present, planar and fin architectures using III-V materials, specifically InGaAs, are being explored as alternative fast channels on silicon because of their high electron mobility and high-quality interface with gate dielectrics. The idea of surrounding-gate transistors, in which the gate is wrapped around a nanowire channel to provide the best possible electrostatic gate control, using InGaAs channels on silicon, however, has been less well investigated because of difficulties in integrating free-standing InGaAs nanostructures on silicon. Here we report the position-controlled growth of vertical InGaAs nanowires on silicon without any buffering technique and demonstrate surrounding-gate transistors using InGaAs nanowires and InGaAs/InP/InAlAs/InGaAs core-multishell nanowires as channels. Surrounding-gate transistors using core-multishell nanowire channels with a six-sided, high-electron-mobility transistor structure greatly enhance the on-state current and transconductance while keeping good gate controllability. These devices provide a route to making vertically oriented transistors for the next generation of field-effect transistors and may be useful as building blocks for wireless networks on silicon platforms.

  18. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  19. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    PubMed

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  20. Growth and applicability of radiation-responsive silica nanowires

    NASA Astrophysics Data System (ADS)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  1. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  2. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  3. Silicon nanowire Esaki diodes.

    PubMed

    Schmid, Heinz; Bessire, Cedric; Björk, Mikael T; Schenk, Andreas; Riel, Heike

    2012-02-08

    We report on the fabrication and characterization of silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm(2), peak-to-valley current ratios of up to 4.3, and reverse current densities of up to 300 kA/cm(2) at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48% for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical and transverse optical phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here. © 2012 American Chemical Society

  4. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  5. A comparison of light-harvesting performance of silicon nanocones and nanowires for radial-junction solar cells.

    PubMed

    Li, Yingfeng; Li, Meicheng; Fu, Pengfei; Li, Ruike; Song, Dandan; Shen, Chao; Zhao, Yan

    2015-06-26

    Silicon nanorod based radial-junction solar cells are competitive alternatives to traditional planar silicon solar cells. In various silicon nanorods, nanocone is always considered to be better than nanowire in light-absorption. Nevertheless, we find that this notion isn't absolutely correct. Silicon nanocone is indeed significantly superior over nanowire in light-concentration due to its continuous diameters, and thus resonant wavelengths excited. However, the concentrated light can't be effectively absorbed and converted to photogenerated carriers, since its propagation path in silicon nanocone is shorter than that in nanowire. The results provide critical clues for the design of silicon nanorod based radial-junction solar cells.

  6. Top-Down Nanofabrication and Characterization of 20 nm Silicon Nanowires for Biosensing Applications

    PubMed Central

    M. N, M. Nuzaihan; Hashim, U.; Md Arshad, M. K.; Ruslinda, A. Rahim; Rahman, S. F. A.; Fathil, M. F. M.; Ismail, Mohd. H.

    2016-01-01

    A top-down nanofabrication approach is used to develop silicon nanowires from silicon-on-insulator (SOI) wafers and involves direct-write electron beam lithography (EBL), inductively coupled plasma-reactive ion etching (ICP-RIE) and a size reduction process. To achieve nanometer scale size, the crucial factors contributing to the EBL and size reduction processes are highlighted. The resulting silicon nanowires, which are 20 nm in width and 30 nm in height (with a triangular shape) and have a straight structure over the length of 400 μm, are fabricated precisely at the designed location on the device. The device is applied in biomolecule detection based on the changes in drain current (Ids), electrical resistance and conductance of the silicon nanowires upon hybridization to complementary target deoxyribonucleic acid (DNA). In this context, the scaled-down device exhibited superior performances in terms of good specificity and high sensitivity, with a limit of detection (LOD) of 10 fM, enables for efficient label-free, direct and higher-accuracy DNA molecules detection. Thus, this silicon nanowire can be used as an improved transducer and serves as novel biosensor for future biomedical diagnostic applications. PMID:27022732

  7. Selective doping of silicon nanowires by means of electron beam stimulated oxide etching.

    PubMed

    Pennelli, G; Totaro, M; Piotto, M

    2012-02-08

    Direct patterning of silicon dioxide by means of electron beam stimulated etching is shown, and a full characterization of exposure dose is presented. For its high dose, this technique is unsuitable for large areas but can be usefully employed like a precision scalpel for removing silicon dioxide by well-localized points. In this work, this technique is applied to the definition of windows through the oxide surrounding top down fabricated n-doped silicon nanowires. These windows will be employed for a selective doping of the nanowire by boron diffusion. In this way, pn junctions can be fabricated in well-localized points in the longitudinal direction of the nanowire, and an electrical contact to the different junctions can be provided. Electrical I-V characteristics of a nanowire with pn longitudinal junctions are reported and discussed. © 2012 American Chemical Society

  8. Polymer chain alignment and transistor properties of nanochannel-templated poly(3-hexylthiophene) nanowires

    NASA Astrophysics Data System (ADS)

    Oh, Seungjun; Hayakawa, Ryoma; Pan, Chengjun; Sugiyasu, Kazunori; Wakayama, Yutaka

    2016-08-01

    Nanowires of semiconducting poly(3-hexylthiophene) (P3HT) were produced by a nanochannel-template technique. Polymer chain alignment in P3HT nanowires was investigated as a function of nanochannel widths (W) and polymer chain lengths (L). We found that the ratio between chain length and channel width (L/W) was a key parameter as regards promoting polymer chain alignment. Clear dichroism was observed in polarized ultraviolet-visible (UV-Vis) absorption spectra only at a ratio of approximately L/W = 2, indicating that the L/W ratio must be optimized to achieve uniaxial chain alignment in the nanochannel direction. We speculate that an appropriate L/W ratio is effective in confining the geometries and conformations of polymer chains. This discussion was supported by theoretical simulations based on molecular dynamics. That is, the geometry of the polymer chains, including the distance and tilting angles of the chains in relation to the nanochannel surface, was dominant in determining the longitudinal alignment along the nanochannels. Thus prepared highly aligned polymer nanowire is advantageous for electrical carrier transport and has great potential for improving the device performance of field-effect transistors. In fact, a one-order improvement in carrier mobility was observed in a P3HT nanowire transistor.

  9. Effects of Nanowire Length and Surface Roughness on the Electrochemical Sensor Properties of Nafion-Free, Vertically Aligned Pt Nanowire Array Electrodes

    PubMed Central

    Li, Zhiyang; Leung, Calvin; Gao, Fan; Gu, Zhiyong

    2015-01-01

    In this paper, vertically aligned Pt nanowire arrays (PtNWA) with different lengths and surface roughnesses were fabricated and their electrochemical performance toward hydrogen peroxide (H2O2) detection was studied. The nanowire arrays were synthesized by electroplating Pt in nanopores of anodic aluminum oxide (AAO) template. Different parameters, such as current density and deposition time, were precisely controlled to synthesize nanowires with different surface roughnesses and various lengths from 3 μm to 12 μm. The PtNWA electrodes showed better performance than the conventional electrodes modified by Pt nanowires randomly dispersed on the electrode surface. The results indicate that both the length and surface roughness can affect the sensing performance of vertically aligned Pt nanowire array electrodes. Generally, longer nanowires with rougher surfaces showed better electrochemical sensing performance. The 12 μm rough surface PtNWA presented the largest sensitivity (654 μA·mM−1·cm−2) among all the nanowires studied, and showed a limit of detection of 2.4 μM. The 12 μm rough surface PtNWA electrode also showed good anti-interference property from chemicals that are typically present in the biological samples such as ascorbic, uric acid, citric acid, and glucose. The sensing performance in real samples (river water) was tested and good recovery was observed. These Nafion-free, vertically aligned Pt nanowires with surface roughness control show great promise as versatile electrochemical sensors and biosensors. PMID:26404303

  10. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  11. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  12. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  13. Scalable alignment of CdS nanowires based on efficient roll-on transfer technique.

    PubMed

    Yan, Shancheng; Shi, Yi; Xiao, Zhongdang; Wang, Junzhuan; Hu, Dong; Xul, Xin; Lu, Tao; Liu, Aili; Gao, Fan

    2013-06-01

    A roll-on transfer strategy is developed to enable large-scale and uniform assembly of CdS nanowires on various rigid and flexible substrate materials. In this method, the CdS nanowires were synthesized by the hydrothermal method. The dispersed CdS NWs could be firstly aligned and selectively deposited at the micro/nanochannels between aligned nanofibers on the surface of the donor roller as a result of evaporation-induced flow and capillary action, and then the directional and aligned transfer of the CdS NWs from the donor roller to a receiver substrate via roll-on transfer technique. Finally, a device structures consisting of the nanowire channel and two metal electrodes was fabricated. The electrical property of this device was observed.

  14. Directed assembly of gold nanowires on silicon via reorganization and simultaneous fusion of randomly distributed gold nanoparticles.

    PubMed

    Reinhardt, Hendrik M; Bücker, Kerstin; Hampp, Norbert A

    2015-05-04

    Laser-induced reorganization and simultaneous fusion of nanoparticles is introduced as a versatile concept for pattern formation on surfaces. The process takes advantage of a phenomenon called laser-induced periodic surface structures (LIPSS) which originates from periodically alternating photonic fringe patterns in the near-field of solids. Associated photonic fringe patterns are shown to reorganize randomly distributed gold nanoparticles on a silicon wafer into periodic gold nanostructures. Concomitant melting due to optical heating facilitates the formation of continuous structures such as periodic gold nanowire arrays. Generated patterns can be converted into secondary structures using directed assembly or self-organization. This includes for example the rotation of gold nanowire arrays by arbitrary angles or their fragmentation into arrays of aligned gold nanoparticles.

  15. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  16. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  17. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  18. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  19. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  20. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  1. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  2. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    NASA Astrophysics Data System (ADS)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  3. Highly organised and dense vertical silicon nanowire arrays grown in porous alumina template on <100> silicon wafers

    PubMed Central

    2013-01-01

    In this work, nanoimprint lithography combined with standard anodization etching is used to make perfectly organised triangular arrays of vertical cylindrical alumina nanopores onto standard <100>−oriented silicon wafers. Both the pore diameter and the period of alumina porous array are well controlled and can be tuned: the periods vary from 80 to 460 nm, and the diameters vary from 15 nm to any required diameter. These porous thin layers are then successfully used as templates for the guided epitaxial growth of organised mono-crystalline silicon nanowire arrays in a chemical vapour deposition chamber. We report the densities of silicon nanowires up to 9 × 109 cm−2 organised in highly regular arrays with excellent diameter distribution. All process steps are demonstrated on surfaces up to 2 × 2 cm2. Specific emphasis was made to select techniques compatible with microelectronic fabrication standards, adaptable to large surface samples and with a reasonable cost. Achievements made in the quality of the porous alumina array, therefore on the silicon nanowire array, widen the number of potential applications for this technology, such as optical detectors or biological sensors. PMID:23773702

  4. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    West, Hannah Elise

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  5. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  6. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  7. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  8. Texturing Silicon Nanowires for Highly Localized Optical Modulation of Cellular Dynamics.

    PubMed

    Fang, Yin; Jiang, Yuanwen; Acaron Ledesma, Hector; Yi, Jaeseok; Gao, Xiang; Weiss, Dara E; Shi, Fengyuan; Tian, Bozhi

    2018-06-18

    Engineered silicon-based materials can display photoelectric and photothermal responses under light illumination, which may lead to further innovations at the silicon-biology interfaces. Silicon nanowires have small radial dimensions, promising as highly localized cellular modulators, however the single crystalline form typically has limited photothermal efficacy due to the poor light absorption and fast heat dissipation. In this work, we report strategies to improve the photothermal response from silicon nanowires by introducing nanoscale textures on the surface and in the bulk. We next demonstrate high-resolution extracellular modulation of calcium dynamics in a number of mammalian cells including glial cells, neurons, and cancer cells. The new materials may be broadly used in probing and modulating electrical and chemical signals at the subcellular length scale, which is currently a challenge in the field of electrophysiology or cellular engineering.

  9. Alignment nature of ZnO nanowires grown on polished and nanoscale etched lithium niobate surface through self-seeding thermal evaporation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanan, Ajay Achath; Parthiban, R.; Ramakrishnan, N., E-mail: ramakrishnan@monash.edu

    Highlights: • ZnO nanowires were grown directly on LiNbO{sub 3} surface for the first time by thermal evaporation. • Self-alignment of the nanowires due to step bunching of LiNbO{sub 3} surface is observed. • Increased roughness in surface defects promoted well-aligned growth of nanowires. • Well-aligned growth was then replicated in 50 nm deep trenches on the surface. • Study opens novel pathway for patterned growth of ZnO nanowires on LiNbO{sub 3} surface. - Abstract: High aspect ratio catalyst-free ZnO nanowires were directly synthesized on lithium niobate substrate for the first time through thermal evaporation method without the use ofmore » a buffer layer or the conventional pre-deposited ZnO seed layer. As-grown ZnO nanowires exhibited a crisscross aligned growth pattern due to step bunching of the polished lithium niobate surface during the nanowire growth process. On the contrary, scratches on the surface and edges of the substrate produced well-aligned ZnO nanowires in these defect regions due to high surface roughness. Thus, the crisscross aligned nature of high aspect ratio nanowire growth on the lithium niobate surface can be changed to well-aligned growth through controlled etching of the surface, which is further verified through reactive-ion etching of lithium niobate. The investigations and discussion in the present work will provide novel pathway for self-seeded patterned growth of well-aligned ZnO nanowires on lithium niobate based micro devices.« less

  10. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  11. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    PubMed

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  12. Silicon nanowire device and method for its manufacture

    DOEpatents

    Okandan, Murat; Draper, Bruce L.; Resnick, Paul J.

    2017-01-03

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation.

  13. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  14. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  15. Silicon Nanowire Fabric as a Lithium Ion Battery Electrode Material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chockla, Aaron M.; Harris, Justin T.; Akhavan, Vahid A.

    2011-11-09

    A nonwoven fabric with paperlike qualities composed of silicon nanowires is reported. The nanowires, made by the supercritical-fluid–liquid–solid process, are crystalline, range in diameter from 10 to 50 nm with an average length of >100 μm, and are coated with a thin chemisorbed polyphenylsilane shell. About 90% of the nanowire fabric volume is void space. Thermal annealing of the nanowire fabric in a reducing environment converts the polyphenylsilane coating to a carbonaceous layer that significantly increases the electrical conductivity of the material. This makes the nanowire fabric useful as a self-supporting, mechanically flexible, high-energy-storage anode material in a lithium ionmore » battery. Anode capacities of more than 800 mA h g{sup –1} were achieved without the addition of conductive carbon or binder.« less

  16. Specific and selective target detection of supra-genome 21 Mers Salmonella via silicon nanowires biosensor

    NASA Astrophysics Data System (ADS)

    Mustafa, Mohammad Razif Bin; Dhahi, Th S.; Ehfaed, Nuri. A. K. H.; Adam, Tijjani; Hashim, U.; Azizah, N.; Mohammed, Mohammed; Noriman, N. Z.

    2017-09-01

    The nano structure based on silicon can be surface modified to be used as label-free biosensors that allow real-time measurements. The silicon nanowire surface was functionalized using 3-aminopropyltrimethoxysilane (APTES), which functions as a facilitator to immobilize biomolecules on the silicon nanowire surface. The process is simple, economical; this will pave the way for point-of-care applications. However, the surface modification and subsequent detection mechanism still not clear. Thus, study proposed step by step process of silicon nano surface modification and its possible in specific and selective target detection of Supra-genome 21 Mers Salmonella. The device captured the molecule with precisely; the approach took the advantages of strong binding chemistry created between APTES and biomolecule. The results indicated how modifications of the nanowires provide sensing capability with strong surface chemistries that can lead to specific and selective target detection.

  17. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  18. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Zhuomin

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiativemore » properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be

  19. Ab initio design of nanostructures for solar energy conversion: a case study on silicon nitride nanowire.

    PubMed

    Pan, Hui

    2014-01-01

    Design of novel materials for efficient solar energy conversion is critical to the development of green energy technology. In this work, we present a first-principles study on the design of nanostructures for solar energy harvesting on the basis of the density functional theory. We show that the indirect band structure of bulk silicon nitride is transferred to direct bandgap in nanowire. We find that intermediate bands can be created by doping, leading to enhancement of sunlight absorption. We further show that codoping not only reduces the bandgap and introduces intermediate bands but also enhances the solubility of dopants in silicon nitride nanowires due to reduced formation energy of substitution. Importantly, the codoped nanowire is ferromagnetic, leading to the improvement of carrier mobility. The silicon nitride nanowires with direct bandgap, intermediate bands, and ferromagnetism may be applicable to solar energy harvesting.

  20. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  1. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics

    PubMed Central

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°). PMID:25435833

  2. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics.

    PubMed

    Kayes, Md Imrul; Leu, Paul W

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°).

  3. A simple and transparent well-aligned ZnO nanowire array ultraviolet photodetector with high responsivity

    NASA Astrophysics Data System (ADS)

    Yin, Lei; Ding, Hesheng; Yuan, Zhaolin; Huang, Wendeng; Shuai, Chunjiang; Xiong, Zhaoxin; Deng, Jianping; Lv, Tengbo

    2018-06-01

    Well-aligned zinc oxide (ZnO) nanowire arrays were grown on an interdigital patterned fluorine tin oxide (FTO)-coated glass substrate by a facile chemical bath deposition at low temperature. Morphology, crystalline structure, and optical properties of the ZnO nanowire arrays were analyzed in detail. The results revealed that the ZnO nanowires had wurtzite structure, typically ∼40-60 nm in diameter, and ∼700-800 nm in length, a great number of highly uniform and dense nanowires grew vertically on the substrate to form the well-aligned ZnO nanowire arrays, which had very high optical transmission (>86%) in the visible light region. In addition, the performance of ZnO nanowire arrays ultraviolet (UV) photodetector was systematically examined. The photosensitivity (S), responsivity (R), response and decay time of the photodetector were 703 at +0.2 V, 113 A/W at +5 V, 23 s and 73 s respectively. Also, the photoresponse mechanism of the UV photodetector was illuminated in terms of the oxygen adsorption-photodesorption process.

  4. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  5. Direct monolithic integration of vertical single crystalline octahedral molecular sieve nanowires on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrian; Oro-Sole, Judith; Gazquez, Jaume

    2013-12-13

    We developed an original strategy to produce vertical epitaxial single crystalline manganese oxide octahedral molecular sieve (OMS) nanowires with tunable pore sizes and compositions on silicon substrates by using a chemical solution deposition approach. The nanowire growth mechanism involves the use of track-etched nanoporous polymer templates combined with the controlled growth of quartz thin films at the silicon surface, which allowed OMS nanowires to stabilize and crystallize. α-quartz thin films were obtained after thermal activated crystallization of the native amorphous silica surface layer assisted by Sr 2+- or Ba 2+-mediated heterogeneous catalysis in the air at 800 °C. These α-quartzmore » thin films work as a selective template for the epitaxial growth of randomly oriented vertical OMS nanowires. Furthermore, the combination of soft chemistry and epitaxial growth opens new opportunities for the effective integration of novel technological functional tunneled complex oxides nanomaterials on Si substrates.« less

  6. Silicon nanowires: where mechanics and optics meet at the nanoscale.

    PubMed

    Ramos, Daniel; Gil-Santos, Eduardo; Malvar, Oscar; Llorens, Jose M; Pini, Valerio; San Paulo, Alvaro; Calleja, Montserrat; Tamayo, Javier

    2013-12-06

    Mechanical transducers based on nanowires promise revolutionary advances in biological sensing and force microscopy/spectroscopy. A crucial step is the development of simple and non-invasive techniques able to detect displacements with subpicometer sensitivity per unit bandwidth. Here, we design suspended tapered silicon nanowires supporting a range of optical resonances that confine and efficiently scatter light in the visible range. Then, we develop an optical method for efficiently coupling the evanescent field to the regular interference pattern generated by an incoming laser beam and the reflected beam from the substrate underneath the nanowire. This optomechanical coupling is here applied to measure the displacement of 50 nm wide nanowires with sensitivity on the verge of 1 fm/Hz(1/2) at room temperature with a simple laser interferometry set-up. This method opens the door to the measurement of the Brownian motion of ultrashort nanowires for the detection of single biomolecular recognition events in liquids, and single molecule spectroscopy in vacuum.

  7. Highly conductive indium nanowires deposited on silicon by dip-pen nanolithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, Anton; Volodin, Vladimir; Novosibirsk State University, Novosibirsk 630090

    2015-04-14

    In this paper, we developed a new dip-pen nanolithography (DPN) method. Using this method, we fabricated conductive nanowires with diameters of 30–50 nm on silicon substrates. To accomplish this, indium was transferred from an atomic force microscopy tip to the surface by applying a potential difference between the tip and substrate. The fabricated indium nanowires were several micrometers in length. Unlike thermal DPN, our DPN method hardly oxidized the indium, producing nanowires with conductivities from 5.7 × 10{sup −3} to 4 × 10{sup −2} Ω cm.

  8. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  9. Photoelectrochemical modulation of neuronal activity with free-standing coaxial silicon nanowires

    NASA Astrophysics Data System (ADS)

    Parameswaran, Ramya; Carvalho-de-Souza, João L.; Jiang, Yuanwen; Burke, Michael J.; Zimmerman, John F.; Koehler, Kelliann; Phillips, Andrew W.; Yi, Jaeseok; Adams, Erin J.; Bezanilla, Francisco; Tian, Bozhi

    2018-02-01

    Optical methods for modulating cellular behaviour are promising for both fundamental and clinical applications. However, most available methods are either mechanically invasive, require genetic manipulation of target cells or cannot provide subcellular specificity. Here, we address all these issues by showing optical neuromodulation with free-standing coaxial p-type/intrinsic/n-type silicon nanowires. We reveal the presence of atomic gold on the nanowire surfaces, likely due to gold diffusion during the material growth. To evaluate how surface gold impacts the photoelectrochemical properties of single nanowires, we used modified quartz pipettes from a patch clamp and recorded sustained cathodic photocurrents from single nanowires. We show that these currents can elicit action potentials in primary rat dorsal root ganglion neurons through a primarily atomic gold-enhanced photoelectrochemical process.

  10. Structural properties and magic structures in hydrogenated finite and infinite silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zdetsis, A. D.; Koukaras, E. N.; Garoufalis, C. S.

    2007-11-01

    Unusual effects such as bending and "canting," related with the stability, have been identified by ab initio real-space calculations for hydrogenated silicon nanowires. We have examined in detail the electronic and structural properties of finite and infinite nanowires as a function of length (and width) and have developed stability and bending rules, demonstrating that "magic" wires do not bend. Reconstructed 2×1 nanowires are practically as stable as the magic ones. Our calculations are in good agreement with the experimental data of Ma et al. [Science 299, 1874 (2003).].

  11. Dissipative quantum transport in silicon nanowires based on Wigner transport equation

    NASA Astrophysics Data System (ADS)

    Barraud, Sylvain

    2011-11-01

    In this work, we present a one-dimensional model of quantum electron transport for silicon nanowire transistor that makes use of the Wigner function formalism and that takes into account the carrier scattering. Effect of scattering on the current-voltage (I-V) characteristics is assessed using both the relaxation time approximation and the Boltzmann collision operator. Similarly to the classical transport theory, the scattering mechanisms are included in the Wigner formulation through the addition of a collision term in the Liouville equation. As compared to the relaxation time, the Boltzmann collision operator approach is considered to be more realistic because it provides a better description of the scattering events. Within the Fermi golden rule approximation, the standard collision term is described for both acoustic phonon and surface-roughness interactions. It is introduced in the discretized version of the Liouville equation to obtain the Wigner distribution function and the current density. The model is then applied to study the impact of each scattering mechanism on short-channel electrical performance of silicon nanowire transistors for different gate lengths and nanowire widths.

  12. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  13. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors.

    PubMed

    Yoon, Jun-Sik; Kim, Kihyun; Baek, Chang-Ki

    2017-01-23

    We propose three-terminal core-shell (CS) silicon vertical nanowire tunneling field-effect transistors (TFETs), which can be fabricated by conventional CMOS technology. CS TFETs show lower subthreshold swing (SS) and higher on-state current than conventional TFETs through their high surface-to-volume ratio, which increases carrier-tunneling region with no additional device area. The on-state current can be enhanced by increasing the nanowire height, decreasing equivalent oxide thickness (EOT) or creating a nanowire array. The off-state current is also manageable for power saving through selective epitaxial growth at the top-side nanowire region. CS TFETs with an EOT of 0.8 nm and an aspect ratio of 20 for the core nanowire region provide the largest drain current ranges with point SS values below 60 mV/dec and superior on/off current ratio under all operation voltages of 0.5, 0.7, and 1.0 V. These devices are promising for low-power applications at low fabrication cost and high device density.

  14. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  15. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  16. Fabrication and gas sensing properties of vertically aligned Si nanowires

    NASA Astrophysics Data System (ADS)

    Mirzaei, Ali; Kang, Sung Yong; Choi, Sun-Woo; Kwon, Yong Jung; Choi, Myung Sik; Bang, Jae Hoon; Kim, Sang Sub; Kim, Hyoun Woo

    2018-01-01

    In this study, a peculiar configuration for a gas sensor consisting of vertically aligned silicon nanowires (VA-Si NWs) synthesized by metal-assisted chemical etching (MACE) is reported. Si NWs were prepared via a facile MACE method and subsequent thermal annealing. Etching was performed by generation of silver nanoparticles (Ag NPs) and subsequent etching in HF/H2O2 aqueous solution; the growth conditions were optimized by changing the process parameters. Highly vertically oriented arrays of Si NWs with a straight-line morphology were obtained, and a top-top electrode configuration was applied. The VA-Si NW gas sensor showed good sensing performance, and the VA-Si NWs exhibited a remarkable response (Rg/Ra = 11.5 ∼ 17.1) to H2 gas (10-50 ppm) at 100 °C which was the optimal working temperature. The formation mechanism and gas sensing mechanism of VA-Si NWs are described. The obtained results can suggest new approaches to making inexpensive, versatile, and portable sensors based on Si NWs having a novel top-top electrode structure that are fully compatible with well-developed Si technologies.

  17. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  18. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  19. Silicon on insulator self-aligned transistors

    DOEpatents

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  20. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  1. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    NASA Astrophysics Data System (ADS)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  2. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  3. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  4. Directed growth of horizontally aligned gallium nitride nanowires for nanoelectromechanical resonator arrays.

    PubMed

    Henry, Tania; Kim, Kyungkon; Ren, Zaiyuan; Yerino, Christopher; Han, Jung; Tang, Hong X

    2007-11-01

    We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.

  5. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  6. Microstructures of the silicon carbide nanowires obtained by annealing the mechanically-alloyed amorphous powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Pengfei, E-mail: zhangpengfei1984@163.com; Li, Xinli

    2015-07-15

    Silicon, graphite and boron nitride powders were mechanically alloyed for 40 h in argon. The as-milled powders were annealed at 1700 °C in nitrogen for 30 min. The annealed powders are covered by a thick layer of gray–green SiC nanowires, which are 300 nm to 1000 nm in diameter and several hundred microns in length. Trace iron in the raw powders acts as a catalyst, promoting the V–L–S process. It follows that the actual substances contributing to the growth of the SiC nanowires may be silicon, graphite and the metal impurities in the raw powders. The results from HRTEM andmore » XRD reveal that the products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. It is interestingly found that 6H–SiC coexists with 3C–SiC in one nodular nanowire. This novel structure may introduce periodic potential field along the longitudinal direction of the nanowires, and may find applications in the highly integrated optoelectronic devices. - Graphical abstract: Display Omitted - Highlights: • SiC nanowires were prepared by annealing the mechanically alloyed amorphous powders. • SiC nanowires are 300 nm to 1000 nm in diameter and several hundred microns in length. • The products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. • Trace Fe in the raw powders acts as a catalyst, promoting the V–L–S process. • 6H–SiC coexists with 3C–SiC in one nodular SiC nanowire.« less

  7. Air-bridged Ohmic contact on vertically aligned si nanowire arrays: application to molecule sensors.

    PubMed

    Han, Hee; Kim, Jungkil; Shin, Ho Sun; Song, Jae Yong; Lee, Woo

    2012-05-02

    A simple, cost-effective, and highly reliable method for constructing an air-bridged electrical contact on large arrays of vertically aligned nanowires was developed. The present method may open up new opportunities for developing advanced nanowire-based devices for energy harvest and storage, power generation, and sensing applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Scalable Direct Writing of Lanthanide-Doped KMnF3 Perovskite Nanowires into Aligned Arrays with Polarized Up-Conversion Emission.

    PubMed

    Shi, Shuo; Sun, Ling-Dong; Xue, Ying-Xian; Dong, Hao; Wu, Ke; Guo, Shi-Chen; Wu, Bo-Tao; Yan, Chun-Hua

    2018-05-09

    The use of one-dimensional nano- and microstructured semiconductor and lanthanide materials is attractive for polarized-light-emission studies. Up-conversion emission from single-nanorod or anisotropic nanoparticles with a degree of polarization has also been discussed. However, microscale arrays of nanoparticles, especially well-aligned one-dimensional nanostructures as well as their up-conversion polarization characterization, have not been investigated yet. Herein, we present a novel and facile paradigm for preparing highly aligned arrays of lanthanide-doped KMnF 3 (KMnF 3 :Ln) perovskite nanowires, which are good candidates for polarized up-conversion emission studies. These perovskite nanowires, with a width of 10 nm and length of a few micrometers, are formed through the oriented attachment of KMnF 3 :Ln nanocubes along the [001] direction. By the employment of KMnF 3 :Ln nanowire gel as nanoink, a direct-writing method is developed to obtain diverse types of aligned patterns from the nanoscale to the wafer scale. Up-conversion emissions from the highly aligned nanowire arrays are polarized along the array direction with a polarization degree up to 60%. Taking advantage of microscopic nanowire arrays, these polarized up-conversion emissions should offer potential applications in light or information transportation.

  9. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    NASA Technical Reports Server (NTRS)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  10. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  11. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  12. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  13. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    PubMed

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  14. Fabrication of nanowire channels with unidirectional alignment and controlled length by a simple, gas-blowing-assisted, selective-transfer-printing technique.

    PubMed

    Kim, Yong-Kwan; Kang, Pil Soo; Kim, Dae-Il; Shin, Gunchul; Kim, Gyu Tae; Ha, Jeong Sook

    2009-03-01

    A printing-based lithographic technique for the patterning of V(2)O(5) nanowire channels with unidirectional orientation and controlled length is introduced. The simple, directional blowing of a patterned polymer stamp with N(2) gas, inked with randomly distributed V(2)O(5) nanowires, induces alignment of the nanowires perpendicular to the long axis of the line patterns. Subsequent stamping on the amine-terminated surface results in the selective transfer of the aligned nanowires with a controlled length corresponding to the width of the relief region of the polymer stamp. By employing such a gas-blowing-assisted, selective-transfer-printing technique, two kinds of device structures consisting of nanowire channels and two metal electrodes with top contact, whereby the nanowires were aligned either parallel (parallel device) or perpendicular (serial device) to the current flow in the conduction channel, are fabricated. The electrical properties demonstrate a noticeable difference between the two devices, with a large hysteresis in the parallel device but none in the serial device. Systematic analysis of the hysteresis and the electrical stability account for the observed hysteresis in terms of the proton diffusion in the water layer of the V(2)O(5) nanowires, induced by the application of an external bias voltage higher than a certain threshold voltage.

  15. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    NASA Astrophysics Data System (ADS)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  16. Superamphiphobic Silicon-Nanowire-Embedded Microsystem and In-Contact Flow Performance of Gas and Liquid Streams.

    PubMed

    Ko, Dong-Hyeon; Ren, Wurong; Kim, Jin-Oh; Wang, Jun; Wang, Hao; Sharma, Siddharth; Faustini, Marco; Kim, Dong-Pyo

    2016-01-26

    Gas and liquid streams are invariably separated either by a solid wall or by a membrane for heat or mass transfer between the gas and liquid streams. Without the separating wall, the gas phase is present as bubbles in liquid or, in a microsystem, as gas plugs between slugs of liquid. Continuous and direct contact between the two moving streams of gas and liquid is quite an efficient way of achieving heat or mass transfer between the two phases. Here, we report a silicon nanowire built-in microsystem in which a liquid stream flows in contact with an underlying gas stream. The upper liquid stream does not penetrate into the lower gas stream due to the superamphiphobic nature of the silicon nanowires built into the bottom wall, thereby preserving the integrity of continuous gas and liquid streams, although they are flowing in contact. Due to the superamphiphobic nature of silicon nanowires, the microsystem provides the best possible interfacial mass transfer known to date between flowing gas and liquid phases, which can achieve excellent chemical performance in two-phase organic syntheses.

  17. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  18. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    NASA Astrophysics Data System (ADS)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  19. Ultra-fast microwave-assisted hydrothermal synthesis of long vertically aligned ZnO nanowires for dye-sensitized solar cell application.

    PubMed

    Mahpeykar, S M; Koohsorkhi, J; Ghafoori-Fard, H

    2012-04-27

    Long vertically aligned ZnO nanowire arrays were synthesized using an ultra-fast microwave-assisted hydrothermal process. Using this method, we were able to grow ZnO nanowire arrays at an average growth rate as high as 200 nm min(-1) for maximum microwave power level. This method does not suffer from the growth stoppage problem at long growth times that, according to our investigations, a normal microwave-assisted hydrothermal method suffers from. Longitudinal growth of the nanowire arrays was investigated as a function of microwave power level and growth time using cross-sectional FESEM images of the grown arrays. Effect of seed layer on the alignment of nanowires was also studied. X-ray diffraction analysis confirmed c-axis orientation and single-phase wurtzite structure of the nanowires. J-V curves of the fabricated ZnO nanowire-based mercurochrome-sensitized solar cells indicated that the short-circuit current density is increased with increasing the length of the nanowire array. According to the UV-vis spectra of the dyes detached from the cells, these increments were mainly attributed to the enlarged internal surface area and therefore dye loading enhancement in the lengthened nanowire arrays.

  20. Silicon nanowire field-effect transistors for the detection of proteins

    NASA Astrophysics Data System (ADS)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  1. Optically efficient InAsSb nanowires for silicon-based mid-wavelength infrared optoelectronics.

    PubMed

    Zhuang, Q D; Alradhi, H; Jin, Z M; Chen, X R; Shao, J; Chen, X; Sanchez, Ana M; Cao, Y C; Liu, J Y; Yates, P; Durose, K; Jin, C J

    2017-03-10

    InAsSb nanowires (NWs) with a high Sb content have potential in the fabrication of advanced silicon-based optoelectronics such as infrared photondetectors/emitters and highly sensitive phototransistors, as well as in the generation of renewable electricity. However, producing optically efficient InAsSb NWs with a high Sb content remains a challenge, and optical emission is limited to 4.0 μm due to the quality of the nanowires. Here, we report, for the first time, the success of high-quality and optically efficient InAsSb NWs enabling silicon-based optoelectronics operating in entirely mid-wavelength infrared. Pure zinc-blende InAsSb NWs were realized with efficient photoluminescence emission. We obtained room-temperature photoluminescence emission in InAs NWs and successfully extended the emission wavelength in InAsSb NWs to 5.1 μm. The realization of this optically efficient InAsSb NW material paves the way to realizing next-generation devices, combining advances in III-V semiconductors and silicon.

  2. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  3. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  4. Critical Role of Diels-Adler Adducts to Realise Stretchable Transparent Electrodes Based on Silver Nanowires and Silicone Elastomer

    NASA Astrophysics Data System (ADS)

    Heo, Gaeun; Pyo, Kyoung-Hee; Lee, Da Hee; Kim, Youngmin; Kim, Jong-Woong

    2016-05-01

    This paper presents the successful fabrication of a transparent electrode comprising a sandwich structure of silicone/Ag nanowires (AgNWs)/silicone equipped with Diels-Alder (DA) adducts as crosslinkers to realise highly stable stretchability. Because of the reversible DA reaction, the crosslinked silicone successfully bonds with the silicone overcoat, which should completely seal the electrode. Thus, any surrounding liquid cannot leak through the interfaces among the constituents. Furthermore, the nanowires are protected by the silicone cover when they are stressed by mechanical loads such as bending, folding, and stretching. After delicate optimisation of the layered silicone/AgNW/silicone sandwich structure, a stretchable transparent electrode which can withstand 1000 cycles of 50% stretching-releasing with an exceptionally high stability and reversibility was fabricated. This structure can be used as a transparent strain sensor; it possesses a strong piezoresistivity with a gauge factor greater than 11.

  5. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  6. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  7. Monolithically Integrated High-β Nanowire Lasers on Silicon.

    PubMed

    Mayer, B; Janker, L; Loitsch, B; Treu, J; Kostenbader, T; Lichtmannecker, S; Reichert, T; Morkötter, S; Kaniber, M; Abstreiter, G; Gies, C; Koblmüller, G; Finley, J J

    2016-01-13

    Reliable technologies for the monolithic integration of lasers onto silicon represent the holy grail for chip-level optical interconnects. In this context, nanowires (NWs) fabricated using III-V semiconductors are of strong interest since they can be grown site-selectively on silicon using conventional epitaxial approaches. Their unique one-dimensional structure and high refractive index naturally facilitate low loss optical waveguiding and optical recirculation in the active NW-core region. However, lasing from NWs on silicon has not been achieved to date, due to the poor modal reflectivity at the NW-silicon interface. We demonstrate how, by inserting a tailored dielectric interlayer at the NW-Si interface, low-threshold single mode lasing can be achieved in vertical-cavity GaAs-AlGaAs core-shell NW lasers on silicon as measured at low temperature. By exploring the output characteristics along a detection direction parallel to the NW-axis, we measure very high spontaneous emission factors comparable to nanocavity lasers (β = 0.2) and achieve ultralow threshold pump energies ≤11 pJ/pulse. Analysis of the input-output characteristics of the NW lasers and the power dependence of the lasing emission line width demonstrate the potential for high pulsation rates ≥250 GHz. Such highly efficient nanolasers grown monolithically on silicon are highly promising for the realization of chip-level optical interconnects.

  8. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk; Krali, Emiljana

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions,more » in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.« less

  9. High-Quality In-Plane Aligned CsPbX3 Perovskite Nanowire Lasers with Composition-Dependent Strong Exciton-Photon Coupling.

    PubMed

    Wang, Xiaoxia; Shoaib, Muhammad; Wang, Xiao; Zhang, Xuehong; He, Mai; Luo, Ziyu; Zheng, Weihao; Li, Honglai; Yang, Tiefeng; Zhu, Xiaoli; Ma, Libo; Pan, Anlian

    2018-06-14

    Cesium lead halide perovskite nanowires have emerged as promising low-dimensional semiconductor structures for integrated photonic applications. Understanding light-matter interactions in a nanowire cavity is of both fundamental and practical interest in designing low-power-consumption nanoscale light sources. In this work, high-quality in-plane aligned halide perovskite CsPbX 3 (X = Cl, Br, I) nanowires are synthesized by a vapor growth method on an annealed M-plane sapphire substrate. Large-area nanowire laser arrays have been achieved based on the as-grown aligned CsPbX 3 nanowires at room temperature with quite low pumping thresholds, very high quality factors, and a high degree of linear polarization. More importantly, it is found that exciton-polaritons are formed in the nanowires under the excitation of a pulsed laser, indicating a strong exciton-photon coupling in the optical microcavities made of cesium lead halide perovskites. The coupling strength in these CsPbX 3 nanowires is dependent on the atomic composition, where the obtained room-temperature Rabi splitting energy is ∼210 ± 13, 146 ± 9, and 103 ± 5 meV for the CsPbCl 3 , CsPbBr 3 , and CsPbI 3 nanowires, respectively. This work provides fundamental insights for the practical applications of all-inorganic perovskite CsPbX 3 nanowires in designing light-emitting devices and integrated nanophotonic systems.

  10. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Geyer, Nadine; Wollschläger, Nicole; Fuhrmann, Bodo; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Jungmann, Marco; Krause-Rehberg, Reinhard; Leipner, Hartmut S.

    2015-06-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H2O2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology.

  11. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  12. Multiscale Study of Plasmonic Scattering and Light Trapping Effect in Silicon Nanowire Array Solar Cells.

    PubMed

    Meng, Lingyi; Zhang, Yu; Yam, ChiYung

    2017-02-02

    Nanometallic structures that support surface plasmons provide new ways to confine light at deep-subwavelength scales. The effect of light scattering in nanowire array solar cells is studied by a multiscale approach combining classical electromagnetic (EM) and quantum mechanical simulations. A photovoltaic device is constructed by integrating a silicon nanowire array with a plasmonic silver nanosphere. The light scatterings by plasmonic element and nanowire array are obtained via classical EM simulations, while current-voltage characteristics and optical properties of the nanowire cells are evaluated quantum mechanically. We found that the power conversion efficiency (PCE) of photovoltaic device is substantially improved due to the local field enhancement of the plasmonic effect and light trapping by the nanowire array. In addition, we showed that there exists an optimal nanowire number density in terms of optical confinement and solar cell PCE.

  13. Laser-induced Greenish-Blue Photoluminescence of Mesoporous Silicon Nanowires

    PubMed Central

    Choi, Yan-Ru; Zheng, Minrui; Bai, Fan; Liu, Junjun; Tok, Eng-Soon; Huang, Zhifeng; Sow, Chorng-Haur

    2014-01-01

    Solid silicon nanowires and their luminescent properties have been widely studied, but lesser is known about the optical properties of mesoporous silicon nanowires (mp-SiNWs). In this work, we present a facile method to generate greenish-blue photoluminescence (GB-PL) by fast scanning a focused green laser beam (wavelength of 532 nm) on a close-packed array of mp-SiNWs to carry out photo-induced chemical modification. The threshold of laser power is 5 mW to excite the GB-PL, whose intensity increases with laser power in the range of 5–105 mW. The quenching of GB-PL comes to occur beyond 105 mW. The in-vacuum annealing effectively excites the GB-PL in the pristine mp-SiNWs and enhances the GB-PL of the laser-modified mp-SiNWs. A complex model of the laser-induced surface modification is proposed to account for the laser-power and post-annealing effect. Moreover, the fast scanning of focused laser beam enables us to locally tailor mp-SiNWs en route to a wide variety of micropatterns with different optical functionality, and we demonstrate the feasibility in the application of creating hidden images. PMID:24820533

  14. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  15. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  16. Fabrication of cross-shaped Cu-nanowire resistive memory devices using a rapid, scalable, and designable inorganic-nanowire-digital-alignment technique (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Xu, Wentao; Lee, Yeongjun; Min, Sung-Yong; Park, Cheolmin; Lee, Tae-Woo

    2016-09-01

    Resistive random-access memory (RRAM) is a candidate next generation nonvolatile memory due to its high access speed, high density and ease of fabrication. Especially, cross-point-access allows cross-bar arrays that lead to high-density cells in a two-dimensional planar structure. Use of such designs could be compatible with the aggressive scaling down of memory devices, but existing methods such as optical or e-beam lithographic approaches are too complicated. One-dimensional inorganic nanowires (i-NWs) are regarded as ideal components of nanoelectronics to circumvent the limitations of conventional lithographic approaches. However, post-growth alignment of these i-NWs precisely on a large area with individual control is still a difficult challenge. Here, we report a simple, inexpensive, and rapid method to fabricate two-dimensional arrays of perpendicularly-aligned, individually-conductive Cu-NWs with a nanometer-scale CuxO layer sandwiched at each cross point, by using an inorganic-nanowire-digital-alignment technique (INDAT) and a one-step reduction process. In this approach, the oxide layer is self-formed and patterned, so conventional deposition and lithography are not necessary. INDAT eliminates the difficulties of alignment and scalable fabrication that are encountered when using currently-available techniques that use inorganic nanowires. This simple process facilitates fabrication of cross-point nonvolatile memristor arrays. Fabricated arrays had reproducible resistive switching behavior, high on/off current ratio (Ion/Ioff) 10 6 and extensive cycling endurance. This is the first report of memristors with the resistive switching oxide layer self-formed, self-patterned and self-positioned; we envision that the new features of the technique will provide great opportunities for future nano-electronic circuits.

  17. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  18. EFFECT OF PRE-ANNEALING TEMPERATURE ON THE GROWTH OF ALIGNED α-Fe2O3 NANOWIRES VIA A TWO-STEP THERMAL OXIDATION

    NASA Astrophysics Data System (ADS)

    Rashid, Norhana Mohamed; Kishi, Naoki; Soga, Tetsuo

    2016-03-01

    Pre-annealing as part of a two-step thermal oxidation process has a significant effect on the growth of hematite (α-Fe2O3) nanowires on Fe foil. High-density aligned nanowires were obtained on iron foils pre-annealed at 300∘C under a dry air flow for 30min. The X-ray diffraction (XRD) patterns indicate that the nanowires are transformed from the small α-Fe2O3 grains and uniquely grow in the (110) direction. The formation of a high-density of small grains by pre-annealing improved the alignment and density of the α-Fe2O3 nanowires.

  19. Effect of confinement on anharmonic phonon scattering and thermal conductivity in pristine silicon nanowires

    NASA Astrophysics Data System (ADS)

    Rashid, Zahid; Zhu, Liyan; Li, Wu

    2018-02-01

    The effect of confinement on the anharmonic phonon scattering rates and the consequences thereof on the thermal transport properties in ultrathin silicon nanowires with a diameter of 1-4 nm have been characterized using atomistic simulations and the phonon Boltzmann transport equation. The phonon density of states (PDOS) for ultrathin nanowires approaches a constant value in the vicinity of the Γ point and increases with decreasing diameter, which indicates the increasing importance of the low-frequency phonons as heat carriers. The anharmonic phonon scattering becomes dramatically enhanced with decreasing thickness of the nanowires. In the thinnest nanowire, the scattering rates for phonons above 1 THz are one order of magnitude higher than those in the bulk Si. Below 1 THz, the increase in scattering rates is even much more appreciable. Our numerical calculations revealed that the scattering rates for transverse (longitudinal) acoustic modes follow √{ω } (1 /√{ω } ) dependence at the low-frequency limit, whereas those for the degenerate flexural modes asymptotically approach a constant value. In addition, the group velocities of phonons are reduced compared with bulk Si except for low-frequency phonons (<1 -2 THz depending on the thickness of the nanowires). The increased scattering rates combined with reduced group velocities lead to a severely reduced thermal conductivity contribution from the high-frequency phonons. Although the thermal conductivity contributed by those phonons with low frequencies is instead increased mainly due to the increased PDOS, the total thermal conductivity is still reduced compared to that of the bulk. This work reveals an unexplored mechanism to understand the measured ultralow thermal conductivity of silicon nanowires.

  20. Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography

    PubMed Central

    Yusoh, Siti Noorhaniah

    2016-01-01

    Summary The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications. PMID:27826521

  1. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    PubMed

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  2. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  3. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  4. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  5. APPLIED OPTICS. Voltage-tunable circular photogalvanic effect in silicon nanowires.

    PubMed

    Dhara, Sajal; Mele, Eugene J; Agarwal, Ritesh

    2015-08-14

    Electronic bands in crystals can support nontrivial topological textures arising from spin-orbit interactions, but purely orbital mechanisms can realize closely related dynamics without breaking spin degeneracies, opening up applications in materials containing only light elements. One such application is the circular photogalvanic effect (CPGE), which is the generation of photocurrents whose magnitude and polarity depend on the chirality of optical excitation. We show that the CPGE can arise from interband transitions at the metal contacts to silicon nanowires, where inversion symmetry is locally broken by an electric field. Bias voltage that modulates this field further controls the sign and magnitude of the CPGE. The generation of chirality-dependent photocurrents in silicon with a purely orbital-based mechanism will enable new functionalities in silicon that can be integrated with conventional electronics. Copyright © 2015, American Association for the Advancement of Science.

  6. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    NASA Astrophysics Data System (ADS)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  7. Fabrication of CoFe2O4 ferrite nanowire arrays in porous silicon template and their local magnetic properties

    NASA Astrophysics Data System (ADS)

    Hui, Zheng; Man-Gui, Han; Long-Jiang, Deng

    2016-02-01

    CoFe2O4 ferrite nanowire arrays are fabricated in porous silicon templates. The porous silicon templates are prepared via metal-assisted chemical etching with gold (Au) nanoparticles as the catalyst. Subsequently, CoFe2O4 ferrite nanowires are successfully synthesized into porous silicon templates by the sol-gel method. The magnetic hysteresis loop of nanowire array shows an isotropic feature of magnetic properties. The coercivity and squareness ratio (Mr/Ms) of ensemble nanowires are found to be 630 Oe (1 Oe, = 79.5775 A·m-1 and 0.4 respectively. However, the first-order reversal curve (FORC) is adopted to reveal the probability density function of local magnetostatic properties (i.e., interwire interaction field and coercivity). The FORC diagram shows an obvious distribution feature for interaction field and coercivity. The local coercivity with a value of about 1000 Oe is found to have the highest probability. Project supported by the National Natural Science Foundation of China (Grant No. 61271039), the Scientific Projects of Sichuan Province, China (Grant No. 2015HH0016), and the Natural Science Foundations of Zhejiang Province, China (Grant Nos. LQ12E02001 and Y107255).

  8. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    PubMed

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  9. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  10. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  11. Dynamical formation of spatially localized arrays of aligned nanowires in plastic films with magnetic anisotropy.

    PubMed

    Fragouli, Despina; Buonsanti, Raffaella; Bertoni, Giovanni; Sangregorio, Claudio; Innocenti, Claudia; Falqui, Andrea; Gatteschi, Dante; Cozzoli, Pantaleo Davide; Athanassiou, Athanassia; Cingolani, Roberto

    2010-04-27

    We present a simple technique for magnetic-field-induced formation, assembling, and positioning of magnetic nanowires in a polymer film. Starting from a polymer/iron oxide nanoparticle casted solution that is allowed to dry along with the application of a weak magnetic field, nanocomposite films incorporating aligned nanocrystal-built nanowire arrays are obtained. The control of the dimensions of the nanowires and of their localization across the polymer matrix is achieved by varying the duration of the applied magnetic field, in combination with the evaporation dynamics. These multifunctional anisotropic free-standing nanocomposite films, which demonstrate high magnetic anisotropy, can be used in a wide field of technological applications, ranging from sensors to microfluidics and magnetic devices.

  12. Study of Ag induced bimetallic (Au-Ag) nanowires on silicon (5 5 12) surfaces: Experiment and theoretical aspects

    NASA Astrophysics Data System (ADS)

    Bhukta, Anjan; Bagarti, Trilochan; Guha, Puspendu; Ravulapalli, Sathyavathi; Satpati, Biswarup; Rakshit, Bipul; Maiti, Paramita; Parlapalli, Venkata Satyam

    2017-10-01

    The reconstructed vicinal (high index) silicon surfaces, such as, Si (5 5 12) composes row-like structures that can be used as templates for growing aligned nanowires. By using a sub-monolayers of Ag, prior to Au deposition on reconstructed Si (5 512) surface, intermixing of Au and Ag, enhancement of aspect ratio of bimetallic Au-Ag nanowires with tunable morphology is reported. This is attributed to a combined effect of pre-grown Ag strips as nucleation centers for incoming Au ad-atoms and anisotropic Au-Ag intermixing. To achieve optimum conditions for the growth of larger aspect ratio Au-Ag nanostructures, the growth kinetics have been studied by varying growth and annealing temperatures. At ≈400 °C, the Ag diffused into silicon substrate and the inter-diffusion found to inhibit the formation of Au-Ag bimetallic nanostructures. Controlled experiments under ultra-high vacuum condition in a molecular beam epitaxy system and in-situ scanning tunneling microscopy measurements along with ex-situ scanning transmission and secondary electron microscopy measurements have been carried out to understand the bimetallic nanostructure growth. Kinetic Monte Carlo (KMC) simulations based on kinematics of ad-atoms on an anisotropic template with a solid on solid model in which the relative ratios of binding energies (that are obtained from the Density Functional Theory) have been used and the KMC simulations results agree with the experimental observations. Advantage of having bimetallic structures as effective substrates for Surface enhanced Raman spectroscopy application is demonstrated by detecting Rhodamine 6 G (R6G) molecule at the concentration of 10-7M.

  13. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  14. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    NASA Astrophysics Data System (ADS)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  15. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  16. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    NASA Astrophysics Data System (ADS)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  17. Fabrication of flexible and vertical silicon nanowire electronics.

    PubMed

    Weisse, Jeffrey M; Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2012-06-13

    Vertical silicon nanowire (SiNW) array devices directly connected on both sides to metallic contacts were fabricated on various non-Si-based substrates (e.g., glass, plastics, and metal foils) in order to fully exploit the nanomaterial properties for final applications. The devices were realized with uniform length Ag-assisted electroless etched SiNW arrays that were detached from their fabrication substrate, typically Si wafers, reattached to arbitrary substrates, and formed with metallic contacts on both sides of the NW array. Electrical characterization of the SiNW array devices exhibits good current-voltage characteristics consistent with the SiNW morphology.

  18. Fabrication of vertically aligned Pd nanowire array in AAO template by electrodeposition using neutral electrolyte.

    PubMed

    Taşaltın, Nevin; Oztürk, Sadullah; Kılınç, Necmettin; Yüzer, Hayrettin; Oztürk, Zaferziya

    2010-05-01

    A vertically aligned Pd nanowire array was successfully fabricated on an Au/Ti substrate using an anodic aluminum oxide (AAO) template by a direct voltage electrodeposition method at room temperature using diluted neutral electrolyte. The fabrication of Pd nanowires was controlled by analyzing the current-time transient during electrodeposition using potentiostat. The AAO template and the Pd nanowires were characterized by scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) methods and X-Ray diffraction (XRD). It was observed that the Pd nanowire array was standing freely on an Au-coated Ti substrate after removing the AAO template in a relatively large area of about 5 cm2, approximately 50 nm in diameter and 2.5 μm in length with a high aspect ratio. The nucleation rate and the number of atoms in the critical nucleus were determined from the analysis of current transients. Pd nuclei density was calculated as 3.55 × 108 cm-2. Usage of diluted neutral electrolyte enables slower growing of Pd nanowires owing to increase in the electrodeposition potential and thus obtained Pd nanowires have higher crystallinity with lower dislocations. In fact, this high crystallinity of Pd nanowires provides them positive effect for sensor performances especially.

  19. Fabrication of vertically aligned Pd nanowire array in AAO template by electrodeposition using neutral electrolyte

    PubMed Central

    2010-01-01

    A vertically aligned Pd nanowire array was successfully fabricated on an Au/Ti substrate using an anodic aluminum oxide (AAO) template by a direct voltage electrodeposition method at room temperature using diluted neutral electrolyte. The fabrication of Pd nanowires was controlled by analyzing the current–time transient during electrodeposition using potentiostat. The AAO template and the Pd nanowires were characterized by scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) methods and X-Ray diffraction (XRD). It was observed that the Pd nanowire array was standing freely on an Au-coated Ti substrate after removing the AAO template in a relatively large area of about 5 cm2, approximately 50 nm in diameter and 2.5 μm in length with a high aspect ratio. The nucleation rate and the number of atoms in the critical nucleus were determined from the analysis of current transients. Pd nuclei density was calculated as 3.55 × 108 cm−2. Usage of diluted neutral electrolyte enables slower growing of Pd nanowires owing to increase in the electrodeposition potential and thus obtained Pd nanowires have higher crystallinity with lower dislocations. In fact, this high crystallinity of Pd nanowires provides them positive effect for sensor performances especially. PMID:20596417

  20. Nonlinear Dynamics of Silicon Nanowire Resonator Considering Nonlocal Effect.

    PubMed

    Jin, Leisheng; Li, Lijie

    2017-12-01

    In this work, nonlinear dynamics of silicon nanowire resonator considering nonlocal effect has been investigated. For the first time, dynamical parameters (e.g., resonant frequency, Duffing coefficient, and the damping ratio) that directly influence the nonlinear dynamics of the nanostructure have been derived. Subsequently, by calculating their response with the varied nonlocal coefficient, it is unveiled that the nonlocal effect makes more obvious impacts at the starting range (from zero to a small value), while the impact of nonlocal effect becomes weaker when the nonlocal term reaches to a certain threshold value. Furthermore, to characterize the role played by nonlocal effect in exerting influence on nonlinear behaviors such as bifurcation and chaos (typical phenomena in nonlinear dynamics of nanoscale devices), we have calculated the Lyapunov exponents and bifurcation diagram with and without nonlocal effect, and results shows the nonlocal effect causes the most significant effect as the device is at resonance. This work advances the development of nanowire resonators that are working beyond linear regime.

  1. Self-assembly and hierarchical patterning of aligned organic nanowire arrays by solvent evaporation on substrates with patterned wettability.

    PubMed

    Bao, Rong-Rong; Zhang, Cheng-Yi; Zhang, Xiu-Juan; Ou, Xue-Mei; Lee, Chun-Sing; Jie, Jian-Sheng; Zhang, Xiao-Hong

    2013-06-26

    The controlled growth and alignment of one-dimensional organic nanostructures at well-defined locations considerably hinders the integration of nanostructures for electronic and optoelectronic applications. Here, we demonstrate a simple process to achieve the growth, alignment, and hierarchical patterning of organic nanowires on substrates with controlled patterns of surface wettability. The first-level pattern is confined by the substrate patterns of wettability. Organic nanostructures are preferentially grown on solvent wettable regions. The second-level pattern is the patterning of aligned organic nanowires deposited by controlling the shape and movement of the solution contact lines during evaporation on the wettable regions. This process is controlled by the cover-hat-controlled method or vertical evaportation method. Therefore, various new patterns of organic nanostructures can be obtained by combing these two levels of patterns. This simple method proves to be a general approach that can be applied to other organic nanostructure systems. Using the as-prepared patterned nanowire arrays, an optoelectronic device (photodetector) is easily fabricated. Hence, the proposed simple, large-scale, low-cost method of preparing patterns of highly ordered organic nanostructures has high potential applications in various electronic and optoelectronic devices.

  2. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  3. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cunning, Benjamin V.; Ahmed, Mohsin; Mishra, Neeraj; Ranjbar Kermany, Atieh; Wood, Barry; Iacopi, Francesca

    2014-08-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices.

  4. Dynamics of Charge Carriers in Silicon Nanowire Photoconductors Revealed by Photo Hall Effect Measurements.

    PubMed

    Chen, Kaixiang; Zhao, Xiaolong; Mesli, Abdelmadjid; He, Yongning; Dan, Yaping

    2018-04-24

    Photoconductors have extraordinarily high gain in quantum efficiency, but the origin of the gain has remained in dispute for decades. In this work, we employ photo Hall effect to reveal the gain mechanisms by probing the dynamics of photogenerated charge carriers in silicon nanowire photoconductors. The results reveal that a large number of photogenerated minority electrons are localized in the surface depletion region and surface trap states. The same number of excess hole counterparts is left in the nanowire conduction channel, resulting in the fact that excess holes outnumber the excess electrons in the nanowire conduction channel by orders of magnitude. The accumulation of the excess holes broadens the conduction channel by narrowing down the depletion region, which leads to the experimentally observed high photo gain.

  5. Conductance of kinked nanowires

    NASA Astrophysics Data System (ADS)

    Cook, B. G.; Varga, K.

    2011-01-01

    The conductance properties of kinked nanowires are studied by first-principles transport calculations within a recently developed complex potential framework. Using prototypical examples of monoatomic Au chains as well as small diameter single-crystalline silicon nanowires we show that transmission strongly depends on the kink geometry and one can tune the conductance properties by the kink angle and other geometrical factors. In the case of a silicon nanowire the presence of a kink drastically reduces the conductance.

  6. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  7. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  8. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  9. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  10. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  11. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor to Sensor Variations and Enhanced Sensing Characteristics.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Jagtiani, Ashish; Kratschmer, Ernst; Miao, Xin; Zhu, Yu; Mo, Renee; Sosa, Norma; Hamann, Hendrik F; Shahidi, Ghavam; Riel, Heike

    2018-06-22

    Silicon nanowire field effect transistor (FET) sensors have demonstrated their ability for rapid and label free detection of proteins, nucleotide sequences, and viruses at ultralow concentrations with the potential to be a transformative diagnostic technology. Their nanoscale size gives them their unique ultralow detection ability but also makes their fabrication challenging with large sensor to sensor variations, thus limiting their commercial applications. In this work, a combined approach of nanofabrication, device simulation, materials and electrical characterization is applied towards identifying and improving fabrication steps that induce sensor to sensor variations. An enhanced complementary metal-oxide-semiconductor (CMOS) compatible process for fabricating silicon nanowire FET sensors is demonstrated. Nanowire (30 nm width) FETs with aqueous solution as gates are shown to have the Nernst limit sub-threshold swing SS = 60 mV/decade with ~1.7% variations, whereas literature values for SS are ≥ 80 mV/decade with larger (>10 times) variations. Also, their threshold voltage variations are significantly (~3 times) reduced, compared to literature values. Furthermore, these improved FETs have significantly reduced drain current hysteresis (~0.6 mV) and enhanced on-current to off-current ratios (~10 6 ). These improvements resulted in nanowire FET sensors with lowest (~3%) reported sensor to sensor variations, compared to literature studies. Also, these improved nanowire sensors have the highest reported sensitivity and enhanced signal to noise ratio with the lowest reported defect density of 1x10 18 eV -1 cm -3 in comparison to literature data. In summary, this work brings the nanowire sensor technology a step closer to commercial products for early diagnosis and monitoring of diseases.

  12. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  14. Precise Placement of Metallic Nanowires on a Substrate by Localized Electric Fields and Inter-Nanowire Electrostatic Interaction

    PubMed Central

    2017-01-01

    Placing nanowires at the predetermined locations on a substrate represents one of the significant hurdles to be tackled for realization of heterogeneous nanowire systems. Here, we demonstrate spatially-controlled assembly of a single nanowire at the photolithographically recessed region at the electrode gap with high integration yield (~90%). Two popular routes, such as protruding electrode tips and recessed wells, for spatially-controlled nanowire alignment, are compared to investigate long-range dielectrophoretic nanowire attraction and short-range nanowire-nanowire electrostatic interaction for determining the final alignment of attracted nanowires. Furthermore, the post-assembly process has been developed and tested to make a robust electrical contact to the assembled nanowires, which removes any misaligned ones and connects the nanowires to the underlying electrodes of circuit. PMID:29048363

  15. Direct synthesis of vertically aligned ZnO nanowires on FTO substrates using a CVD method and the improvement of photovoltaic performance

    PubMed Central

    2012-01-01

    In this work, we report a direct synthesis of vertically aligned ZnO nanowires on fluorine-doped tin oxide-coated substrates using the chemical vapor deposition (CVD) method. ZnO nanowires with a length of more than 30 μm were synthesized, and dye-sensitized solar cells (DSSCs) based on the as-grown nanowires were fabricated, which showed improvement of the device performance compared to those fabricated using transferred ZnO nanowires. Dependence of the cell performance on nanowire length and annealing temperature was also examined. This synthesis method provided a straightforward, one-step CVD process to grow relatively long ZnO nanowires and avoided subsequent nanowire transfer process, which simplified DSSC fabrication and improved cell performance. PMID:22673046

  16. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  17. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  18. Growing InGaAs quasi-quantum wires inside semi-rhombic shaped planar InP nanowires on exact (001) silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Yu; Li, Qiang; Lau, Kei May, E-mail: eekmlau@ust.hk

    We report InGaAs quasi-quantum wires embedded in planar InP nanowires grown on (001) silicon emitting in the 1550 nm communication band. An array of highly ordered InP nanowire with semi-rhombic cross-section was obtained in pre-defined silicon V-grooves through selective-area hetero-epitaxy. The 8% lattice mismatch between InP and Si was accommodated by an ultra-thin stacking disordered InP/GaAs nucleation layer. X-ray diffraction and transmission electron microscope characterizations suggest excellent crystalline quality of the nanowires. By exploiting the morphological evolution of the InP and a self-limiting growth process in the V-grooves, we grew embedded InGaAs quantum-wells and quasi-quantum-wires with tunable shape and position. Roommore » temperature analysis reveals substantially improved photoluminescence in the quasi-quantum wires as compared to the quantum-well reference, due to the reduced intrusion defects and enhanced quantum confinement. These results show great promise for integration of III-V based long wavelength nanowire lasers on the well-established (001) Si platform.« less

  19. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  20. Seesaw-like polarized transmission behavior of silver nanowire arrays aligned by off-center spin-coating

    NASA Astrophysics Data System (ADS)

    Kang, Lu; Chen, Hui; Yang, Zhong-Jian; Yuan, Yongbo; Huang, Han; Yang, Bingchu; Gao, Yongli; Zhou, Conghua

    2018-05-01

    Straight silver nanowires were synthesized by accelerated oxidization and then aligned into ordered arrays by off-center spin-coating. Seesaw-like behavior was observed in the polarized transmission spectra of the arrays. With the increment of polarization angle (θP, defined as the angle between axis of nanowires and direction of electric field of light), transmission changed repeatedly with a period of 180°, but it moved to opposite directions between the two regions separated by supporting points locating at 494 nm. The behavior is ascribed to the competition between the extinction behaviors of the two modes of surface plasma polaritons on silver nanowires. One is the longitudinal mode which is excited by long wavelengths and tuned by function of cos2( θ p ) and the other is the transverse mode that is excited by short wavelengths and tuned by function of sin2( θ p ). Simulation was performed based on the finite-difference time domain method. The effect of the nanowire diameter and length (aspect ratio) on the position of the supporting point was studied. As nanowire width increased from 20 nm to 350 nm, the supporting point moved from 400 to 500 nm. While it changed slightly when the nanowire length increased from 3 μm to infinitely long (width fixed at 260 nm). In current study, the position of the supporting point is mainly determined by the nanowire width.

  1. Growth of high-aspect ratio horizontally-aligned ZnO nanowire arrays.

    PubMed

    Soman, Pranav; Darnell, Max; Feldman, Marc D; Chen, Shaochen

    2011-08-01

    A method of fabricating horizontally-aligned zinc-oxide (ZnO) nanowire (NW) arrays with full control over the width and length is demonstrated. SEM images reveal the hexagonal structure typical of zinc oxide NWs. Arrays of high-aspect ratio horizontal ZnO NWs are fabricated by making use of the lateral overgrowth from dot patterns created by electron beam lithography (EBL). An array of patterned wires are lifted off and transferred to a flexible PDMS substrate with possible applications in several key nanotechnology areas.

  2. Silicon Alignment Pins: An Easy Way to Realize a Wafer-to-Wafer Alignment

    NASA Technical Reports Server (NTRS)

    Jung-Kubiak, Cecile; Reck, Theodore J.; Lin, Robert H.; Peralta, Alejandro; Gill, John J.; Lee, Choonsup; Siles, Jose; Toda, Risaku; Chattopadhyay, Goutam; Cooper, Ken B.; hide

    2013-01-01

    Submillimeter heterodyne instruments play a critical role in addressing fundamental questions regarding the evolution of galaxies as well as being a crucial tool in planetary science. To make these instruments compatible with small platforms, especially for the study of the outer planets, or to enable the development of multi-pixel arrays, it is essential to reduce the mass, power, and volume of the existing single-pixel heterodyne receivers. Silicon micromachining technology is naturally suited for making these submillimeter and terahertz components, where precision and accuracy are essential. Waveguide and channel cavities are etched in a silicon bulk material using deep reactive ion etching (DRIE) techniques. Power amplifiers, multiplier and mixer chips are then integrated and the silicon pieces are stacked together to form a supercompact receiver front end. By using silicon micromachined packages for these components, instrument mass can be reduced and higher levels of integration can be achieved. A method is needed to assemble accurately these silicon pieces together, and a technique was developed here using etched pockets and silicon pins to align two wafers together.

  3. Room temperature-synthesized vertically aligned InSb nanowires: electrical transport and field emission characteristics

    PubMed Central

    2013-01-01

    Vertically aligned single-crystal InSb nanowires were synthesized via the electrochemical method at room temperature. The characteristics of Fourier transform infrared spectrum revealed that in the syntheses of InSb nanowires, energy bandgap shifts towards the short wavelength with the occurrence of an electron accumulation layer. The current–voltage curve, based on the metal–semiconductor–metal model, showed a high electron carrier concentration of 2.0 × 1017 cm−3 and a high electron mobility of 446.42 cm2 V−1 s−1. Additionally, the high carrier concentration of the InSb semiconductor with the surface accumulation layer induced a downward band bending effect that reduces the electron tunneling barrier. Consequently, the InSb nanowires exhibit significant field emission properties with an extremely low turn-on field of 1.84 V μm−1 and an estimative threshold field of 3.36 V μm−1. PMID:23399075

  4. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  5. Aligned carbon nanotube-silicon sheets: a novel nano-architecture for flexible lithium ion battery electrodes.

    PubMed

    Fu, Kun; Yildiz, Ozkan; Bhanushali, Hardik; Wang, Yongxin; Stano, Kelly; Xue, Leigang; Zhang, Xiangwu; Bradford, Philip D

    2013-09-25

    Aligned carbon nanotube sheets provide an engineered scaffold for the deposition of a silicon active material for lithium ion battery anodes. The sheets are low-density, allowing uniform deposition of silicon thin films while the alignment allows unconstrained volumetric expansion of the silicon, facilitating stable cycling performance. The flat sheet morphology is desirable for battery construction. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Heterocrystal and bicrystal structures of ZnS nanowires synthesized by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Jie, J. S.; Zhang, W. J.; Jiang, Y.; Meng, X. M.; Zapien, J. A.; Shao, M. W.; Lee, S. T.

    2006-06-01

    ZnS nanowires with heterocrystal and bicrystal structures were successfully synthesized using the DC-plasma chemical vapour deposition (CVD) method. The heterocrystalline ZnS nanowires have the zinc blende (ZB) and wurtzite (WZ) zones aligned alternately in the transverse direction but without an obvious period. The bicrystal ZnS nanowires are composed of two ZB fractions separated by a clear grain boundary along the length. Significantly, the grain boundaries in both the heterocrystal and bicrystal structures are atomically sharp without any visible lattice distortion. The effects of plasma species, ion bombardment, and silicon impurities in the formation of these distinctive structures are discussed. A defect-induced red-shift and broadening of the band-gap emission are revealed in photoluminescence (PL) and cathodoluminescence (CL) measurements.

  7. Effects of Defects on the Mechanical Properties of Kinked Silicon Nanowires.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; He, Yunbo; Wong, Ching-Ping

    2017-12-01

    Kinked silicon nanowires (KSiNWs) have many special properties that make them attractive for a number of applications. The mechanical properties of KSiNWs play important roles in the performance of sensors. In this work, the effects of defects on the mechanical properties of KSiNWs are studied using molecular dynamics simulations and indirectly validated by experiments. It is found that kinks are weak points in the nanowire (NW) because of inharmonious deformation, resulting in a smaller elastic modulus than that of straight NWs. In addition, surface defects have more significant effects on the mechanical properties of KSiNWs than internal defects. The effects of the width or the diameter of the defects are larger than those of the length of the defects. Overall, the elastic modulus of KSiNWs is not sensitive to defects; therefore, KSiNWs have a great potential as strain or stress sensors in special applications.

  8. Vertical silicon nanowires as a universal platform for delivering biomolecules into living cells

    PubMed Central

    Shalek, Alex K.; Robinson, Jacob T.; Karp, Ethan S.; Lee, Jin Seok; Ahn, Dae-Ro; Yoon, Myung-Han; Sutton, Amy; Jorgolli, Marsela; Gertner, Rona S.; Gujral, Taranjit S.; MacBeath, Gavin; Yang, Eun Gyeong; Park, Hongkun

    2010-01-01

    A generalized platform for introducing a diverse range of biomolecules into living cells in high-throughput could transform how complex cellular processes are probed and analyzed. Here, we demonstrate spatially localized, efficient, and universal delivery of biomolecules into immortalized and primary mammalian cells using surface-modified vertical silicon nanowires. The method relies on the ability of the silicon nanowires to penetrate a cell’s membrane and subsequently release surface-bound molecules directly into the cell’s cytosol, thus allowing highly efficient delivery of biomolecules without chemical modification or viral packaging. This modality enables one to assess the phenotypic consequences of introducing a broad range of biological effectors (DNAs, RNAs, peptides, proteins, and small molecules) into almost any cell type. We show that this platform can be used to guide neuronal progenitor growth with small molecules, knock down transcript levels by delivering siRNAs, inhibit apoptosis using peptides, and introduce targeted proteins to specific organelles. We further demonstrate codelivery of siRNAs and proteins on a single substrate in a microarray format, highlighting this technology’s potential as a robust, monolithic platform for high-throughput, miniaturized bioassays. PMID:20080678

  9. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  10. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  11. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  12. High-alignment-accuracy transfer printing of passive silicon waveguide structures.

    PubMed

    Ye, Nan; Muliuk, Grigorij; Trindade, Antonio Jose; Bower, Chris; Zhang, Jing; Uvin, Sarah; Van Thourhout, Dries; Roelkens, Gunther

    2018-01-22

    We demonstrate the transfer printing of passive silicon devices on a silicon-on-insulator target waveguide wafer. Adiabatic taper structures and directional coupler structures were designed for 1310 nm and 1600 nm wavelength coupling tolerant for ± 1 µm misalignment. The release of silicon devices from the silicon substrate was realized by underetching the buried oxide layer while protecting the back-end stack. Devices were successfully picked by a PDMS stamp, by breaking the tethers that kept the silicon coupons in place on the source substrate, and printed with high alignment accuracy on a silicon photonic target wafer. Coupling losses of -1.5 +/- 0.5 dB for the adiabatic taper at 1310 nm wavelength and -0.5 +/- 0.5 dB for the directional coupler at 1600 nm wavelength are obtained.

  13. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  14. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  15. Vertically Aligned and Interconnected SiC Nanowire Networks Leading to Significantly Enhanced Thermal Conductivity of Polymer Composites.

    PubMed

    Yao, Yimin; Zhu, Xiaodong; Zeng, Xiaoliang; Sun, Rong; Xu, Jian-Bin; Wong, Ching-Ping

    2018-03-21

    Efficient heat removal via thermal management materials has become one of the most critical challenges in the development of modern microelectronic devices. However, previously reported polymer composites exhibit limited enhancement of thermal conductivity, even when highly loaded with thermally conductive fillers, because of the lack of efficient heat transfer pathways. Herein, we report vertically aligned and interconnected SiC nanowire (SiCNW) networks as efficient fillers for polymer composites, achieving significantly enhanced thermal conductivity. The SiCNW networks are produced by freeze-casting nanowire aqueous suspensions followed by thermal sintering to consolidate the nanowire junctions, exhibiting a hierarchical architecture in which honeycomb-like SiCNW layers are aligned. The composite obtained by infiltrating SiCNW networks with epoxy resin, at a relatively low SiCNW loading of 2.17 vol %, represents a high through-plane thermal conductivity (1.67 W m -1 K -1 ) compared to the pure matrix, which is equivalent to a significant enhancement of 406.6% per 1 vol % loading. The orderly SiCNW network which can act as a macroscopic expressway for phonon transport is believed to be the main contributor for the excellent thermal performance. This strategy provides insights for the design of high-performance composites with potential to be used in advanced thermal management materials.

  16. Thermo-compressive transfer printing for facile alignment and robust device integration of nanowires.

    PubMed

    Lee, Won Seok; Won, Sejeong; Park, Jeunghee; Lee, Jihye; Park, Inkyu

    2012-06-07

    Controlled alignment and mechanically robust bonding between nanowires (NWs) and electrodes are essential requirements for reliable operation of functional NW-based electronic devices. In this work, we developed a novel process for the alignment and bonding between NWs and metal electrodes by using thermo-compressive transfer printing. In this process, bottom-up synthesized NWs were aligned in parallel by shear loading onto the intermediate substrate and then finally transferred onto the target substrate with low melting temperature metal electrodes. In particular, multi-layer (e.g. Cr/Au/In/Au and Cr/Cu/In/Au) metal electrodes are softened at low temperatures (below 100 °C) and facilitate submergence of aligned NWs into the surface of electrodes at a moderate pressure (∼5 bar). By using this thermo-compressive transfer printing process, robust electrical and mechanical contact between NWs and metal electrodes can be realized. This method is believed to be very useful for the large-area fabrication of NW-based electrical devices with improved mechanical robustness, electrical contact resistance, and reliability.

  17. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  18. Growth and characterization of Pt-Si droplets for silicon nanowires synthesis

    NASA Astrophysics Data System (ADS)

    Khumalo, Z. M.; Topić, M.; Mtshali, C. B.; Blumenthal, M.

    2018-02-01

    The formation of platinum silicide phases as a function of the annealing temperature was investigated using in-situ real-time Rutherford backscattering spectrometry. The in-situ real-time RBS revealed the reaction of platinum and silicon to start at about 220 °C to form platinum silicide phases, Pt2Si and PtSi in sequence. Scanning electron microscope revealed the morphological change in the platinum layer (formation of droplets) at 800 °C. The particle induced X-ray emission analysis showed the variation of platinum intensity, in the droplets areas, between 1600 and 2000 counts. The surrounding areas are left almost uncovered due to platinum film dewetting. In-plane as well as out-of-plane silicon nanowires were observed to form at 800 °C and 1000 °C using pulsed laser ablation and thermal annealing techniques, respectively.

  19. Controlled growth of periodically aligned copper-silicide nanocrystal arrays on silicon directed by laser-induced periodic surface structures (LIPSS)

    NASA Astrophysics Data System (ADS)

    Nürnberger, Philipp; Reinhardt, Hendrik M.; Rhinow, Daniel; Riedel, René; Werner, Simon; Hampp, Norbert A.

    2017-10-01

    In this paper we introduce a versatile tool for the controlled growth and alignment of copper-silicide nanocrystals. The method takes advantage of a unique self-organization phenomenon denoted as laser-induced periodic surface structures (LIPSS). Copper films (3 ± 0.2 nm) are sputter-deposited onto single crystal silicon (100) substrates with a thin oxide layer (4 ± 0.2 nm), and subsequently exposed to linearly polarized nanosecond laser pulses (τ ≈ 6 ns) at a central wavelength of 532 nm. The irradiation triggers dewetting of the Cu film and simultaneous formation of periodic Cu nanowires (LIPSS), which partially penetrate the oxide layer to the Si substrate. These LIPSS act as nucleation centers for the growth of Cu-Si crystals during thermal processing at 500 °C under forming gas 95/5 atmosphere. Exemplified by our model system Cu/SiO2/Si, LIPSS are demonstrated to facilitate the diffusion reaction between Cu and underlying Si. Moreover, adjustment of the laser polarization allows us to precisely control the nanocrystal alignment with respect to the LIPSS orientation. Potential applications and conceivable alternatives of this process are discussed.

  20. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  1. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  2. Comparison of Ti-Based Coatings on Silicon Nanowires for Phosphopeptide Enrichment and Their Laser Assisted Desorption/Ionization Mass Spectrometry Detection

    PubMed Central

    Kurylo, Ievgen; Hamdi, Abderrahmane; Addad, Ahmed; Coffinier, Yannick

    2017-01-01

    We created different TiO2-based coatings on silicon nanowires (SiNWs) by using either thermal metallization or atomic layer deposition (ALD). The fabricated surfaces were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), and reflectivity measurements. Surfaces with different TiO2 based coating thicknesses were then used for phosphopeptide enrichment and subsequent detection by laser desorption/ionization mass spectrometry (LDI-MS). Results showed that the best enrichment and LDI-MS detection were obtained using the silicon nanowires covered with 10 nm of oxidized Ti deposited by means of thermal evaporation. This sample was also able to perform phosphopeptide enrichment and MS detection from serum. PMID:28914806

  3. Silicon Alignment Pins: An Easy Way to Realize a Wafer-To-Wafer Alignment

    NASA Technical Reports Server (NTRS)

    Peralta, Alejandro (Inventor); Gill, John J. (Inventor); Toda, Risaku (Inventor); Lin, Robert H. (Inventor); Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Thomas, Bertrand (Inventor); Siles, Jose V. (Inventor); Lee, Choonsup (Inventor); Chattopadhyay, Goutam (Inventor)

    2016-01-01

    A silicon alignment pin is used to align successive layers of components made in semiconductor chips and/or metallic components to make easier the assembly of devices having a layered structure. The pin is made as a compressible structure which can be squeezed to reduce its outer diameter, have one end fit into a corresponding alignment pocket or cavity defined in a layer of material to be assembled into a layered structure, and then allowed to expand to produce an interference fit with the cavity. The other end can then be inserted into a corresponding cavity defined in a surface of a second layer of material that mates with the first layer. The two layers are in registry when the pin is mated to both. Multiple layers can be assembled to create a multilayer structure. Examples of such devices are presented.

  4. Surface Characteristics of Silicon Nanowires/Nanowalls Subjected to Octadecyltrichlorosilane Deposition and n-octadecane Coating

    PubMed Central

    Yilbas, Bekir Sami; Salhi, Billel; Yousaf, Muhammad Rizwan; Al-Sulaiman, Fahad; Ali, Haider; Al-Aqeeli, Nasser

    2016-01-01

    In this study, nanowires/nanowalls were generated on a silicon wafer through a chemical etching method. Octadecyltrichlorosilane (OTS) was deposited onto the nanowire/nanowall surfaces to alter their hydrophobicity. The hydrophobic characteristics of the surfaces were further modified via a 1.5-μm-thick layer of n-octadecane coating on the OTS-deposited surface. The hydrophobic characteristics of the resulting surfaces were assessed using the sessile water droplet method. Scratch and ultraviolet (UV)-visible reflectivity tests were conducted to measure the friction coefficient and reflectivity of the surfaces. The nanowires formed were normal to the surface and uniformly extended 10.5 μm to the wafer surface. The OTS coating enhanced the hydrophobic state of the surface, and the water contact angle increased from 27° to 165°. The n-octadecane coating formed on the OTS-deposited nanowires/nanowalls altered the hydrophobic state of the surface. This study provides the first demonstration that the surface wetting characteristics change from hydrophobic to hydrophilic after melting of the n-octadecane coating. In addition, this change is reversible; i.e., the hydrophilic surface becomes hydrophobic after the n-octadecane coating solidifies at the surface, and the process again occurs in the opposite direction after the n-octadecane coating melts. PMID:27934970

  5. Effective light absorption and its enhancement factor for silicon nanowire-based solar cell.

    PubMed

    Duan, Zhiqiang; Li, Meicheng; Mwenya, Trevor; Fu, Pengfei; Li, Yingfeng; Song, Dandan

    2016-01-01

    Although nanowire (NW) antireflection coating can enhance light trapping capability, which is generally used in crystal silicon (CS) based solar cells, whether it can improve light absorption in the CS body depends on the NW geometrical shape and their geometrical parameters. In order to conveniently compare with the bare silicon, two enhancement factors E(T) and E(A) are defined and introduced to quantitatively evaluate the efficient light trapping capability of NW antireflective layer and the effective light absorption capability of CS body. Five different shapes (cylindrical, truncated conical, convex conical, conical, and concave conical) of silicon NW arrays arranged in a square are studied, and the theoretical results indicate that excellent light trapping does not mean more light can be absorbed in the CS body. The convex conical NW has the best light trapping, but the concave conical NW has the best effective light absorption. Furthermore, if the cross section of silicon NW is changed into a square, both light trapping and effective light absorption are enhanced, and the Eiffel Tower shaped NW arrays have optimal effective light absorption.

  6. Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers

    NASA Technical Reports Server (NTRS)

    Anthony, Thomas R. (Inventor)

    1983-01-01

    Alignment-enhancing electrically conductive feed-through paths are provided for the high-speed low-loss transfer of electrical signals between integrated circuits of a plurality of silicon-on-sapphire bodies arrayed in a stack. The alignment-enhancing feed-throughs are made by a process involving the drilling of holes through the body, double-sided sputtering, electroplating, and the filling of the holes with solder by capillary action. The alignment-enhancing feed-throughs are activated by forming a stack of wafers and remelting the solder whereupon the wafers, and the feed-through paths, are pulled into alignment by surface tension forces.

  7. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  8. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  9. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  10. High-Efficiency Nanowire Solar Cells with Omnidirectionally Enhanced Absorption Due to Self-Aligned Indium-Tin-Oxide Mie Scatterers.

    PubMed

    van Dam, Dick; van Hoof, Niels J J; Cui, Yingchao; van Veldhoven, Peter J; Bakkers, Erik P A M; Gómez Rivas, Jaime; Haverkort, Jos E M

    2016-12-27

    Photovoltaic cells based on arrays of semiconductor nanowires promise efficiencies comparable or even better than their planar counterparts with much less material. One reason for the high efficiencies is their large absorption cross section, but until recently the photocurrent has been limited to less than 70% of the theoretical maximum. Here we enhance the absorption in indium phosphide (InP) nanowire solar cells by employing broadband forward scattering of self-aligned nanoparticles on top of the transparent top contact layer. This results in a nanowire solar cell with a photovoltaic conversion efficiency of 17.8% and a short-circuit current of 29.3 mA/cm 2 under 1 sun illumination, which is the highest reported so far for nanowire solar cells and among the highest reported for III-V solar cells. We also measure the angle-dependent photocurrent, using time-reversed Fourier microscopy, and demonstrate a broadband and omnidirectional absorption enhancement for unpolarized light up to 60° with a wavelength average of 12% due to Mie scattering. These results unambiguously demonstrate the potential of semiconductor nanowires as nanostructures for the next generation of photovoltaic devices.

  11. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors

    NASA Astrophysics Data System (ADS)

    McAlpine, Michael C.; Ahmad, Habib; Wang, Dunwei; Heath, James R.

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a `nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  12. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors

    PubMed Central

    McAlpine, Michael C.; Ahmad, Habib; Wang, Dunwei; Heath, James R.

    2013-01-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a ‘nano-electronic nose’ library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors. PMID:17450146

  13. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors.

    PubMed

    McAlpine, Michael C; Ahmad, Habib; Wang, Dunwei; Heath, James R

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a 'nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  14. Alignment of human cardiomyocytes on laser patterned biphasic core/shell nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Kiefer, Karin; Lee, Juseok; Haidar, Ayman; Martinez Miró, Marina; Akkan, Cagri Kaan; Veith, Michael; Cenk Aktas, Oral; Abdul-Khaliq, Hashim

    2014-12-01

    The management of end stage heart failure patients is only possible by heart transplantation or by the implantation of artificial hearts as a bridge for later transplantation. However, these therapeutic strategies are limited by a lack of donor hearts and by the associated complications, such as coagulation and infection, due to the used artificial mechanical circulatory assist devices. Therefore, new strategies for myocardial regenerative approaches are under extensive research to produce contractile myocardial tissue in the future to replace non-contractile myocardial ischemic and scarred tissue. Different approaches, such as cell transplantation, have been studied intensively. Although successful approaches have been observed, there are still limitations to the application. It is envisaged that myocardial tissue engineering can be used to help replace infarcted non-contractile tissue. The developed tissue should later mimic the aligned fibrillar structure of the extracellular matrix and provide important guidance cues for the survival, function and the needed orientation of cardiomyocytes. Nanostructured surfaces have been tested to provide a guided direction that cells can follow. In the present study, the cellular adhesion/alignment of human cardiomyocytes and the biocompatibility have been investigated after cultivation on different laser-patterned nanowires compared with unmodified nanowires. As a result, the nanostructured surfaces possessed good biocompatibility before and after laser modification. The laser-induced scalability of the pattern enabled the growth and orientation of the adhered myocardial tissue. Such approaches may be used to modify the surface of potential scaffolds to develop myocardial contractile tissue in the future.

  15. Kinematic Alignment and Bonding of Silicon Mirrors for High-Resolution Astronomical X-Ray Optics

    NASA Technical Reports Server (NTRS)

    Chan, Kai-Wing; Mazzarella, James R.; Saha, Timo T.; Zhang, William W.; Mcclelland, Ryan S.; Biskack, Michael P.; Riveros, Raul E.; Allgood, Kim D.; Kearney, John D.; Sharpe, Marton V.; hide

    2017-01-01

    Optics for the next generation's high-resolution, high throughput x-ray telescope requires fabrication of well-formed lightweight mirror segments and their integration at arc-second precision. Recent advances in the fabrication of silicon mirrors developed at NASA/Goddard prompted us to develop a new method of mirror alignment and integration. In this method, stiff silicon mirrors are aligned quasi-kinematically and are bonded in an interlocking fashion to produce a "meta-shell" with large collective area. We address issues of aligning and bonding mirrors with this method and show a recent result of 4 seconds-of-arc for a single pair of mirrors tested at soft x-rays.

  16. Nano-soldering of magnetically aligned three-dimensional nanowire networks.

    PubMed

    Gao, Fan; Gu, Zhiyong

    2010-03-19

    It is extremely challenging to fabricate 3D integrated nanostructures and hybrid nanoelectronic devices. In this paper, we report a simple and efficient method to simultaneously assemble and solder nanowires into ordered 3D and electrically conductive nanowire networks. Nano-solders such as tin were fabricated onto both ends of multi-segmented nanowires by a template-assisted electrodeposition method. These nanowires were then self-assembled and soldered into large-scale 3D network structures by magnetic field assisted assembly in a liquid medium with a high boiling point. The formation of junctions/interconnects between the nanowires and the scale of the assembly were dependent on the solder reflow temperature and the strength of the magnetic field. The size of the assembled nanowire networks ranged from tens of microns to millimeters. The electrical characteristics of the 3D nanowire networks were measured by regular current-voltage (I-V) measurements using a probe station with micropositioners. Nano-solders, when combined with assembling techniques, can be used to efficiently connect and join nanowires with low contact resistance, which are very well suited for sensor integration as well as nanoelectronic device fabrication.

  17. Ultrahigh Density Array of Vertically Aligned Small-molecular Organic Nanowires on Arbitrary Substrates

    PubMed Central

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-01-01

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  18. Ultrahigh density array of vertically aligned small-molecular organic nanowires on arbitrary substrates.

    PubMed

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-06-18

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  19. Probing the low thermal conductivity of single-crystalline porous Si nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, Yunshan; Lina Yang Collaboration; Lingyu Kong Collaboration; Baowen Li Collaboration; John T L Thong Collaboration; Kedar Hippalgaonkar Collaboration

    Pore-like structures provide a novel way to reduce the thermal conductivity of silicon nanowires, compared to both smooth-surface VLS nanowires and rough EE nanowires. Because of enhanced phonon scattering with interface and decrease in phonon transport path, the porous nanostructures show reduction in thermal conductance by few orders of magnitude. It proves to be extremely challenging to evaluate porosity accurately in an experimental manner and further understand its effect on thermal transport. In this study, we use the newly developed electron-beam based micro-electrothermal device technique to study the porosity dependent thermal conductivity of mesoporous silicon nanowires that have single-crystalline scaffolding. Based on the Casino simulation, the power absorbed by the nanowire, coming from the loss of travelling electron energy, has a linear relationship with it cross section. The relationship has been verified experimentally as well. Monte Carlo simulation is carried out to theoretically predict the thermal conductivity of silicon nanowires with a specific value of porosity. These single-crystalline porous silicon nanowires show extremely low thermal conductivity, even below the amorphous limit. These structures together with our experimental techniques provide a particularly intriguing platform to understand the phonon transport in nanoscale and aid the performance improvement in future nanowires-based devices.

  20. High-performance silicon nanowire field-effect transistor with silicided contacts

    NASA Astrophysics Data System (ADS)

    Rosaz, G.; Salem, B.; Pauc, N.; Gentile, P.; Potié, A.; Solanki, A.; Baron, T.

    2011-08-01

    Undoped silicon nanowire (Si NW) field-effect transistors (FETs) with a back-gate configuration have been fabricated and characterized. A thick (200 nm) Si3N4 layer was used as a gate insulator and a p++ silicon substrate as a back gate. Si NWs have been grown by the chemical vapour deposition method using the vapour-liquid-solid mechanism and gold as a catalyst. Metallic contacts have been deposited using Ni/Al (80 nm/120 nm) and characterized before and after an optimized annealing step at 400 °C, which resulted in a great decrease in the contact resistance due to the newly formed nickel silicide/Si interface at source and drain. These optimized devices show a good hole mobility of around 200 cm2 V-1 s-1, in the same range as the bulk material, with a good ON current density of about 28 kA cm-2. Finally, hysteretic behaviour of NW channel conductance is discussed to explain the importance of NW surface passivation.

  1. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  2. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  3. Effect of temperature on the characteristics of silicon nanowire transistor.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2012-10-01

    This paper presents the temperature characteristics of silicon nanowire transistors (SiNWTs) and examines the effect of temperature on transfer characteristics, threshold voltage, I(ON)/I(OFF) ratio, drain-induced barrier lowering (DIBL), and sub-threshold swing (SS). The (MuGFET) simulation tool was used to investigate the temperature characteristics of a transistor. The findings reveal the negative effect of higher working temperature on the use of SiNWTs in electronic circuits, such as digital circuits and amplifiers circuits, because of the lower I(ON)/I(OFF) ratio, higher DIBL, and higher SS at higher temperature. Moreover, the ON state is the optimum condition for using a transistor as a temperature nano-sensor.

  4. Dense Vertically Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials.

    PubMed

    Barako, Michael T; Isaacson, Scott G; Lian, Feifei; Pop, Eric; Dauskardt, Reinhold H; Goodson, Kenneth E; Tice, Jesse

    2017-12-06

    Thermal interface materials (TIMs) are essential for managing heat in modern electronics, and nanocomposite TIMs can offer critical improvements. Here, we demonstrate thermally conductive, mechanically compliant TIMs based on dense, vertically aligned copper nanowires (CuNWs) embedded into polymer matrices. We evaluate the thermal and mechanical characteristics of 20-25% dense CuNW arrays with and without polydimethylsiloxane infiltration. The thermal resistance achieved is below 5 mm 2 K W -1 , over an order of magnitude lower than commercial heat sink compounds. Nanoindentation reveals that the nonlinear deformation mechanics of this TIM are influenced by both the CuNW morphology and the polymer matrix. We also implement a flip-chip bonding protocol to directly attach CuNW composites to copper surfaces, as required in many thermal architectures. Thus, we demonstrate a rational design strategy for nanocomposite TIMs that simultaneously retain the high thermal conductivity of aligned CuNWs and the mechanical compliance of a polymer.

  5. Surface effects on the thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  6. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  7. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  8. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  9. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  10. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  11. Energy Penetration into Arrays of Aligned Nanowires Irradiated with Relativistic Intensities: Scaling to Terabar Pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela

    Ultra-high-energy-density (UHED) matter, characterized by energy densities > 1 x 10 8 J cm -3 and pressures greater than a gigabar, is encountered in the center of stars and in inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultra-high contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. Here we report the measurement of the key physical process in determining the energy density deposited in high aspect ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Nimore » nanowire arrays irradiated at an intensity of 4 x 10 19 W cm -2, we demonstrate energy penetration depths of several μm, leading to UHED plasmas of that size. Relativistic 3D particle-in-cell-simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of > 1 x 10 22 W cm -2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 x 10 10 J cm -3, equivalent to a pressure of 0.35 Tbar.« less

  12. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures.

    PubMed

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; Kaymak, Vural; Pukhov, Alexander; Wang, Shoujun; Rockwood, Alex; Wang, Yong; Keiss, David; Tommasini, Riccardo; London, Richard; Park, Jaebum; Busquet, Michel; Klapisch, Marcel; Shlyaptsev, Vyacheslav N; Rocca, Jorge J

    2017-01-01

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm -3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world's largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 × 10 19 W cm -2 , we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. Relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm -2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm -3 , equivalent to a pressure of 0.35 Tbar.

  13. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    PubMed Central

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; Kaymak, Vural; Pukhov, Alexander; Wang, Shoujun; Rockwood, Alex; Wang, Yong; Keiss, David; Tommasini, Riccardo; London, Richard; Park, Jaebum; Busquet, Michel; Klapisch, Marcel; Shlyaptsev, Vyacheslav N.; Rocca, Jorge J.

    2017-01-01

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 108 J cm−3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 × 1019 W cm−2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. Relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 1022 W cm−2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 1010 J cm−3, equivalent to a pressure of 0.35 Tbar. PMID:28097218

  14. Effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks

    NASA Astrophysics Data System (ADS)

    Hicks, Jeremy; Li, Junying; Ying, Chen; Ural, Ant

    2018-05-01

    We study the effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks by Monte Carlo simulations. We generate curvy nanowires as one-dimensional sticks using 3rd-order Bézier curves. The degree of curviness in the network is quantified by the concept of curviness angle and curl ratio. We systematically study the interaction between the effect of curviness and five other nanowire/device parameters on the network resistivity, namely nanowire density, nanowire length, device length, device width, and nanowire alignment. We find that the resistivity exhibits a power law dependence on the curl ratio, which is a signature of percolation transport. In each case, we extract the power-law scaling critical exponents and explain the results using geometrical and physical arguments. The value of the curl ratio critical exponent is not universal, but increases as the other nanowire/device parameters drive the network toward the percolation threshold. We find that, for randomly oriented networks, curviness is undesirable since it increases the resistivity. For well-aligned networks, on the other hand, some curviness is highly desirable, since the resistivity minimum occurs for partially curvy nanowires. We explain these results by considering the two competing effects of curviness on the percolation resistivity. The results presented in this work can be extended to any network, film, or nanocomposite consisting of one-dimensional nanoelements. Our results show that Monte Carlo simulations are an essential predictive tool for both studying the percolation transport and optimizing the electronic properties of transparent, conductive nanowire networks for a wide range of applications.

  15. Capillary Printing of Highly Aligned Silver Nanowire Transparent Electrodes for High-Performance Optoelectronic Devices.

    PubMed

    Kang, Saewon; Kim, Taehyo; Cho, Seungse; Lee, Youngoh; Choe, Ayoung; Walker, Bright; Ko, Seo-Jin; Kim, Jin Young; Ko, Hyunhyub

    2015-12-09

    Percolation networks of silver nanowires (AgNWs) are commonly used as transparent conductive electrodes (TCEs) for a variety of optoelectronic applications, but there have been no attempts to precisely control the percolation networks of AgNWs that critically affect the performances of TCEs. Here, we introduce a capillary printing technique to precisely control the NW alignment and the percolation behavior of AgNW networks. Notably, partially aligned AgNW networks exhibit a greatly lower percolation threshold, which leads to the substantial improvement of optical transmittance (96.7%) at a similar sheet resistance (19.5 Ω sq(-1)) as compared to random AgNW networks (92.9%, 20 Ω sq(-1)). Polymer light-emitting diodes (PLEDs) using aligned AgNW electrodes show a 30% enhanced maximum luminance (33068 cd m(-2)) compared to that with random AgNWs and a high luminance efficiency (14.25 cd A(-1)), which is the highest value reported so far using indium-free transparent electrodes for fluorescent PLEDs. In addition, polymer solar cells (PSCs) using aligned AgNW electrodes exhibit a power conversion efficiency (PCE) of 8.57%, the highest value ever reported to date for PSCs using AgNW electrodes.

  16. Reversible Strain-Induced Electron-Hole Recombination in Silicon Nanowires Observed with Femtosecond Pump-Probe Microscopy

    DTIC Science & Technology

    2014-01-01

    devices with indirect-bandgap materials such as silicon . KEYWORDS: Ultrafast imaging , strained nanomaterials, spectroscopy Lattice strain produced by...photogenerated charge cloud as a result of carrier diffusion . Normalized carrier profiles, generated by integrating the images along the direction normal to the...To test this idea, Figure 2. Charge carrier diffusion in a Si NW locally strained by a bending deformation (A) SEM image of a bent Si nanowire ∼100

  17. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    PubMed

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  18. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  19. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    PubMed

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along <110> crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in <111> directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  20. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  1. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  2. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  3. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  4. Ultra-localized single cell electroporation using silicon nanowires.

    PubMed

    Jokilaakso, Nima; Salm, Eric; Chen, Aaron; Millet, Larry; Guevara, Carlos Duarte; Dorvel, Brian; Reddy, Bobby; Karlstrom, Amelie Eriksson; Chen, Yu; Ji, Hongmiao; Chen, Yu; Sooryakumar, Ratnasingham; Bashir, Rashid

    2013-02-07

    Analysis of cell-to-cell variation can further the understanding of intracellular processes and the role of individual cell function within a larger cell population. The ability to precisely lyse single cells can be used to release cellular components to resolve cellular heterogeneity that might be obscured when whole populations are examined. We report a method to position and lyse individual cells on silicon nanowire and nanoribbon biological field effect transistors. In this study, HT-29 cancer cells were positioned on top of transistors by manipulating magnetic beads using external magnetic fields. Ultra-rapid cell lysis was subsequently performed by applying 600-900 mV(pp) at 10 MHz for as little as 2 ms across the transistor channel and the bulk substrate. We show that the fringing electric field at the device surface disrupts the cell membrane, leading to lysis from irreversible electroporation. This methodology allows rapid and simple single cell lysis and analysis with potential applications in medical diagnostics, proteome analysis and developmental biology studies.

  5. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    DOEpatents

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  6. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  7. Miniaturized accelerometer made with ZnO nanowires

    NASA Astrophysics Data System (ADS)

    Song, Sangho; Kim, Jeong Woong; Kim, Hyun Chan; Yun, Youngmin; Kim, Jaehwan

    2017-04-01

    Miniaturized accelerometer is required in many applications, such as, robotics, haptic devices, gyroscopes, simulators and mobile devices. ZnO is an essential semiconductor material with wide direct band gap, thermal stability and piezoelectricity. Especially, well aligned ZnO nanowire is appropriate for piezoelectric applications since it can produce high electrical signal under mechanical load. To miniaturize accelerometer, an aligned ZnO nanowire is adopted to implement active piezoelectric layer of the accelerometer and copper is chosen for the head mass. To grow ZnO nanowire on the copper head mass, hydrothermal synthesis is conducted and the effect of ZnO nanowire length on the accelerometer performance is investigated. Refresh hydrothermal synthesis can increase the length of ZnO nanowire. The performance of the fabricated ZnO accelerometers is compared with a commercial accelerometer. Sensitivity and linearity of the fabricated accelerometers are investigated.

  8. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  9. Internal alignment and position resolution of the silicon tracker of DAMPE determined with orbit data

    NASA Astrophysics Data System (ADS)

    Tykhonov, A.; Ambrosi, G.; Asfandiyarov, R.; Azzarello, P.; Bernardini, P.; Bertucci, B.; Bolognini, A.; Cadoux, F.; D'Amone, A.; De Benedittis, A.; De Mitri, I.; Di Santo, M.; Dong, Y. F.; Duranti, M.; D'Urso, D.; Fan, R. R.; Fusco, P.; Gallo, V.; Gao, M.; Gargano, F.; Garrappa, S.; Gong, K.; Ionica, M.; La Marra, D.; Lei, S. J.; Li, X.; Loparco, F.; Marsella, G.; Mazziotta, M. N.; Peng, W. X.; Qiao, R.; Salinas, M. M.; Surdo, A.; Vagelli, V.; Vitillo, S.; Wang, H. Y.; Wang, J. Z.; Wang, Z. M.; Wu, D.; Wu, X.; Zhang, F.; Zhang, J. Y.; Zhao, H.; Zimmer, S.

    2018-06-01

    The DArk Matter Particle Explorer (DAMPE) is a space-borne particle detector designed to probe electrons and gamma-rays in the few GeV to 10 TeV energy range, as well as cosmic-ray proton and nuclei components between 10 GeV and 100 TeV. The silicon-tungsten tracker-converter is a crucial component of DAMPE. It allows the direction of incoming photons converting into electron-positron pairs to be estimated, and the trajectory and charge (Z) of cosmic-ray particles to be identified. It consists of 768 silicon micro-strip sensors assembled in 6 double layers with a total active area of 6.6 m2. Silicon planes are interleaved with three layers of tungsten plates, resulting in about one radiation length of material in the tracker. Internal alignment parameters of the tracker have been determined on orbit, with non-showering protons and helium nuclei. We describe the alignment procedure and present the position resolution and alignment stability measurements.

  10. Volumetric Heating of Ultra-High Energy Density Relativistic Plasmas by Ultrafast Laser Irradiation of Aligned Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Bargsten, Clayton; Hollinger, Reed; Shlyaptsev, Vyacheslav; Pukhov, Alexander; Keiss, David; Townsend, Amanda; Wang, Yong; Wang, Shoujun; Prieto, Amy; Rocca, Jorge

    2014-10-01

    We have demonstrated the volumetric heating of near-solid density plasmas to keV temperatures by ultra-high contrast femtosecond laser irradiation of arrays of vertically aligned nanowires with an average density up to 30% solid density. X-ray spectra show that irradiation of Ni and Au nanowire arrays with laser pulses of relativistic intensities ionizes plasma volumes several micrometers in depth to the He-like and Co-like (Au 52 +) stages respectively. The penetration depth of the heat into the nanowire array was measured monitoring He-like Co lines from irradiated arrays in which the nanowires are composed of a Co segment buried under a selected length of Ni. The measurement shows the ionization reaches He-like Co for depth of up to 5 μm within the target. This volumetric plasma heating approach creates a new laboratory plasma regime in which extreme plasma parameters can be accessed with table-top lasers. Scaling to higher laser intensities promises to create plasmas with temperatures and pressures approaching those in the center of the sun. Work supported by the U.S Department of Energy, Fusion Energy Sciences and the Defense Threat Reduction Agency grant HDTRA-1-10-1-0079. A.P was supported by of DFG-funded project TR18.

  11. Organophosphonate-based PNA-functionalization of silicon nanowires for label-free DNA detection.

    PubMed

    Cattani-Scholz, Anna; Pedone, Daniel; Dubey, Manish; Neppl, Stefan; Nickel, Bert; Feulner, Peter; Schwartz, Jeffrey; Abstreiter, Gerhard; Tornow, Marc

    2008-08-01

    We investigated hydroxyalkylphosphonate monolayers as a novel platform for the biofunctionalization of silicon-based field effect sensor devices. This included a detailed study of the thin film properties of organophosphonate films on Si substrates using several surface analysis techniques, including AFM, ellipsometry, contact angle, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity, and current-voltage characteristics in electrolyte solution. Our results indicate the formation of a dense monolayer on the native silicon oxide that has excellent passivation properties. The monolayer was biofunctionalized with 12 mer peptide nucleic acid (PNA) receptor molecules in a two-step procedure using the heterobifunctional linker, 3-maleimidopropionic-acid-N-hydroxysuccinimidester. Successful surface modification with the probe PNA was verified by XPS and contact angle measurements, and hybridization with DNA was determined by fluorescence measurements. Finally, the PNA functionalization protocol was translated to 2 microm long, 100 nm wide Si nanowire field effect devices, which were successfully used for label-free DNA/PNA hybridization detection.

  12. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  13. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    NASA Astrophysics Data System (ADS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  14. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    NASA Astrophysics Data System (ADS)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  15. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  16. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  17. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  18. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  19. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  20. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm –3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated atmore » an intensity of 4 × 10 19 W cm –2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. As a result, relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm –2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm –3, equivalent to a pressure of 0.35 Tbar.« less

  1. Energy penetration into arrays of aligned nanowires irradiated with relativistic intensities: Scaling to terabar pressures

    DOE PAGES

    Bargsten, Clayton; Hollinger, Reed; Capeluto, Maria Gabriela; ...

    2017-01-11

    Ultrahigh-energy density (UHED) matter, characterized by energy densities >1 × 10 8 J cm –3 and pressures greater than a gigabar, is encountered in the center of stars and inertial confinement fusion capsules driven by the world’s largest lasers. Similar conditions can be obtained with compact, ultrahigh contrast, femtosecond lasers focused to relativistic intensities onto targets composed of aligned nanowire arrays. We report the measurement of the key physical process in determining the energy density deposited in high-aspect-ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated atmore » an intensity of 4 × 10 19 W cm –2, we demonstrate energy penetration depths of several micrometers, leading to UHED plasmas of that size. As a result, relativistic three-dimensional particle-in-cell simulations, validated by these measurements, predict that irradiation of nanostructures at intensities of >1 × 10 22 W cm –2 will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 × 10 10 J cm –3, equivalent to a pressure of 0.35 Tbar.« less

  2. Energy Density in Aligned Nanowire Arrays Irradiated with Relativistic Intensities: Path to Terabar Pressure Plasmas

    NASA Astrophysics Data System (ADS)

    Rocca, J.; Bargsten, C.; Hollinger, R.; Shylaptsev, V.; Wang, S.; Rockwood, A.; Wang, Y.; Keiss, D.; Capeluto, M.; Kaymak, V.; Pukhov, A.; Tommasini, R.; London, R.; Park, J.

    2016-10-01

    Ultra-high-energy-density (UHED) plasmas, characterized by energy densities >1 x 108 J cm-3 and pressures greater than a gigabar are encountered in the center of stars and in inertial confinement fusion capsules driven by the world's largest lasers. Similar conditions can be obtained with compact, ultra-high contrast, femtosecond lasers focused to relativistic intensities onto aligned nanowire array targets. Here we report the measurement of the key physical process in determining the energy density deposited in high aspect ratio nanowire array plasmas: the energy penetration. By monitoring the x-ray emission from buried Co tracer segments in Ni nanowire arrays irradiated at an intensity of 4 x 1019 W cm-2, we demonstrate energy penetration depths of several μm, leading to UHED plasmas of that size. Relativistic 3D particle-in-cell-simulations validated by these measurements predict that irradiation of nanostructures at increased intensity will lead to a virtually unexplored extreme UHED plasma regime characterized by energy densities in excess of 8 x 1010 J cm-3, equivalent to a pressure of 0.35 Tbar. This work was supported by the Fusion Energy Program, Office of Science of the U.S Department of Energy, and by the Defense Threat Reduction Agency.

  3. Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire

    NASA Technical Reports Server (NTRS)

    Anthony, Thomas R. (Inventor)

    1985-01-01

    Alignment-enhancing electrically conductive feed-through paths are provided for the high-speed low-loss transfer of electrical signals between integrated circuits of a plurality of silicon-on-sapphire bodies arrayed in a stack. The alignment-enhancing feed-throughs are made by a process of this invention involving the drilling of holes through the body, double-sided sputtering, electroplating, and the filling of the holes with solder by capillary action. The alignment-enhancing feed-throughs are activated by forming a stack of wafers and remelting the solder whereupon the wafers, and the feed-through paths, are pulled into alignment by surface tension forces.

  4. Crystallographic alignment of high-density gallium nitride nanowire arrays.

    PubMed

    Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong

    2004-08-01

    Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.

  5. Silicon nanowires reliability and robustness investigation using AFM-based techniques

    NASA Astrophysics Data System (ADS)

    Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.

    2013-07-01

    Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.

  6. Demonstration of highly efficient forward stimulated Brillouin scattering in partly suspended silicon nanowire racetrack resonators

    NASA Astrophysics Data System (ADS)

    Zhang, Ruiwen; Sun, Junqiang; Chen, Guodong; Cheng, Ming; Jiang, Jialin

    2017-07-01

    We demonstrate the forward stimulated Brillouin scattering (FSBS) in a partly suspended silicon nanowire racetrack resonator. To realize the tight confinement of the transverse acoustic modes in the nanoscale silicon core, the racetrack resonator is supported by the tiny pillar. The Brillouin amplification of 2.25 dB is achieved with the resonator radius of 100 μm under a low-power pump laser of 8 mW. The influences of the waveguide width and the top width of the tiny pillar on the Brillouin frequency shift and Brillouin gain are presented and analyzed. The Brillouin frequency shift is conveniently manipulated by the changes in waveguide widths. Our proposed approach furnishes an alternative towards harnessing FSBS in integrated photonic circuits.

  7. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  8. Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic Monte-Carlo modeling versus experiments.

    PubMed

    Hennes, M; Schuler, V; Weng, X; Buchwald, J; Demaille, D; Zheng, Y; Vidal, F

    2018-04-26

    We employ kinetic Monte-Carlo simulations to study the growth process of metal-oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni-SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a single effective STO pseudo-atom species. With this ansatz, we scrutinize the kinetics of the sequential synthesis process, governed by alternating deposition and relaxation steps, and analyze the self-organization propensity of Ni atoms into straight vertically aligned nanowires embedded in the surrounding STO matrix. We finally compare the predictions of our binary toy model with experiments and demonstrate that our computational approach captures fundamental aspects of self-assembled nanowire synthesis. Despite its simplicity, our modeling strategy successfully describes the impact of relevant parameters like the concentration or laser frequency on the final nanoarchitecture of metal-oxide thin films grown via pulsed laser deposition.

  9. TiO2/BiVO4 Nanowire Heterostructure Photoanodes Based on Type II Band Alignment

    PubMed Central

    2016-01-01

    Metal oxides that absorb visible light are attractive for use as photoanodes in photoelectrosynthetic cells. However, their performance is often limited by poor charge carrier transport. We show that this problem can be addressed by using separate materials for light absorption and carrier transport. Here, we report a Ta:TiO2|BiVO4 nanowire photoanode, in which BiVO4 acts as a visible light-absorber and Ta:TiO2 acts as a high surface area electron conductor. Electrochemical and spectroscopic measurements provide experimental evidence for the type II band alignment necessary for favorable electron transfer from BiVO4 to TiO2. The host–guest nanowire architecture presented here allows for simultaneously high light absorption and carrier collection efficiency, with an onset of anodic photocurrent near 0.2 V vs RHE, and a photocurrent density of 2.1 mA/cm2 at 1.23 V vs RHE. PMID:27163032

  10. Exact comprehensive equations for the photon management properties of silicon nanowire

    PubMed Central

    Li, Yingfeng; Li, Meicheng; Li, Ruike; Fu, Pengfei; Wang, Tai; Luo, Younan; Mbengue, Joseph Michel; Trevor, Mwenya

    2016-01-01

    Unique photon management (PM) properties of silicon nanowire (SiNW) make it an attractive building block for a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, optical switches, solar cells, and lasers. However, the lack of efficient equations for the quantitative estimation of the SiNW’s PM properties limits the rational design of such devices. Herein, we establish comprehensive equations to evaluate several important performance features for the PM properties of SiNW, based on theoretical simulations. Firstly, the relationships between the resonant wavelengths (RW), where SiNW can harvest light most effectively, and the size of SiNW are formulized. Then, equations for the light-harvesting efficiency at RW, which determines the single-frequency performance limit of SiNW-based photonic devices, are established. Finally, equations for the light-harvesting efficiency of SiNW in full-spectrum, which are of great significance in photovoltaics, are established. Furthermore, using these equations, we have derived four extra formulas to estimate the optimal size of SiNW in light-harvesting. These equations can reproduce majority of the reported experimental and theoretical results with only ~5% error deviations. Our study fills up a gap in quantitatively predicting the SiNW’s PM properties, which will contribute significantly to its practical applications. PMID:27103087

  11. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Fullerene C60 coated silicon nanowires as anode materials for lithium secondary batteries.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-04-01

    A Fullerene C60 film was introduced as a coating layer for silicon nanowires (Si NWs) by a plasma assisted thermal evaporation technique. The morphology and structural characteristics of the materials were studied by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). SEM observations showed that the shape of the nanowire structure was maintained after the C60 coating and the XPS analysis confirmed the presence of the carbon coating layer. The electrochemical characteristics of C60 coated Si NWs as anode materials were examined by charge-discharge tests and electrochemical impedance measurements. With the C60 film coating, Si NW electrodes exhibited a higher initial coulombic efficiency of 77% and a higher specific capacity of 2020 mA h g(-1) after the 30th cycle at a current density of 100 microA cm(-2) with cut-off voltage between 0-1.5 V. These improved electrochemical characteristics are attributed to the presence of the C60 coating layer which suppresses side reaction with the electrolyte and maintains the structural integrity of the Si NW electrodes during cycle tests.

  13. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Marcie

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  14. Nanowire sensors and arrays for chemical/biomolecule detection

    NASA Technical Reports Server (NTRS)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  15. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  16. Statistical variability study of random dopant fluctuation on gate-all-around inversion-mode silicon nanowire field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Jun-Sik; Rim, Taiuk; Kim, Jungsik; Kim, Kihyun; Baek, Chang-Ki; Jeong, Yoon-Ha

    2015-03-01

    Random dopant fluctuation effects of gate-all-around inversion-mode silicon nanowire field-effect transistors (FETs) with different diameters and extension lengths are investigated. The nanowire FETs with smaller diameter and longer extension length reduce average values and variations of subthreshold swing and drain-induced barrier lowering, thus improving short channel immunity. Relative variations of the drain currents increase as the diameter decreases because of decreased current drivability from narrower channel cross-sections. Absolute variations of the drain currents decrease critically as the extension length increases due to decreasing the number of arsenic dopants penetrating into the channel region. To understand variability origins of the drain currents, variations of source/drain series resistance and low-field mobility are investigated. All these two parameters affect the variations of the drain currents concurrently. The nanowire FETs having extension lengths sufficient to prevent dopant penetration into the channel regions and maintaining relatively large cross-sections are suggested to achieve suitable short channel immunity and small variations of the drain currents.

  17. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  18. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  19. A high performance three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection.

    PubMed

    Xu, Chenlong; Song, Zhiqian; Xiang, Qun; Jin, Jian; Feng, Xinjian

    2016-04-14

    We describe here a high performance oxygen-rich three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection. We demonstrate that its linear detection upper limit is 30 mM, more than 15 times higher than that can be obtained on the normal enzyme-electrode. Notably, the three-phase enzyme electrode output is insensitive to the significant oxygen level fluctuation in analyte solution.

  20. The effect of dry shear aligning of nanotube thin films on the photovoltaic performance of carbon nanotube-silicon solar cells.

    PubMed

    Stolz, Benedikt W; Tune, Daniel D; Flavel, Benjamin S

    2016-01-01

    Recent results in the field of carbon nanotube-silicon solar cells have suggested that the best performance is obtained when the nanotube film provides good coverage of the silicon surface and when the nanotubes in the film are aligned parallel to the surface. The recently developed process of dry shear aligning - in which shear force is applied to the surface of carbon nanotube thin films in the dry state, has been shown to yield nanotube films that are very flat and in which the surface nanotubes are very well aligned in the direction of shear. It is thus reasonable to expect that nanotube films subjected to dry shear aligning should outperform otherwise identical films formed by other processes. In this work, the fabrication and characterisation of carbon nanotube-silicon solar cells using such films is reported, and the photovoltaic performance of devices produced with and without dry shear aligning is compared.

  1. MOCVD growth of vertically aligned InGaN nanowires

    NASA Astrophysics Data System (ADS)

    Kuo, H. C.; Su Oh, Tae; Ku, P.-C.

    2013-05-01

    In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.

  2. Ultrashort channel silicon nanowire transistors with nickel silicide source/drain contacts.

    PubMed

    Tang, Wei; Dayeh, Shadi A; Picraux, S Tom; Huang, Jian Yu; Tu, King-Ning

    2012-08-08

    We demonstrate the shortest transistor channel length (17 nm) fabricated on a vapor-liquid-solid (VLS) grown silicon nanowire (NW) by a controlled reaction with Ni leads on an in situ transmission electron microscope (TEM) heating stage at a moderate temperature of 400 °C. NiSi(2) is the leading phase, and the silicide-silicon interface is an atomically sharp type-A interface. At such channel lengths, high maximum on-currents of 890 (μA/μm) and a maximum transconductance of 430 (μS/μm) were obtained, which pushes forward the performance of bottom-up Si NW Schottky barrier field-effect transistors (SB-FETs). Through accurate control over the silicidation reaction, we provide a systematic study of channel length dependent carrier transport in a large number of SB-FETs with channel lengths in the range of 17 nm to 3.6 μm. Our device results corroborate with our transport simulations and reveal a characteristic type of short channel effects in SB-FETs, both in on- and off-state, which is different from that in conventional MOSFETs, and that limits transport parameter extraction from SB-FETs using conventional field-effect transconductance measurements.

  3. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  4. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    PubMed

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  5. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    PubMed Central

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Myong Kim, Dong; Hwan Kim, Dae; Choi, Sung-Jin

    2015-01-01

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 105 times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 105 with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density. PMID:26197105

  6. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  7. The Self- and Directed Assembly of Nanowires

    NASA Astrophysics Data System (ADS)

    Smith, Benjamin David

    This thesis explores the self- and directed assembly of nanowires. Specifically, we examine the driving forces behind nanowire self-assembly and the macro-structures that are formed. Particle-dense, oriented nanowire structures show promise in the fields of photonics, energy, sensing, catalysis, and electronics. Arrays of spherical particles have already found uses in electronic inks, sensing arrays, and many other commercial applications; but, it is a challenge to create specific arrays of morphologically and/or compositionally anisotropic particles. The following chapters illuminate the interactions that drive the assembly of anisotropic particles in high density solutions in the absence of applied fields or solution drying. Special emphasis is placed on the structures that are formed. The properties of micro- and nanoparticles and their assembly are introduced in Chapter 1. In particular, the properties of shape and material anisotropic particles are highlighted, while challenges in producing desired arrays are discussed. In this thesis, metallic nanowires of increasing complexity were used to examine the self-assembly behavior of both shape and material anisotropic particles. Nanowires were synthesized through templated electrodeposition. In this process, porous alumina membranes served as a template in which metal salts were reduced to form particles. Upon template dissolution, billions of nominally identical particles were released. We specifically focused on segmented, metallic nanowires 2-13 mum in length and 180 to 350 nm in diameter. Since these particles have strong van der Waals (VDWs) attractions, an electrostatically repulsive coating was necessary to prevent aggregation; we used small molecule, DNA, or amorphous silica coatings. Nanowires and their coatings were characterized by electron microscopy. In order to study self-assembly behavior, particle-dense aqueous suspensions were placed within an assembly chamber defined by a silicone spacer. The

  8. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  9. Manganese oxide nanowires, films, and membranes and methods of making

    DOEpatents

    Suib, Steven Lawrence [Storrs, CT; Yuan, Jikang [Storrs, CT

    2008-10-21

    Nanowires, films, and membranes comprising ordered porous manganese oxide-based octahedral molecular sieves, and methods of making, are disclosed. A single crystal ultra-long nanowire includes an ordered porous manganese oxide-based octahedral molecular sieve, and has an average length greater than about 10 micrometers and an average diameter of about 5 nanometers to about 100 nanometers. A film comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is stacked on a surface of a substrate, wherein the nanowires of each layer are substantially axially aligned. A free standing membrane comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is aggregately stacked, and wherein the nanowires of each layer are substantially axially aligned.

  10. Study on the impedance of aligned carbon microcoils embedded in silicone rubber matrix

    NASA Astrophysics Data System (ADS)

    Zhu, Ya-Bo; Zhang, Lin; Guo, Li-Tong; Xiang, Dong-Hu

    2010-12-01

    This paper reports that carbon microcoils are grown through a chemical vapour deposit process, they are then embedded in silicone rubber, and manipulated to parallel with each other along their axes in the resulting composite. The impedance |Z| as well as phase angle θ of both the original carbon microcoil sheets and the aligned carbon microcoil/silicone rubber composites are measured. The results illustrate that carbon microcoils in different forms show different alternating current electric properties. The aligned carbon microcoils in the composites show stable parameters for f < 104 Hz but a sharp decrease in both |Z| and θ for frequencies > 104 Hz, which will also change as the carbon microcoils are extended. But, the original sheets have a pure resistance with their parameters stable throughout the entire alternating current frequency range investigated.

  11. Telecom-Wavelength Bottom-up Nanobeam Lasers on Silicon-on-Insulator.

    PubMed

    Kim, Hyunseok; Lee, Wook-Jae; Farrell, Alan C; Balgarkashi, Akshay; Huffaker, Diana L

    2017-09-13

    Semiconductor nanowire lasers are considered promising ultracompact and energy-efficient light sources in the field of nanophotonics. Although the integration of nanowire lasers onto silicon photonic platforms is an innovative path toward chip-scale optical communications and photonic integrated circuits, operating nanowire lasers at telecom-wavelengths remains challenging. Here, we report on InGaAs nanowire array lasers on a silicon-on-insulator platform operating up to 1440 nm at room temperature. Bottom-up photonic crystal nanobeam cavities are formed by growing nanowires as ordered arrays using selective-area epitaxy, and single-mode lasing by optical pumping is demonstrated. We also show that arrays of nanobeam lasers with individually tunable wavelengths can be integrated on a single chip by the simple adjustment of the lithographically defined growth pattern. These results exemplify a practical approach toward nanowire lasers for silicon photonics.

  12. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    NASA Astrophysics Data System (ADS)

    Chen, Yong; Luo, Guanghong; Diao, Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-04-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3×ω Nd:YAG laser in air, SF6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ~2 µm in SF6 gas and to ~5 µm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (~10×) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits.

  13. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  14. The effect of dry shear aligning of nanotube thin films on the photovoltaic performance of carbon nanotube–silicon solar cells

    PubMed Central

    Stolz, Benedikt W; Tune, Daniel D

    2016-01-01

    Summary Recent results in the field of carbon nanotube–silicon solar cells have suggested that the best performance is obtained when the nanotube film provides good coverage of the silicon surface and when the nanotubes in the film are aligned parallel to the surface. The recently developed process of dry shear aligning – in which shear force is applied to the surface of carbon nanotube thin films in the dry state, has been shown to yield nanotube films that are very flat and in which the surface nanotubes are very well aligned in the direction of shear. It is thus reasonable to expect that nanotube films subjected to dry shear aligning should outperform otherwise identical films formed by other processes. In this work, the fabrication and characterisation of carbon nanotube–silicon solar cells using such films is reported, and the photovoltaic performance of devices produced with and without dry shear aligning is compared. PMID:27826524

  15. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell.

    PubMed

    Petterson, Maureen K; Lemaitre, Maxime G; Shen, Yu; Wadhwa, Pooja; Hou, Jie; Vasilyeva, Svetlana V; Kravchenko, Ivan I; Rinzler, Andrew G

    2015-09-30

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separated there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm(2) AM1.5G illumination, results in a short-circuit current density of 35 mA/cm(2) and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. A deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.

  16. Plasma Enabled Fabrication of Silicon Carbide Nanostructures

    NASA Astrophysics Data System (ADS)

    Fang, Jinghua; Levchenko, Igor; Aramesh, Morteza; Rider, Amanda E.; Prawer, Steven; Ostrikov, Kostya (Ken)

    Silicon carbide is one of the promising materials for the fabrication of various one- and two-dimensional nanostructures. In this chapter, we discuss experimental and theoretical studies of the plasma-enabled fabrication of silicon carbide quantum dots, nanowires, and nanorods. The discussed fabrication methods include plasma-assisted growth with and without anodic aluminium oxide membranes and with or without silane as a source of silicon. In the silane-free experiments, quartz was used as a source of silicon to synthesize the silicon carbide nanostructures in an environmentally friendly process. The mechanism of the formation of nanowires and nanorods is also discussed.

  17. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  18. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  19. Guided Growth of Horizontal p-Type ZnTe Nanowires

    PubMed Central

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  20. Guided Growth of Horizontal p-Type ZnTe Nanowires.

    PubMed

    Reut, Gilad; Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2016-08-04

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means.

  1. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  2. Aligned hierarchical Ag/ZnO nano-heterostructure arrays via electrohydrodynamic nanowire template for enhanced gas-sensing properties.

    PubMed

    Yin, Zhouping; Wang, Xiaomei; Sun, Fazhe; Tong, Xiaohu; Zhu, Chen; Lv, Qiying; Ye, Dong; Wang, Shuai; Luo, Wei; Huang, YongAn

    2017-09-22

    Gas sensing performance can be improved significantly by the increase in both the effective gas exposure area and the surface reactivitiy of ZnO nanorods. Here, we propose aligned hierarchical Ag/ZnO nano-heterostructure arrays (h-Ag/ZnO-NAs) via electrohydrodynamic nanowire template, together with a subsequent hydrothermal synthesis and photoreduction reaction. The h-Ag/ZnO-NAs scatter at top for higher specific surface areas with the air, simultaneously contact at root for the electrical conduction. Besides, the ZnO nanorods are uniformly coated with dispersed Ag nanoparticles, resulting in a tremendous enhancement of the surface reactivity. Compared with pure ZnO, such h-Ag/ZnO-NAs exhibit lower electrical resistance and faster responses. Moreover, they demonstrate enhanced NO 2 gas sensing properties. Self-assembly via electrohydrodynamic nanowire template paves a new way for the preparation of high performance gas sensors.

  3. Design, implementation, and application of a microresonator platform for measuring energy dissipation by internal friction in nanowires.

    PubMed

    Das, Kaushik; Sosale, Guruprasad; Vengallatore, Srikar

    2012-12-21

    Accurate measurements of internal friction in nanowires are required for the rational design of high-Q resonators used in nanoelectromechanical systems and for fundamental studies of nanomechanical behavior. However, measuring internal friction is challenging because of the difficulties associated with identifying the contributions of material dissipation to structural damping. Here, we present an approach for overcoming these difficulties by using a composite microresonator platform that is calibrated against the ultimate limits of thermoelastic damping. The platform consists of an array of nanowires patterned at the root of a low-loss single-crystal silicon microcantilever. The structure is processed using a lift-off technique, implemented using electron-beam lithography, to achieve excellent control over the size, alignment, dispersion and location of the nanowire array. As the first application of this platform, we measured internal friction at room temperature in aluminum nanowires that ranged from 50 to 100 nm in thickness and 100 to 400 nm in width. Internal friction is ~0.03 at frequencies of 6.5-21 kHz. Transmission electron microscopy of the nanocrystalline grain structure, and comparison with previously measured values of internal friction in continuous thin films of aluminum, suggest that grain-boundary sliding is a major source of internal friction in these nanowires.

  4. Self-assembled KCu7S4 nanowire monolayers for self-powered near-infrared photodetectors.

    PubMed

    Wang, You-Yi; Wu, Ya-Dong; Peng, Wei; Song, Yong-Hong; Wang, Bao; Wu, Chun-Yan; Lu, Yang

    2018-06-13

    Near infrared light (NIR) photodetectors based on one-dimensional semiconductor nanowires have generated considerable interest due to their practical application in versatile fields. We present a facile yet efficient approach to rationally integrating KCu7S4 semiconductor nanowires by the Langmuir-Blodgett (LB) technique. A self-powered near infrared (NIR) light photodetector is fabricated by transferring a close-packed KCu7S4 nanowire monolayer to the surface of a silicon wafer. The as-fabricated Si/KCu7S4 heterojunction with a close-packed and well-aligned nanowire array exhibits splendid photovoltaic performance when illuminated by NIR light, allowing the detection of NIR light without an exterior power supply. The photodetector exhibits a high sensitivity to NIR light (980 nm, 295.3 μW cm-2) with responsivity (R) 15 mA W-1 and detectivity (D*) 2.15 × 1012 cm Hz1/2 W-1. Significantly, the device shows the capability to work under high pulsed light irradiation up to 50 kHz with a high-speed response (response time τr 7.4 μs and recovery time τf 8.6 μs). This facilitates the fabrication of low-cost and high-speed photodetectors and integrated optoelectronic sensor circuitry.

  5. Functionalization of silicon nanowires by conductive and non-conductive polymers

    NASA Astrophysics Data System (ADS)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  6. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  7. Design and fabrication of a self-aligned parallel-plate-type silicon micromirror minimizing the effect of misalignment

    NASA Astrophysics Data System (ADS)

    Yoo, Byung-Wook; Park, Jae-Hyoung; Jin, Joo-Young; Jang, Yun-Ho; Kim, Yong-Kweon

    2009-05-01

    This paper describes a self-alignment method whereby a mirror actuation voltage, corresponding to a specific tilting angle, is unvarying in terms of misalignment during fabrication. A deep silicon etching process is proposed to penetrate the top silicon layer (the micromirror layer) and an amorphous silicon layer (the addressing electrode layer) together, through an aluminum mask pattern, in order to minimize the misalignment effect on the micromirror actuation. The size of a fabricated mirror plate is 250 × 250 × 4 µm3. A pair of amorphous silicon electrodes under the mirror plate is about half the size of the mirror plate individually. Numerical analysis associated with calculating the pull-in voltage and the bonding misalignment is performed to verify the self-alignment concepts focused upon in this paper. Curves of the applied voltage versus the tilt angle of the self-aligned micromirror are observed using a position sensing detector in order to compare the measurement results with MATLAB analysis of the expected static deflections. Although a 3.7 µm misalignment is found between the mirror plate and the electrodes, in the direction perpendicular to the shallow trench of the electrodes, before the self-alignment process, the measured pull-in voltage has been found to be 103.4 V on average; this differs from the pull-in voltage of a perfectly aligned micromirror by only 0.67%. Regardless of the unpredictable misalignments in repetitive photolithography and bonding, the tilting angles corresponding to the driving voltages are proved to be uniform along the single axis as well as conform to the results of analytical analysis.

  8. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  9. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Feng, J.; Qadri, S. N.; Caldwell, J. D.

    2015-09-01

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reaction between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.

  10. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  11. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE PAGES

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu; ...

    2015-09-09

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  12. Thermal conductivity of ultrathin nano-crystalline diamond films determined by Raman thermography assisted by silicon nanowires

    NASA Astrophysics Data System (ADS)

    Anaya, Julian; Rossi, Stefano; Alomari, Mohammed; Kohn, Erhard; Tóth, Lajos; Pécz, Béla; Kuball, Martin

    2015-06-01

    The thermal transport in polycrystalline diamond films near its nucleation region is still not well understood. Here, a steady-state technique to determine the thermal transport within the nano-crystalline diamond present at their nucleation site has been demonstrated. Taking advantage of silicon nanowires as surface temperature nano-sensors, and using Raman Thermography, the in-plane and cross-plane components of the thermal conductivity of ultra-thin diamond layers and their thermal barrier to the Si substrate were determined. Both components of the thermal conductivity of the nano-crystalline diamond were found to be well below the values of polycrystalline bulk diamond, with a cross-plane thermal conductivity larger than the in-plane thermal conductivity. Also a depth dependence of the lateral thermal conductivity through the diamond layer was determined. The results impact the design and integration of diamond for thermal management of AlGaN/GaN high power transistors and also show the usefulness of the nanowires as accurate nano-thermometers.

  13. Shear induced alignment of short nanofibers in 3D printed polymer composites.

    PubMed

    Yunus, Doruk Erdem; Shi, Wentao; Sohrabi, Salman; Liu, Yaling

    2016-12-09

    3D printing of composite materials offers an opportunity to combine the desired properties of composite materials with the flexibility of additive manufacturing in geometric shape and complexity. In this paper, the shear-induced alignment of aluminum oxide nanowires during stereolithography printing was utilized to fabricate a nanowire reinforced polymer composite. To align the fibers, a lateral oscillation mechanism was implemented and combined with wall pattern printing technique to generate shear flow in both vertical and horizontal directions. A series of specimens were fabricated for testing the composite material's tensile strength. The results showed that mechanical properties of the composite were improved by reinforcement of nanofibers through shear induced alignment. The improvement of tensile strength was approximately ∼28% by aligning the nanowires at 5 wt% (∼1.5% volume fraction) loading of aluminum oxide nanowires.

  14. Vertically Aligned Niobium Nanowire Arrays for Fast-Charging Micro-Supercapacitors.

    PubMed

    Mirvakili, Seyed M; Hunter, Ian W

    2017-07-01

    Planar micro-supercapacitors are attractive for system on chip technologies and surface mount devices due to their large areal capacitance and energy/power density compared to the traditional oxide-based capacitors. In the present work, a novel material, niobium nanowires, in form of vertically aligned electrodes for application in high performance planar micro-supercapacitors is introduced. Specific capacitance of up to 1 kF m -2 (100 mF cm -2 ) with peak energy and power density of 2 kJ m -2 (6.2 MJ m -3 or 1.7 mWh cm -3 ) and 150 kW m -2 (480 MW m -3 or 480 W cm -3 ), respectively, is achieved. This remarkable power density, originating from the extremely low equivalent series resistance value of 0.27 Ω (2.49 µΩ m 2 or 24.9 mΩ cm 2 ) and large specific capacitance, is among the highest for planar micro-supercapacitors electrodes made of nanomaterials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  16. Synthesis and optimization of the magnetic properties of aligned strontium ferrite nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ebrahimi, Fatemeh, E-mail: F.Ebrahimi@ma.iut.ac.ir; Bakhshi, Saeed Reza; Ashrafizadeh, Fakhreddin

    Highlights: • Dip coating method was used to synthesize strontium ferrite nanowires in template. • Size of nanowires was controlled via anodization parameters. • Fe/Sr ratio was optimized in precursor. • Magnetic properties of nanowires and nanopowders were compared. - Abstract: High aspect ratio strontium hexaferrite nanowires were fabricated by dip coating in alumina template. Fe/Sr ratio was changed from 10 to 12 in precursor, and the samples were annealed at a range of temperatures 500–900 °C in order to optimize the magnetic properties of strontium ferrite in the form of nanowires. Field emission scanning electron microscope (FESEM) proved themore » formation of nanowires in the templates, while TEM images revealed a high degree of crystallinity. The ferrites were further characterized by X-ray diffraction (XRD) and energy dispersive X-ray spectrometer (EDS). Magnetic properties of the specimens were studied by a SQUID at 10–300 K. The results showed that the coercivity of packed density nanowires in the template was much less than that of the nanopowders. On the other hand, the coercivity of nanowires at ambient temperature was less than low temperature coercivity.« less

  17. Stretchable Conductive Composites from Cu-Ag Nanowire Felt.

    PubMed

    Catenacci, Matthew J; Reyes, Christopher; Cruz, Mutya A; Wiley, Benjamin J

    2018-04-24

    Materials that retain a high conductivity under strain are essential for wearable electronics. This article describes a conductive, stretchable composite consisting of a Cu-Ag core-shell nanowire felt infiltrated with a silicone elastomer. This composite exhibits a retention of conductivity under strain that is superior to any composite with a conductivity greater than 1000 S cm -1 . This work also shows how the mechanical properties, conductivity, and deformation mechanism of the composite changes as a function of the stiffness of the silicone matrix. The retention of conductivity under strain was found to decrease as the Young's modulus of the matrix increased. This was attributed to void formation as a result of debonding between the nanowire felt and the elastomer. The nanowire composite was also patterned to create serpentine circuits with a stretchability of 300%.

  18. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  19. Large-Area Cross-Aligned Silver Nanowire Electrodes for Flexible, Transparent, and Force-Sensitive Mechanochromic Touch Screens.

    PubMed

    Cho, Seungse; Kang, Saewon; Pandya, Ashish; Shanker, Ravi; Khan, Ziyauddin; Lee, Youngsu; Park, Jonghwa; Craig, Stephen L; Ko, Hyunhyub

    2017-04-25

    Silver nanowire (AgNW) networks are considered to be promising structures for use as flexible transparent electrodes for various optoelectronic devices. One important application of AgNW transparent electrodes is the flexible touch screens. However, the performances of flexible touch screens are still limited by the large surface roughness and low electrical to optical conductivity ratio of random network AgNW electrodes. In addition, although the perception of writing force on the touch screen enables a variety of different functions, the current technology still relies on the complicated capacitive force touch sensors. This paper demonstrates a simple and high-throughput bar-coating assembly technique for the fabrication of large-area (>20 × 20 cm 2 ), highly cross-aligned AgNW networks for transparent electrodes with the sheet resistance of 21.0 Ω sq -1 at 95.0% of optical transmittance, which compares favorably with that of random AgNW networks (sheet resistance of 21.0 Ω sq -1 at 90.4% of optical transmittance). As a proof of concept demonstration, we fabricate flexible, transparent, and force-sensitive touch screens using cross-aligned AgNW electrodes integrated with mechanochromic spiropyran-polydimethylsiloxane composite film. Our force-sensitive touch screens enable the precise monitoring of dynamic writings, tracing and drawing of underneath pictures, and perception of handwriting patterns with locally different writing forces. The suggested technique provides a robust and powerful platform for the controllable assembly of nanowires beyond the scale of conventional fabrication techniques, which can find diverse applications in multifunctional flexible electronic and optoelectronic devices.

  20. Transparent silicon strip sensors for the optical alignment of particle detector systems

    NASA Astrophysics Data System (ADS)

    Blum, W.; Kroha, H.; Widmann, P.

    1996-02-01

    Modern large-area precision tracking detectors require increasing accuracy for the alignment of their components. A novel multi-point laser alignment system has been developed for such applications. The position of detector components with respect to reference laser beams is monitored by semi-transparent optical position sensors which work on the principle of silicon strip photodiodes. Two types of custom designed transparent strip sensors, based on crystalline and on amorphous silicon as active material, have been studied. The sensors are optimized for the typical diameters of collimated laser beams of 3-5 mm over distances of 10-20 m. They provide very high position resolution, on the order of 1 μm, uniformly over a wide measurement range of several centimeters. The preparation of the sensor surfaces requires special attention in order to achieve high light transmittance and minimum distortion of the traversing laser beams. At selected wavelengths, produced by laser diodes, transmission rates above 90% have been achieved. This allows to position more than 30 sensors along one laser beam. The sensors will be equipped with custom designed integrated readout electronics.

  1. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires.

    PubMed

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-12-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  2. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-07-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  3. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.

    2015-09-14

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reactionmore » between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.« less

  4. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    PubMed

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  5. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  6. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  7. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  8. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  9. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    PubMed Central

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  10. Spatiotemporal Imaging of the Acoustic Field Emitted by a Single Copper Nanowire

    NASA Astrophysics Data System (ADS)

    Jean, Cyril; Belliard, Laurent; Cornelius, Thomas W.; Thomas, Olivier; Pennec, Yan; Cassinelli, Marco; Toimil-Molares, Maria Eugenia; Perrin, Bernard

    2016-10-01

    The monochromatic and geometrically anisotropic acoustic field generated by 400 nm and 120 nm diameter copper nanowires simply dropped on a 10 $\\mu$m silicon membrane is investigated in transmission using three-dimensional time-resolved femtosecond pump-probe experiments. Two pump-probe time-resolved experiments are carried out at the same time on both side of the silicon substrate. In reflection, the first radial breathing mode of the nanowire is excited and detected. In transmission, the longitudinal and shear waves are observed. The longitudinal signal is followed by a monochromatic component associated with the relaxation of the nanowire's first radial breathing mode. Finite Difference Time Domain (FDTD) simulations are performed and accurately reproduce the diffracted field. A shape anisotropy resulting from the large aspect ratio of the nanowire is detected in the acoustic field. The orientation of the underlying nanowires is thus acoustically deduced.

  11. Template-Assisted Hydrothermal Growth of Aligned Zinc Oxide Nanowires for Piezoelectric Energy Harvesting Applications

    PubMed Central

    2016-01-01

    A flexible and robust piezoelectric nanogenerator (NG) based on a polymer-ceramic nanocomposite structure has been successfully fabricated via a cost-effective and scalable template-assisted hydrothermal synthesis method. Vertically aligned arrays of dense and uniform zinc oxide (ZnO) nanowires (NWs) with high aspect ratio (diameter ∼250 nm, length ∼12 μm) were grown within nanoporous polycarbonate (PC) templates. The energy conversion efficiency was found to be ∼4.2%, which is comparable to previously reported values for ZnO NWs. The resulting NG is found to have excellent fatigue performance, being relatively immune to detrimental environmental factors and mechanical failure, as the constituent ZnO NWs remain embedded and protected inside the polymer matrix. PMID:27172933

  12. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  13. Efficient and scalable ionization of neutral atoms by an orderly array of gold-doped silicon nanowires

    NASA Astrophysics Data System (ADS)

    Bucay, Igal; Helal, Ahmed; Dunsky, David; Leviyev, Alex; Mallavarapu, Akhila; Sreenivasan, S. V.; Raizen, Mark

    2017-04-01

    Ionization of atoms and molecules is an important process in many applications and processes such as mass spectrometry. Ionization is typically accomplished by electron bombardment, and while it is scalable to large volumes, is also very inefficient due to the small cross section of electron-atom collisions. Photoionization methods can be highly efficient, but are not scalable due to the small ionization volume. Electric field ionization is accomplished using ultra-sharp conducting tips biased to a few kilovolts, but suffers from a low ionization volume and tip fabrication limitations. We report on our progress towards an efficient, robust, and scalable method of atomic and molecular ionization using orderly arrays of sharp, gold-doped silicon nanowires. As demonstrated in earlier work, the presence of the gold greatly enhances the ionization probability, which was attributed to an increase in available acceptor surface states. We present here a novel process used to fabricate the nanowire array, results of simulations aimed at optimizing the configuration of the array, and our progress towards demonstrating efficient and scalable ionization.

  14. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  15. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  16. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  17. Aligned silver nanowire-based transparent electrodes for engineering polarisation-selective optoelectronics.

    PubMed

    Park, Byoungchoo; Bae, In-Gon; Huh, Yoon Ho

    2016-01-18

    We herein report on a remarkably simple, fast, and economic way of fabricating homogeneous and well oriented silver nanowires (AgNWs) that exhibit strong in-plane electrical and optical anisotropies. Using a small quantity of AgNW suspension, the horizontal-dip (H-dip) coating method was applied, in which highly oriented AgNWs were deposited unidirectionally along the direction of coating over centimetre-scale lengths very rapidly. In applying the H-dip-coating method, we adjusted the shear strain rate of the capillary flow in the Landau-Levich meniscus of the AgNW suspension, which induced a high degree of uniaxial orientational ordering (0.37-0.43) of the AgNWs, comparable with the ordering seen in archetypal nematic liquid crystal (LC) materials. These AgNWs could be used to fabricate not only transparent electrodes, but also LC-alignment electrodes for LC devices and/or polarising electrodes for organic photovoltaic devices, having the potential to revolutionise the architectures of a number of polarisation-selective opto-electronic devices for use in printed/organic electronics.

  18. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  19. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  20. Tunnel junction enhanced nanowire ultraviolet light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarwar, A. T. M. Golam; May, Brelon J.; Deitz, Julia I.

    Polarization engineered interband tunnel junctions (TJs) are integrated in nanowire ultraviolet (UV) light emitting diodes (LEDs). A ∼6 V reduction in turn-on voltage is achieved by the integration of tunnel junction at the base of polarization doped nanowire UV LEDs. Moreover, efficient hole injection into the nanowire LEDs leads to suppressed efficiency droop in TJ integrated nanowire LEDs. The combination of both reduced bias voltage and increased hole injection increases the wall plug efficiency in these devices. More than 100 μW of UV emission at ∼310 nm is measured with external quantum efficiency in the range of 4–6 m%. The realization of tunnel junctionmore » within the nanowire LEDs opens a pathway towards the monolithic integration of cascaded multi-junction nanowire LEDs on silicon.« less

  1. Aligning Ag Nanowires by a Facile Bioinspired Directional Liquid Transfer: Toward Anisotropic Flexible Conductive Electrodes.

    PubMed

    Meng, Lili; Bian, Ruixin; Guo, Cheng; Xu, Bojie; Liu, Huan; Jiang, Lei

    2018-06-01

    Recent years have witnessed the booming development of transparent flexible electrodes (TFEs) for their applications in electronics and optoelectronic devices. Various strategies have thus been developed for preparing TFEs with higher flexibility and conductivity. However, little work has focused on TFEs with anisotropic conductivity. Here, a facile strategy of directional liquid transfer is proposed, guided by a conical fibers array (CFA), based on which silver nanowires (AgNWs) are aligned on a soft poly(ethylene terephthalate) substrate in large scale. After further coating a second thin layer of the conductive polymer poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate), a TFE with notable anisotropic conductivity and excellent optical transmittance of 95.2% is prepared. It is proposed that the CFA enables fine control over the receding of the three-phase contact line during the dewetting process, where AgNWs are guided and aligned by the as-generated directional stress. Moreover, anisotropic electrochemical deposition is enabled where the Cu nanoparticles deposit only on the oriented AgNWs, leading to a surface with anisotropic wetting behavior. Importantly, the approach enables alignment of AgNWs via multiple directions at one step. It is envisioned that the as-developed approach will provide an optional approach for simple and low-cost preparation of TFE with various functions. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    NASA Astrophysics Data System (ADS)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  3. Optimal geometrical design of inertial vibration DC piezoelectric nanogenerators based on obliquely aligned InN nanowire arrays.

    PubMed

    Ku, Nai-Jen; Liu, Guocheng; Wang, Chao-Hung; Gupta, Kapil; Liao, Wei-Shun; Ban, Dayan; Liu, Chuan-Pu

    2017-09-28

    Piezoelectric nanogenerators have been investigated to generate electricity from environmental vibrations due to their energy conversion capabilities. In this study, we demonstrate an optimal geometrical design of inertial vibration direct-current piezoelectric nanogenerators based on obliquely aligned InN nanowire (NW) arrays with an optimized oblique angle of ∼58°, and driven by the inertial force of their own weight, using a mechanical shaker without any AC/DC converters. The nanogenerator device manifests potential applications not only as a unique energy harvesting device capable of scavenging energy from weak mechanical vibrations, but also as a sensitive strain sensor. The maximum output power density of the nanogenerator is estimated to be 2.9 nW cm -2 , leading to an improvement of about 3-12 times that of vertically aligned ZnO NW DC nanogenerators. Integration of two nanogenerators also exhibits a linear increase in the output power, offering an enormous potential for the creation of self-powered sustainable nanosystems utilizing incessantly natural ambient energy sources.

  4. Silicon Nanowire/Polymer Hybrid Solar Cell-Supercapacitor: A Self-Charging Power Unit with a Total Efficiency of 10.5.

    PubMed

    Liu, Ruiyuan; Wang, Jie; Sun, Teng; Wang, Mingjun; Wu, Changsheng; Zou, Haiyang; Song, Tao; Zhang, Xiaohong; Lee, Shuit-Tong; Wang, Zhong Lin; Sun, Baoquan

    2017-07-12

    An integrated self-charging power unit, combining a hybrid silicon nanowire/polymer heterojunction solar cell with a polypyrrole-based supercapacitor, has been demonstrated to simultaneously harvest solar energy and store it. By efficiency enhancement of the hybrid nanowire solar cells and a dual-functional titanium film serving as conjunct electrode of the solar cell and supercapacitor, the integrated system is able to yield a total photoelectric conversion to storage efficiency of 10.5%, which is the record value in all the integrated solar energy conversion and storage system. This system may not only serve as a buffer that diminishes the solar power fluctuations from light intensity, but also pave its way toward cost-effective high efficiency self-charging power unit. Finally, an integrated device based on ultrathin Si substrate is demonstrated to expand its feasibility and potential application in flexible energy conversion and storage devices.

  5. Laser-fiber coupling by means of a silicon micro-optical bench and a self-aligned soldering process

    NASA Astrophysics Data System (ADS)

    Schmidt, Jan P.; Cordes, A.; Mueller, Joerg; Burkhardt, Hans

    1995-02-01

    The alignment of laser diodes to monomode fibers has to meet extremely close tolerances for a low coupling loss. Typically < 0.5 micrometers in lateral and vertical direction and less than two degrees in angle deviation are allowed for a coupling loss below 2 dB. Presently such close tolerances can only be met by gluing or soldering both components on separate base plates and combining them via piezoactivated alignment monitoring the output of the circuit and then gluing them using UV-hardening epoxies. Such a procedure is not very economical and not useful for mass applications. This paper presents the principle and realization of a silicon micro-optical bench for laser-fiber-coupling, which avoids the above mentioned disadvantages. The micro-optical bench is realized using well controlled plasma etching processes to transfer the guiding patterns for the laser and the fiber into the silicon substrate, keeping geometry tolerances below +/- 0.5 micrometers in lateral and vertical direction. Mounting the laser diode by means of a self-aligned soldering process, an additional contribution to the precise alignment of the laser is further improved.

  6. Gunn-Hilsum Effect in Mechanically Strained Silicon Nanowires: Tunable Negative Differential Resistance.

    PubMed

    Shiri, Daryoush; Verma, Amit; Nekovei, Reza; Isacsson, Andreas; Selvakumar, C R; Anantram, M P

    2018-04-19

    Gunn (or Gunn-Hilsum) Effect and its associated negative differential resistivity (NDR) emanates from transfer of electrons between two different energy subbands. This effect was observed in semiconductors like GaAs which has a direct bandgap of very low effective mass and an indirect subband of high effective mass which lies ~300 meV above the former. In contrast to GaAs, bulk silicon has a very high energy spacing (~1 eV) which renders the initiation of transfer-induced NDR unobservable. Using Density Functional Theory (DFT), semi-empirical 10 orbital (sp 3 d 5 s * ) Tight Binding and Ensemble Monte Carlo (EMC) methods we show for the first time that (a) Gunn Effect can be induced in silicon nanowires (SiNW) with diameters of 3.1 nm under +3% strain and an electric field of 5000 V/cm, (b) the onset of NDR in the I-V characteristics is reversibly adjustable by strain and (c) strain modulates the resistivity by a factor 2.3 for SiNWs of normal I-V characteristics i.e. those without NDR. These observations are promising for applications of SiNWs in electromechanical sensors and adjustable microwave oscillators. It is noteworthy that the observed NDC is different in principle from Esaki-Diode and Resonant Tunneling Diodes (RTD) in which NDR originates from tunneling effect.

  7. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder.

    PubMed

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-14

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ∼5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of 'chaplet-like' structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ∼5 to ∼3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  8. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    NASA Astrophysics Data System (ADS)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  9. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  10. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    PubMed

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  11. Hierarchical silicon nanowires-carbon textiles matrix as a binder-free anode for high-performance advanced lithium-ion batteries

    PubMed Central

    Liu, Bin; Wang, Xianfu; Chen, Haitian; Wang, Zhuoran; Chen, Di; Cheng, Yi-Bing; Zhou, Chongwu; Shen, Guozhen

    2013-01-01

    Toward the increasing demands of portable energy storage and electric vehicle applications, the widely used graphite anodes with significant drawbacks become more and more unsuitable. Herein, we report a novel scaffold of hierarchical silicon nanowires-carbon textiles anodes fabricated via a facile method. Further, complete lithium-ion batteries based on Si and commercial LiCoO2 materials were assembled to investigate their corresponding across-the-aboard performances, demonstrating their enhanced specific capacity (2950 mAh g−1 at 0.2 C), good repeatability/rate capability (even >900 mAh g−1 at high rate of 5 C), long cycling life, and excellent stability in various external conditions (curvature, temperature, and humidity). Above results light the way to principally replacing graphite anodes with silicon-based electrodes which was confirmed to have better comprehensive performances. PMID:23572030

  12. Anisotropic Swelling and Fracture of Silicon Nanowires during Lithiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiao Hua; Zheng, He; Zhong, Li

    2011-08-10

    We report direct observation of an unexpected anisotropic swelling of Si nanowires during lithiation against either a solid electrolyte with a lithium counter-electrode or a liquid electrolyte with a LiCoO2 counter-electrode. Such anisotropic expansion is attributed to the interfacial processes of accommodating large volumetric strains at the lithiation reaction front that depend sensitively on the crystallographic orientation. This anisotropic swelling results in lithiated Si nanowires with a remarkable dumbbell-shaped cross section, which develops due to plastic flow and an ensuing necking instability that is induced by the tensile hoop stress buildup in the lithiated shell. The plasticity-driven morphological instabilities oftenmore » lead to fracture in lithiated nanowires, now captured in video. These results provide important insight into the battery degradation mechanisms.« less

  13. Increased x-ray conversion efficiency from ultra high contrast, relativistic laser pulse irradiation of large aspect ratio, vertically aligned nanowires

    NASA Astrophysics Data System (ADS)

    Hollinger, R. C.; Bargsten, C.; Shlyaptsev, V. N.; Kaymak, V.; Pukhov, A.; Capeluto, M. G.; Wang, Y.; Wang, S.; Rockwood, A.; Curtis, A.; Rocca, J. J.

    2016-10-01

    Recent experiments at Colorado State University have shown that the effective trapping of clean, Joule-level fs laser pulses of relativistic intensity in arrays of high aspect ratio aligned nanowire creates multi-kev, near solid density, large scale (>4um deep) plasmas. The drastically decreased radiative life time and increased hydrodynamic cooling time from these plasmas increases the x-ray conversion efficiency. We measured a record conversion efficiency of 10% into hv>1KeV photons (2pi steradians), and of 0.3% for hv>6KeV. The experiments used Au and Ni nanowires of 55nm, 80nm and 100nm in diameter with 12% of solid density irradiated by high contrast (>1012) pulses of 60fs FWHM duration from a frequency doubled Ti:Sa laser at intensities of I =5x1019Wcm-2. We also present preliminary results on x-ray emission from Rhodium nanowires in the 19-22KeV range and demonstrate the potential of this picosecond X-ray source in flash radiography. This work was supported by the Fusion Energy Program, Office of Science of the U.S Department of Energy, and by the Defense Threat Reduction Agency Grant HDTRA-1-10-1-0079.

  14. Facile electrosynthesis of silicon carbide nanowires from silica/carbon precursors in molten salt.

    PubMed

    Zou, Xingli; Ji, Li; Lu, Xionggang; Zhou, Zhongfu

    2017-08-30

    Silicon carbide nanowires (SiC NWs) have attracted intensive attention in recent years due to their outstanding performances in many applications. A large-scale and facile production of SiC NWs is critical to its successful application. Here, we report a simple method for the production of SiC NWs from inexpensive and abundantly available silica/carbon (SiO 2 /C) precursors in molten calcium chloride. The solid-to-solid electroreduction and dissolution-electrodeposition mechanisms can easily lead to the formation of homogenous SiC NWs. This template/catalyst-free approach greatly simplifies the synthesis procedure compared to conventional methods. This general strategy opens a direct electrochemical route for the conversion of SiO 2 /C into SiC NWs, and may also have implications for the electrosynthesis of other micro/nanostructured metal carbides/composites from metal oxides/carbon precursors.

  15. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE PAGES

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor; ...

    2018-04-04

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  16. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  17. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  18. Polymer taper bridge for silicon waveguide to single mode waveguide coupling

    NASA Astrophysics Data System (ADS)

    Kruse, Kevin; Middlebrook, Christopher T.

    2016-03-01

    Coupling of optical power from high-density silicon waveguides to silica optical fibers for signal routing can incur high losses and often requires complex end-face preparation/processing. Novel coupling device taper structures are proposed for low coupling loss between silicon photonic waveguides and single mode fibers are proposed and devices are fabricated and measured in terms of performance. Theoretical mode conversion models for waveguide tapers are derived for optimal device structure design and performance. Commercially viable vertical and multi-layer taper designs using polymer waveguide materials are proposed as innovative, cost-efficient, and mass-manufacturable optical coupling devices. The coupling efficiency for both designs is determined to evaluate optimal device dimensions and alignment tolerances with both silicon rib waveguides and silicon nanowire waveguides. Propagation loss as a function of waveguide roughness and metallic loss are determined and correlated to waveguide dimensions to obtain total insertion loss for the proposed taper designs. Multi-layer tapers on gold-sputtered substrates are fabricated through photolithography as proof-of-concept devices and evaluated for device loss optimization. Tapered waveguide coupling loss with Si WGs (2.74 dB) was experimentally measured with high correlation to theoretical results.

  19. Ultrafast and sensitive photodetector based on a PtSe2/silicon nanowire array heterojunction with a multiband spectral response from 200 to 1550 nm

    NASA Astrophysics Data System (ADS)

    Zeng, Longhui; Lin, Shenghuang; Lou, Zhenhua; Yuan, Huiyu; Long, Hui; Li, Yanyong; Lu, Wei; Lau, Shu Ping; Wu, Di; Tsang, Yuen Hong

    2018-04-01

    The newly discovered Group-10 transition metal dichalcogenides (TMDs) like PtSe2 have promising applications in high-performance microelectronic and optoelectronic devices due to their high carrier mobilities, widely tunable bandages and ultrastabilities. However, the optoelectronic performance of broadband PtSe2 photodetectors integrated with silicon remains undiscovered. Here, we report the successful preparation of large-scale, uniform and vertically grown PtSe2 films by simple selenization method for the design of a PtSe2/Si nanowire array heterostructure, which exhibited a very good photoresponsivity of 12.65 A/W, a high specific detectivity of 2.5 × 1013 Jones at -5 V and fast rise/fall times of 10.1/19.5 μs at 10 kHz without degradation while being capable of responding to high frequencies of up to 120 kHz. Our work has demonstrated the compatibility of PtSe2 with the existing silicon technology and ultrabroad band detection ranging from deep ultraviolet to optical telecommunication wavelengths, which can largely cover the limitations of silicon detectors. Further investigation of the device revealed pronounced photovoltaic behavior at 0 V, making it capable of operating as a self-powered photodetector. Overall, this representative PtSe2/Si nanowire array-based photodetector offers great potential for applications in next-generation optoelectronic and electronic devices.

  20. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    NASA Astrophysics Data System (ADS)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  1. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    stacking faults, dislocations, and nanopipes, which are common in catalyst-mediated nanowires and nanotubes, adversely affect the efficiency of nanowire (nanotube) nanoelectro-mechanical devices. The influence of seed-to-seed distance and collection area radius on the self-catalyzed, self-aligned nanowire growths in large arrays of seeds has been examined. A hypothesis has been presented for this. The present results are in good agreement with experiments. These results suggest that the SCG nanowires are perhaps the best vehicles for revolutionary advancement of tomorrow's nanotechnology.

  2. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  3. Random access actuation of nanowire grid metamaterial

    NASA Astrophysics Data System (ADS)

    Cencillo-Abad, Pablo; Ou, Jun-Yu; Plum, Eric; Valente, João; Zheludev, Nikolay I.

    2016-12-01

    While metamaterials offer engineered static optical properties, future artificial media with dynamic random-access control over shape and position of meta-molecules will provide arbitrary control of light propagation. The simplest example of such a reconfigurable metamaterial is a nanowire grid metasurface with subwavelength wire spacing. Recently we demonstrated computationally that such a metadevice with individually controlled wire positions could be used as dynamic diffraction grating, beam steering module and tunable focusing element. Here we report on the nanomembrane realization of such a nanowire grid metasurface constructed from individually addressable plasmonic chevron nanowires with a 230 nm × 100 nm cross-section, which consist of gold and silicon nitride. The active structure of the metadevice consists of 15 nanowires each 18 μm long and is fabricated by a combination of electron beam lithography and ion beam milling. It is packaged as a microchip device where the nanowires can be individually actuated by control currents via differential thermal expansion.

  4. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  5. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  6. Model of step propagation and step bunching at the sidewalls of nanowires

    NASA Astrophysics Data System (ADS)

    Filimonov, Sergey N.; Hervieu, Yuri Yu.

    2015-10-01

    Radial growth of vertically aligned nanowires involves formation and propagation of monoatomic steps at atomically smooth nanowire sidewalls. Here we study the step dynamics with a step flow model taking into account the presence of a strong sink for adatoms at top of the nanowire and adatom exchange between the nanowire sidewall and surrounding substrate surface. Analytical expressions for velocities of steps propagating from the nanowire base to the nanowire top are obtained. It is shown that the step approaching the nanowire top will slow down if the top nanowire facet is a stronger sink for adatoms than the sidewall step. This might trigger bunching of the steps at the sidewall resulting in development of the pencil-like shape of nanowires such as observed in, e.g., the Au-assisted MBE growth of InAs.

  7. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  8. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  9. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  10. One-Dimensional Porous Silicon Nanowires with Large Surface Area for Fast Charge⁻Discharge Lithium-Ion Batteries.

    PubMed

    Chen, Xu; Bi, Qinsong; Sajjad, Muhammad; Wang, Xu; Ren, Yang; Zhou, Xiaowei; Xu, Wen; Liu, Zhu

    2018-04-27

    In this study, one-dimensional porous silicon nanowire (1D⁻PSiNW) arrays were fabricated by one-step metal-assisted chemical etching (MACE) to etch phosphorus-doped silicon wafers. The as-prepared mesoporous 1D⁻PSiNW arrays here had especially high specific surface areas of 323.47 m²·g -1 and were applied as anodes to achieve fast charge⁻discharge performance for lithium ion batteries (LIBs). The 1D⁻PSiNWs anodes with feature size of ~7 nm exhibited reversible specific capacity of 2061.1 mAh·g -1 after 1000 cycles at a high current density of 1.5 A·g -1 . Moreover, under the ultrafast charge⁻discharge current rate of 16.0 A·g -1 , the 1D⁻PSiNWs anodes still maintained 586.7 mAh·g -1 capacity even after 5000 cycles. This nanoporous 1D⁻PSiNW with high surface area is a potential anode candidate for the ultrafast charge⁻discharge in LIBs with high specific capacity and superior cycling performance.

  11. A silicon nanowire-reduced graphene oxide composite as a high-performance lithium ion battery anode material.

    PubMed

    Ren, Jian-Guo; Wang, Chundong; Wu, Qi-Hui; Liu, Xiang; Yang, Yang; He, Lifang; Zhang, Wenjun

    2014-03-21

    Toward the increasing demands of portable energy storage and electric vehicle applications, silicon has been emerging as a promising anode material for lithium-ion batteries (LIBs) owing to its high specific capacity. However, serious pulverization of bulk silicon during cycling limits its cycle life. Herein, we report a novel hierarchical Si nanowire (Si NW)-reduced graphene oxide (rGO) composite fabricated using a solvothermal method followed by a chemical vapor deposition process. In the composite, the uniform-sized [111]-oriented Si NWs are well dispersed on the rGO surface and in between rGO sheets. The flexible rGO enables us to maintain the structural integrity and to provide a continuous conductive network of the electrode, which results in over 100 cycles serving as an anode in half cells at a high lithium storage capacity of 2300 mA h g(-1). Due to its [111] growth direction and the large contact area with rGO, the Si NWs in the composite show substantially enhanced reaction kinetics compared with other Si NWs or Si particles.

  12. Solution-Based Electro-Orientation Spectroscopy (EOS) for Contactless Measurement of Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Wuhan; Mohabir, Amar; Tutuncuoglu, Gozde; Filler, Michael; Feldman, Leonard; Shan, Jerry

    2017-11-01

    Solution-based, contactless methods for determining the electrical conductivity of nanowires and nanotubes have unique advantages over conventional techniques in terms of high throughput and compatibility with further solution-based processing and assembly methods. Here, we describe the solution-based electro-orientation spectroscopy (EOS) method, in which nanowire conductivity is measured from the AC-electric-field-induced alignment rate of the nanowire in a suspending fluid. The particle conductivity is determined from the measured crossover frequency between conductivity-dominated, low-frequency alignment to the permittivity-dominated, high-frequency regime. We discuss the extension of the EOS measurement range by an order-of-magnitude, taking advantage of the high dielectric constant of deionized water. With water and other fluids, we demonstrate that EOS can quantitatively characterize the electrical conductivities of nanowires over a 7-order-of-magnitude range, 10-5 to 102 S/m. We highlight the efficiency and utility of EOS for nanomaterial characterization by statistically characterizing the variability of semiconductor nanowires of the same nominal composition, and studying the connection between synthesis parameters and properties. NSF CBET-1604931.

  13. Preparation and characterization of oriented silica nanowires

    NASA Astrophysics Data System (ADS)

    Sun, S. H.; Meng, G. W.; Zhang, M. G.; Tian, Y. T.; Xie, T.; Zhang, L. D.

    2003-11-01

    Large-scale of oriented closely packed silica nanowire bunches have been synthesized by using large size (1-10 μm in diameter), low melting point tin droplets as catalyst on silicon wafers at 980 °C. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses show that the amorphous silica nanowires have lengths of 50-100 μm and diameters of 100-200 nm. Unlike any previous observed results using high melting point metal (such as gold and iron) as catalyst, the Sn catalyst growth exhibits many interesting phenomena. Each Sn ball can simultaneously catalyze the growth of many silica nanowires, which is quite different from the conventional vapor-liquid-solid process.

  14. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  15. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  16. Preparation of nanowire specimens for laser-assisted atom probe tomography

    NASA Astrophysics Data System (ADS)

    Blumtritt, H.; Isheim, D.; Senz, S.; Seidman, D. N.; Moutanabbir, O.

    2014-10-01

    The availability of reliable and well-engineered commercial instruments and data analysis software has led to development in recent years of robust and ergonomic atom-probe tomographs. Indeed, atom-probe tomography (APT) is now being applied to a broader range of materials classes that involve highly important scientific and technological problems in materials science and engineering. Dual-beam focused-ion beam microscopy and its application to the fabrication of APT microtip specimens have dramatically improved the ability to probe a variety of systems. However, the sample preparation is still challenging especially for emerging nanomaterials such as epitaxial nanowires which typically grow vertically on a substrate through metal-catalyzed vapor phase epitaxy. The size, morphology, density, and sensitivity to radiation damage are the most influential parameters in the preparation of nanowire specimens for APT. In this paper, we describe a step-by-step process methodology to allow a precisely controlled, damage-free transfer of individual, short silicon nanowires onto atom probe microposts. Starting with a dense array of tiny nanowires and using focused ion beam, we employed a sequence of protective layers and markers to identify the nanowire to be transferred and probed while protecting it against Ga ions during lift-off processing and tip sharpening. Based on this approach, high-quality three-dimensional atom-by-atom maps of single aluminum-catalyzed silicon nanowires are obtained using a highly focused ultraviolet laser-assisted local electrode atom probe tomograph.

  17. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  18. The effect of electrospun nanofibers alignment on the synthesis of one-dimensional silicon carbide nanostructure

    NASA Astrophysics Data System (ADS)

    Hooshyar, Ali; Kokabi, Mehrdad

    2018-01-01

    One-dimensional silicon carbide (1D SiC) nanostructure has shown unusual properties such as extremely high strength, good flexibility, fracture toughness, wide band gap ( 3.2eV), large breakdown electric field strength (>2 MV cm-1, 10 times that of silicon), and inverse Hall-Petch effect. Because of these advantages, 1D SiC nanomaterial has gained extensive attention on the wide range of applications in microelectronics, optoelectronics, nanocomposites, and catalyst supports. Many methods have been used for the synthesis of 1D SiC nanostructures such as chemical vapor deposition, carbon nanotube-confined reaction, laser ablation, high-frequency induction heating, and arc discharge. However, these methods have also some shortcomings such as using catalyst, high-cost, low yield, irregular geometry and impurity. In this work, electrospinning was used to prepare aligned PVA/SiO2 composite nanofibers and the effect of fiber alignment on the production efficiency and quality of 1D SiC nanostructure was investigated. For this purpose, aligned electrospun nanofibers, as the desirable precursor, were put in a tube furnace and heated up to 1250°C under a controlled program in an inert atmosphere. Finally, the grown 1D SiC nanostructure product was characterized using SEM, XRD, and FTIR. The results confirmed the successful synthesis of pure crystalline1D β-SiC nanostructure with high yield, more regular, and metal catalyst-free.

  19. FDTD modeling of solar energy absorption in silicon branched nanowires.

    PubMed

    Lundgren, Christin; Lopez, Rene; Redwing, Joan; Melde, Kathleen

    2013-05-06

    Thin film nanostructured photovoltaic cells are increasing in efficiency and decreasing the cost of solar energy. FDTD modeling of branched nanowire 'forests' are shown to have improved optical absorption in the visible and near-IR spectra over nanowire arrays alone, with a factor of 5 enhancement available at 1000 nm. Alternate BNW tree configurations are presented, achieving a maximum absorption of over 95% at 500 nm.

  20. Monolithically Integrated Self-Charging Power Pack Consisting of a Silicon Nanowire Array/Conductive Polymer Hybrid Solar Cell and a Laser-Scribed Graphene Supercapacitor.

    PubMed

    Liu, Hanhui; Li, Mengping; Kaner, Richard B; Chen, Songyan; Pei, Qibing

    2018-05-09

    Owing to the need for portable and sustainable energy sources and the development trend for microminiaturization and multifunctionalization in the electronic components, the study of integrated self-charging power packs has attracted increasing attention. A new self-charging power pack consisting of a silicon nanowire array/poly(3,4-ethylenedioxythiophene):polystyrenesulfonate (PEDOT:PSS) hybrid solar cell and a laser-scribed graphene (LSG) supercapacitor has been fabricated. The Si nanowire array/PEDOT:PSS hybrid solar cell structure exhibited a high power conversion efficiency (PCE) of 12.37%. The LSG demonstrated excellent energy storage capability for the power pack, with high current density, energy density, and cyclic stability when compared to other supercapacitor electrodes such as active carbon and conducting polymers. The overall efficiency of the power unit is 2.92%.

  1. Enhanced photoluminescence and field-emission behavior of vertically well aligned arrays of In-doped ZnO Nanowires.

    PubMed

    Ahmad, Mashkoor; Sun, Hongyu; Zhu, Jing

    2011-04-01

    Vertically oriented well-aligned Indium doped ZnO nanowires (NWs) have been successfully synthesized on Au-coated Zn substrate by controlled thermal evaporation. The effect of indium dopant on the optical and field-emission properties of these well-aligned ZnO NWs is investigated. The doped NWs are found to be single crystals grown along the c-axis. The composition of the doped NWs is confirmed by X-ray diffraction (XRD), energy-dispersive spectroscopy (EDS), and X-ray photospectroscopy (XPS). The photoluminescence (PL) spectra of doped NWs having a blue-shift in the UV region show a prominent tuning in the optical band gap, without any significant peak relating to intrinsic defects. The turn-on field of the field emission is found to be ∼2.4 V μm(-1) and an emission current density of 1.13 mA cm(-2) under the field of 5.9 V μm(-1). The field enhancement factor β is estimated to be 9490 ± 2, which is much higher than that of any previous report. Furthermore, the doped NWs exhibit good emission current stability with a variation of less than 5% during a 200 s under a field of 5.9 V μm(-1). The superior field emission properties are attributed to the good alignment, high aspect ratio, and better crystallinity of In-doped NWs. © 2011 American Chemical Society

  2. Flexible Semitransparent Energy Harvester with High Pressure Sensitivity and Power Density Based on Laterally Aligned PZT Single-Crystal Nanowires.

    PubMed

    Zhao, Quan-Liang; He, Guang-Ping; Di, Jie-Jian; Song, Wei-Li; Hou, Zhi-Ling; Tan, Pei-Pei; Wang, Da-Wei; Cao, Mao-Sheng

    2017-07-26

    A flexible semitransparent energy harvester is assembled based on laterally aligned Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) single-crystal nanowires (NWs). Such a harvester presents the highest open-circuit voltage and a stable area power density of up to 10 V and 0.27 μW/cm 2 , respectively. A high pressure sensitivity of 0.14 V/kPa is obtained in the dynamic pressure sensing, much larger than the values reported in other energy harvesters based on piezoelectric single-crystal NWs. Furthermore, theoretical and finite element analyses also confirm that the piezoelectric voltage constant g 33 of PZT NWs is competitive to the lead-based bulk single crystals and ceramics, and the enhanced pressure sensitivity and power density are substantially linked to the flexible structure with laterally aligned PZT NWs. The energy harvester in this work holds great potential in flexible and transparent sensing and self-powered systems.

  3. Measuring thermal conductivity of polystyrene nanowires using the dual-cantilever technique.

    PubMed

    Canetta, Carlo; Guo, Samuel; Narayanaswamy, Arvind

    2014-10-01

    Thermal conductance measurements are performed on individual polystyrene nanowires using a novel measurement technique in which the wires are suspended between two bi-material microcantilever sensors. The nanowires are fabricated via electrospinning process. Thermal conductivity of the nanowire samples is found to be between 6.6 and 14.4 W m(-1) K(-1) depending on sample, a significant increase above typical bulk conductivity values for polystyrene. The high strain rates characteristic of electrospinning are believed to lead to alignment of molecular polymer chains, and hence the increase in thermal conductivity, along the axis of the nanowire.

  4. Effects of lithium insertion on thermal conductivity of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Wen; Institute of High Performance Computing, A*STAR, Singapore, Singapore 138632; Zhang, Gang, E-mail: zhangg@ihpc.a-star.edu.sg

    2015-04-27

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reductionmore » in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.« less

  5. Nanostructured Silicon Used for Flexible and Mobile Electricity Generation.

    PubMed

    Sun, Baoquan; Shao, Mingwang; Lee, Shuitong

    2016-12-01

    The use of nanostructured silicon for the generation of electricity in flexible and mobile devices is reviewed. This field has attracted widespread interest in recent years due to the emergence of plastic electronics. Such developments are likely to alter the nature of power sources in the near future. For example, flexible photovoltaic cells can supply electricity to rugged and collapsible electronics, biomedical devices, and conformable solar panels that are integrated with the curved surfaces of vehicles or buildings. Here, the unique optical and electrical properties of nanostructured silicon are examined, with regard to how they can be exploited in flexible photovoltaics, thermoelectric generators, and piezoelectric devices, which serve as power generators. Particular emphasis is placed on organic-silicon heterojunction photovoltaic devices, silicon-nanowire-based thermoelectric generators, and core-shell silicon/silicon oxide nanowire-based piezoelectric devices, because they are flexible, lightweight, and portable. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Dielectrophoretic investigation of Bi₂Te₃ nanowires-a microfabricated thermoelectric characterization platform for measuring the thermoelectric and structural properties of single nanowires.

    PubMed

    Wang, Zhi; Kojda, Danny; Peranio, Nicola; Kroener, Michael; Mitdank, Rüdiger; Toellner, William; Nielsch, Kornelius; Fischer, Saskia F; Gutsch, Sebastian; Zacharias, Margit; Eibl, Oliver; Woias, Peter

    2015-03-27

    In this article a microfabricated thermoelectric nanowire characterization platform to investigate the thermoelectric and structural properties of single nanowires is presented. By means of dielectrophoresis (DEP), a method to manipulate and orient nanowires in a controlled way to assemble them onto our measurement platform is introduced. The thermoelectric platform fabricated with optimally designed DEP electrodes results in a yield of nanowire assembly of approximately 90% under an applied peak-to-peak ac signal Vpp = 10 V and frequency f = 20 MHz within a series of 200 experiments. Ohmic contacts between the aligned single nanowire and the electrodes on the platform are established by electron beam-induced deposition. The Seebeck coefficient and electrical conductivity of electrochemically synthesized Bi2Te3 nanowires are measured to be -51 μV K(-1) and (943 ± 160)/(Ω(-1) cm(-1)), respectively. Chemical composition and crystallographic structure are obtained using transmission electron microscopy. The selected nanowire is observed to be single crystalline over its entire length and no grain boundaries are detected. At the surface of the nanowire, 66.1 ± 1.1 at.% Te and 34.9 ± 1.1 at.% Bi are observed. In contrast, chemical composition of 64.2 at.% Te and 35.8 at.% Bi is detected in the thick center of the nanowire.

  7. Mapping carrier diffusion in single silicon core-shell nanowires with ultrafast optical microscopy.

    PubMed

    Seo, M A; Yoo, J; Dayeh, S A; Picraux, S T; Taylor, A J; Prasankumar, R P

    2012-12-12

    Recent success in the fabrication of axial and radial core-shell heterostructures, composed of one or more layers with different properties, on semiconductor nanowires (NWs) has enabled greater control of NW-based device operation for various applications. (1-3) However, further progress toward significant performance enhancements in a given application is hindered by the limited knowledge of carrier dynamics in these structures. In particular, the strong influence of interfaces between different layers in NWs on transport makes it especially important to understand carrier dynamics in these quasi-one-dimensional systems. Here, we use ultrafast optical microscopy (4) to directly examine carrier relaxation and diffusion in single silicon core-only and Si/SiO(2) core-shell NWs with high temporal and spatial resolution in a noncontact manner. This enables us to reveal strong coherent phonon oscillations and experimentally map electron and hole diffusion currents in individual semiconductor NWs for the first time.

  8. Aligned carbon nanotube/zinc oxide nanowire hybrids as high performance electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Al-Asadi, Ahmed S.; Henley, Luke Alexander; Wasala, Milinda; Muchharla, Baleeswaraiah; Perea-Lopez, Nestor; Carozo, Victor; Lin, Zhong; Terrones, Mauricio; Mondal, Kanchan; Kordas, Krisztian; Talapatra, Saikat

    2017-03-01

    Carbon nanotube/metal oxide based hybrids are envisioned as high performance electrochemical energy storage electrodes since these systems can provide improved performances utilizing an electric double layer coupled with fast faradaic pseudocapacitive charge storage mechanisms. In this work, we show that high performance supercapacitor electrodes with a specific capacitance of ˜192 F/g along with a maximum energy density of ˜3.8 W h/kg and a power density of ˜ 28 kW/kg can be achieved by synthesizing zinc oxide nanowires (ZnO NWs) directly on top of aligned multi-walled carbon nanotubes (MWCNTs). In comparison to pristine MWCNTs, these constitute a 12-fold of increase in specific capacitance as well as corresponding power and energy density values. These electrodes also possess high cycling stability and were able to retain ˜99% of their specific capacitance value over 2000 charging discharging cycles. These findings indicate potential use of a MWCNT/ZnO NW hybrid material for future electrochemical energy storage applications.

  9. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  10. Improved performance of flexible amorphous silicon solar cells with silver nanowires

    NASA Astrophysics Data System (ADS)

    Chen, Y. R.; Li, Z. Q.; Chen, X. H.; Liu, C.; Ye, X. J.; Wang, Z. B.; Sun, Z.; Huang, S. M.

    2012-12-01

    A novel hybrid electrode structure using Ag nanowires (NWs) to create surface plasmons to enhance light trapping is designed and applied on the front surface of hydrogenated amorphous silicon (a-Si:H) solar cells on steel substrates, targeting broad-band absorption enhancements. Ag NWs were synthesized using a soft and self-seeding process. The produced Ag NWs were deposited on indium tin oxide (ITO) glass substrates or the ITO layers of the as-prepared flexible a-Si:H solar cells to form Ag NW-ITO hybrid electrodes. The Ag NW-ITO hybrid electrodes were optimized to achieve maximum optical enhancement using surface plasmons and obtain good electrical contacts in cells. Finite-element electromagnetic simulations confirmed that the presence of the Ag NWs resulted in increased electromagnetic fields within the a-Si:H layer. Compared to the cell with conventional ITO electrode, the measured quantum efficiency of the best performing a-Si:H cell shows an obvious enhancement in the wavelength range from 330 nm to 600 nm. The cell based on the optimized Ag NW-ITO demonstrates an increase about 4% in short-circuit current density and over 6% in power conversion efficiency under AM 1.5 illumination.

  11. Vertically aligned CdSe nanowire arrays for energy harvesting and piezotronic devices.

    PubMed

    Zhou, Yu Sheng; Wang, Kai; Han, Weihua; Rai, Satish Chandra; Zhang, Yan; Ding, Yong; Pan, Caofeng; Zhang, Fang; Zhou, Weilie; Wang, Zhong Lin

    2012-07-24

    We demonstrated the energy harvesting potential and piezotronic effect in vertically aligned CdSe nanowire (NW) arrays for the first time. The CdSe NW arrays were grown on a mica substrate by the vapor-liquid-solid process using a CdSe thin film as seed layer and platinum as catalyst. High-resolution transmission electron microscopy image and selected area electron diffraction pattern indicate that the CdSe NWs have a wurtzite structure and growth direction along (0001). Using conductive atomic force microscopy (AFM), an average output voltage of 30.7 mV and maximum of 137 mV were obtained. To investigate the effect of strain on electron transport, the current-voltage characteristics of the NWs were studied by positioning an AFM tip on top of an individual NW. By applying normal force/stress on the NW, the Schottky barrier between the Pt and CdSe was found to be elevated due to the piezotronic effect. With the change of strain of 0.12%, a current decreased from 84 to 17 pA at 2 V bias. This paper shows that the vertical CdSe NW array is a potential candidate for future piezo-phototronic devices.

  12. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  13. Efficiency enhancement of silicon nanowire solar cells by using UV/Ozone treatments and micro-grid electrodes

    NASA Astrophysics Data System (ADS)

    Chen, Junyi; Subramani, Thiyagu; Sun, Yonglie; Jevasuwan, Wipakorn; Fukata, Naoki

    2018-05-01

    Silicon nanowire solar cells were fabricated by metal catalyzed electroless etching (MCEE) followed by thermal chemical vapor deposition (CVD). In this study, we investigated two effects, a UV/ozone treatment and the use of a micro-grid electrodes, to enhance light absorption and reduce the optic losses in the solar cell device. The UV/ozone treatment successfully improved the conversion efficiency. The micro-grid electrodes were then applied in solar cell devices subjected to a back surface field (BSF) treatment and rapid thermal annealing (RTA). These effects improved the conversion efficiency from 9.4% to 10.9%. Moreover, to reduce surface recombination and improve the continuity of front electrodes, we optimized the etching time of the MCEE process, giving a high efficiency of 12.3%.

  14. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  15. Insertion of Vertically Aligned Nanowires into Living Cells by Inkjet Printing of Cells.

    PubMed

    Lee, Donggyu; Lee, Daehee; Won, Yulim; Hong, Hyeonaug; Kim, Yongjae; Song, Hyunwoo; Pyun, Jae-Chul; Cho, Yong Soo; Ryu, Wonhyoung; Moon, Jooho

    2016-03-01

    Effective insertion of vertically aligned nanowires (NWs) into cells is critical for bioelectrical and biochemical devices, biological delivery systems, and photosynthetic bioenergy harvesting. However, accurate insertion of NWs into living cells using scalable processes has not yet been achieved. Here, NWs are inserted into living Chlamydomonas reinhardtii cells (Chlamy cells) via inkjet printing of the Chlamy cells, representing a low-cost and large-scale method for inserting NWs into living cells. Jetting conditions and printable bioink composed of living Chlamy cells are optimized to achieve stable jetting and precise ink deposition of bioink for indentation of NWs into Chlamy cells. Fluorescence confocal microscopy is used to verify the viability of Chlamy cells after inkjet printing. Simple mechanical considerations of the cell membrane and droplet kinetics are developed to control the jetting force to allow penetration of the NWs into cells. The results suggest that inkjet printing is an effective, controllable tool for stable insertion of NWs into cells with economic and scale-related advantages. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Fabrication and characterization of high-K dielectric integrated silicon nanowire sensor for DNA sensing application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Jayakumar, Ganesh; Legallais, Maxime; Hellström, Per-Erik; Mouis, Mireille; Stambouli, Valérie; Ternon, Céline; Östling, Mikael

    2016-09-01

    1D silicon nanowires (SiNW) are attractive for charge based DNA sensing applications due to their small size and large surface to volume ratio. An ideal portable biosensor is expected to have repeatable and reliable sensitivity, selectivity, low production cost and small feature size. Instead of using tools such as e-beam that are capital and time intensive, we propose a low cost CMOS self-aligned-double-patterning I-line lithography process to fabricate 60 nm wide SiNW. DNA probes are grafted on a thin dielectric layer that is deposited on top of the SiNW surface. Here we used HfO2 instead of the usual SiO2. Indeed, compared to SiO2, HfO2 has been reported to have higher amount of OH groups on its surface leading to enhanced signal quality. We also report preliminary biosensor characterizations. After HfO2 functionalization and single-stranded DNA probe grafting onto the SiNWs, the sensors were first put in contact with fluorophore labelled complementary DNA targets in order to test the efficiency of DNA hybridization optically. Then, a sequence of hybridization, de-hybridization and re-hybridization steps was followed by Id-Vg measurements in order to measure the electrical response of the sensors to target DNA as well as recycling capability. After each step, SiNW devices exhibited a threshold voltage shift larger than device-to-device dispersion, showing that both complementary DNA hybridization and de-hybridization can be electrically detected. These results are very encouraging as they open new frontiers for heterogeneous integration of liquid interacting array of nano sensors with CMOS circuits to fabricate a complete lab on chip.

  17. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  18. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  19. Neuron-like differentiation of mesenchymal stem cells on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Kim, Hyunju; Kim, Ilsoo; Choi, Heon-Jin; Kim, So Yeon; Yang, Eun Gyeong

    2015-10-01

    The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal stem cells (hMSCs) were cultured on the longest SiNWs for 3 days, most of the cells exhibited elongated shapes with neurite-like extensions and dot-like focal adhesions that were prominently observed along with actin filaments. Under these circumstances, the cell motility analyzed by live cell imaging was found to decrease due to the presence of SiNWs. In addition, the slowed growth rate, as well as the reduced population of S phase cells, suggested that the cell cycle was likely arrested in response to the differentiation process. Furthermore, we measured the mRNA levels of several lineage-specific markers to confirm that the SiNWs actually induced neuron-like differentiation of the hMSCs while hampering their osteogenic differentiation. Taken together, our results implied that SiNWs were capable of inducing active reorganization of cellular behaviors, collectively guiding the fate of hMSCs into the neural lineage even in the absence of any inducing reagent.The behavior of mammalian cells on vertical nanowire (NW) arrays, including cell spreading and the dynamic distribution of focal adhesions and cytoskeletal proteins, has been intensively studied to extend the implications for cellular manipulations in vitro. Prompted by the result that cells on silicon (Si) NWs showed morphological changes and reduced migration rates, we have explored the transition of mesenchymal stem cells into a neuronal lineage by using SiNWs with varying lengths. When human mesenchymal

  20. Analysis of an anti-reflecting nanowire transparent electrode for solar cells

    NASA Astrophysics Data System (ADS)

    Zhao, Zhexin; Wang, Ken Xingze; Fan, Shanhui

    2017-03-01

    Transparent electrodes are an important component in many optoelectronic devices, especially solar cells. In this paper, we investigate a nanowire transparent electrode that also functions as an anti-reflection coating for silicon solar cells, taking into account the practical constraints that the electrode is typically encapsulated and needs to be in electric contact with the semiconductor. Numerical simulations show that the electrode can provide near-perfect broadband anti-reflection over much of the frequency range above the silicon band gap for both polarizations while keeping the sheet resistance sufficiently low. To provide insights into the physics mechanism of this broadband anti-reflection, we introduce a generalized Fabry-Perot model, which captures the effects of the higher order diffraction channels as well as the modification of the reflection coefficient of the interface introduced by the nanowires. This model is validated using frequency-domain electromagnetic simulations. Our work here provides design guidelines for nanowire transparent electrode in a device configuration that is relevant for solar cell applications.

  1. Localized temperature and chemical reaction control in nanoscale space by nanowire array.

    PubMed

    Jin, C Yan; Li, Zhiyong; Williams, R Stanley; Lee, K-Cheol; Park, Inkyu

    2011-11-09

    We introduce a novel method for chemical reaction control with nanoscale spatial resolution based on localized heating by using a well-aligned nanowire array. Numerical and experimental analysis shows that each individual nanowire could be selectively and rapidly Joule heated for local and ultrafast temperature modulation in nanoscale space (e.g., maximum temperature gradient 2.2 K/nm at the nanowire edge; heating/cooling time < 2 μs). By taking advantage of this capability, several nanoscale chemical reactions such as polymer decomposition/cross-linking and direct and localized hydrothermal synthesis of metal oxide nanowires were demonstrated.

  2. Thermal Conduction in Vertically Aligned Copper Nanowire Arrays and Composites.

    PubMed

    Barako, Michael T; Roy-Panzer, Shilpi; English, Timothy S; Kodama, Takashi; Asheghi, Mehdi; Kenny, Thomas W; Goodson, Kenneth E

    2015-09-02

    The ability to efficiently and reliably transfer heat between sources and sinks is often a bottleneck in the thermal management of modern energy conversion technologies ranging from microelectronics to thermoelectric power generation. These interfaces contribute parasitic thermal resistances that reduce device performance and are subjected to thermomechanical stresses that degrade device lifetime. Dense arrays of vertically aligned metal nanowires (NWs) offer the unique combination of thermal conductance from the constituent metal and mechanical compliance from the high aspect ratio geometry to increase interfacial heat transfer and device reliability. In the present work, we synthesize copper NW arrays directly onto substrates via templated electrodeposition and extend this technique through the use of a sacrificial overplating layer to achieve improved uniformity. Furthermore, we infiltrate the array with an organic phase change material and demonstrate the preservation of thermal properties. We use the 3ω method to measure the axial thermal conductivity of freestanding copper NW arrays to be as high as 70 W m(-1) K(-1), which is more than an order of magnitude larger than most commercial interface materials and enhanced-conductivity nanocomposites reported in the literature. These arrays are highly anisotropic, and the lateral thermal conductivity is found to be only 1-2 W m(-1) K(-1). We use these measured properties to elucidate the governing array-scale transport mechanisms, which include the effects of morphology and energy carrier scattering from size effects and grain boundaries.

  3. A Nonconventional Approach to Patterned Nanoarrays of DNA Strands for Template-Assisted Assembly of Polyfluorene Nanowires.

    PubMed

    Bae, Dong Geun; Jeong, Ji-Eun; Kang, Seok Hee; Byun, Myunghwan; Han, Dong-Wook; Lin, Zhiqun; Woo, Han Young; Hong, Suck Won

    2016-08-01

    DNA molecules have been widely recognized as promising building blocks for constructing functional nanostructures with two main features, that is, self-assembly and rich chemical functionality. The intrinsic feature size of DNA makes it attractive for creating versatile nanostructures. Moreover, the ease of access to tune the surface of DNA by chemical functionalization offers numerous opportunities for many applications. Herein, a simple yet robust strategy is developed to yield the self-assembly of DNA by exploiting controlled evaporative assembly of DNA solution in a unique confined geometry. Intriguingly, depending on the concentration of DNA solution, highly aligned nanostructured fibrillar-like arrays and well-positioned concentric ring-like superstructures composed of DNAs are formed. Subsequently, the ring-like negatively charged DNA superstructures are employed as template to produce conductive organic nanowires on a silicon substrate by complexing with a positively charged conjugated polyelectrolyte poly[9,9-bis(6'-N,N,N-trimethylammoniumhexyl)fluorene dibromide] (PF2) through the strong electrostatic interaction. Finally, a monolithic integration of aligned arrays of DNA-templated PF2 nanowires to yield two DNA/PF2-based devices is demonstrated. It is envisioned that this strategy can be readily extended to pattern other biomolecules and may render a broad range of potential applications from the nucleotide sequence and hybridization as recognition events to transducing elements in chemical sensors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Gibbs-Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth.

    PubMed

    Shen, Youde; Chen, Renjie; Yu, Xuechao; Wang, Qijie; Jungjohann, Katherine L; Dayeh, Shadi A; Wu, Tom

    2016-07-13

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.

  5. Fabrication of Buried Nanochannels From Nanowire Patterns

    NASA Technical Reports Server (NTRS)

    Choi, Daniel; Yang, Eui-Hyeok

    2007-01-01

    A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been

  6. Silicon nanowire sensor for DNA detection and sequencing: an ab initio simulation

    NASA Astrophysics Data System (ADS)

    Lu, Wenchang; Li, Yan; Hodak, Miroslav; Xiao, Zhongcan; Bernholc, Jerry

    Electrical sensors able to detect DNA replication and determine its sequence would enable fast and relatively cheap diagnosis of gene-related vulnerabilities and cancers. At present, it is already possible to electrically monitor DNA replication events using a Klenow fragment of polymerase I attached to a carbon nanotube. Since devices based on Si nanowires would be much easier to produce in quantity, we examine theoretically the sensitivity of a Si nanowire/Klenow fragment for electrical detection of nucleotide addition. A highly parallel real-space multigrid code is used for DFT-based non-equilibrium Green's function calculations involving up to 16,000 atoms, employing highly-accurate variationally-optimized localized orbitals. We find that the open and closed Klenow fragment configurations, prior and during nucleotide addition, respectively, screen the Si nanowire differently and result in a detectable current difference. The sensitivity is the largest in the subthreshold regime while the absolute current difference is maximized in the turn-on state. The sensitivity decreases with an increase of the nanowire size, as expected, but the current difference between different enzymatic states is nearly independent on the nanowire size up to 800 Å2 cross section.

  7. Functionalized ZnO nanowires for microcantilever biosensors with enhanced binding capability.

    PubMed

    Stassi, Stefano; Chiadò, Alessandro; Cauda, Valentina; Palmara, Gianluca; Canavese, Giancarlo; Laurenti, Marco; Ricciardi, Carlo

    2017-04-01

    An efficient way to increase the binding capability of microcantilever biosensors is here demonstrated by growing zinc oxide nanowires (ZnO NWs) on their active surface. A comprehensive evaluation of the chemical compatibility of ZnO NWs brought to the definition of an innovative functionalization method able to guarantee the proper immobilization of biomolecules on the nanostructured surface. A noteworthy higher amount of grafted molecules was evidenced with colorimetric assays on ZnO NWs-coated devices, in comparison with functionalized and activated silicon flat samples. ZnO NWs grown on silicon microcantilever arrays and activated with the proposed immobilization strategy enhanced the sensor binding capability (and thus the dynamic range) of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices. Graphical Abstract An efficient way to increase the binding capability of microcantilever biosensors is represented by growing zinc oxide nanowires (ZnO NWs) on their active surface. ZnO NWs grown on silicon microcantilever arrays and activated with an innovative immobilization strategy enhanced the sensor binding capability of nearly 1 order of magnitude, with respect to the commonly employed flat functionalized silicon devices.

  8. Unique features of laterally aligned GeSi nanowires self-assembled on the vicinal Si (001) surface misoriented toward the [100] direction

    NASA Astrophysics Data System (ADS)

    Zhou, Tong; Vastola, Guglielmo; Zhang, Yong-Wei; Ren, Qijun; Fan, Yongliang; Zhong, Zhenyang

    2015-03-01

    We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth mechanism during heteroepitaxial growth, but also pave a prominent way to fabricate and meanwhile modulate laterally aligned and dislocation-free NWs.We demonstrate laterally aligned and catalyst-free GeSi nanowires (NWs) via self-assembly of Ge on miscut Si (001) substrates toward the [100] direction by an angle θ (θ < 11°). The NWs are bordered by (001) and (105) facets, which are thermodynamically stable. By tuning the miscut angle θ, the NW height can be easily modulated with a nearly constant width. The thickness of the wetting layer beneath the NWs also shows a peculiar behavior with a minimum at around 6°. An analytical model, considering the variation of both the surface energy and the strain energy of the epilayer on vicinal surfaces with the miscut angle and layer thickness, shows good overall agreement with the experimental results. It discloses that both the surface energy and stain energy of the epilayer on vicinal surfaces can be considerably affected in the same trend by the surface steps. Our results not only shed new light on the growth

  9. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  10. Nanowebs and nanocables of silicon carbide

    NASA Astrophysics Data System (ADS)

    Shim, Hyun Woo; Huang, Hanchen

    2007-08-01

    This paper presents two novel hierarchical structures of SiC-SiO2 core-shell nanowires: (a) nanocables in the form of multi-core and single shell and (b) nanowebs in the form of intersecting nanowires and nanocables, augmented by variable amounts of SiO2 membranes. The two structures are controllable through variations of substrate temperature and source chemistry. The hierarchical nanostructures, together with the controllability, may offer superb mechanical properties in composite applications. Finally, the authors propose a model of nanowebs and nanocables formation, as a result of nanowires intersection and alignment.

  11. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  12. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  13. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  14. Glass-silicon column

    DOEpatents

    Yu, Conrad M.

    2003-12-30

    A glass-silicon column that can operate in temperature variations between room temperature and about 450.degree. C. The glass-silicon column includes large area glass, such as a thin Corning 7740 boron-silicate glass bonded to a silicon wafer, with an electrode embedded in or mounted on glass of the column, and with a self alignment silicon post/glass hole structure. The glass/silicon components are bonded, for example be anodic bonding. In one embodiment, the column includes two outer layers of silicon each bonded to an inner layer of glass, with an electrode imbedded between the layers of glass, and with at least one self alignment hole and post arrangement. The electrode functions as a column heater, and one glass/silicon component is provided with a number of flow channels adjacent the bonded surfaces.

  15. Core-shell heterojunction of silicon nanowire arrays and carbon quantum dots for photovoltaic devices and self-driven photodetectors.

    PubMed

    Xie, Chao; Nie, Biao; Zeng, Longhui; Liang, Feng-Xia; Wang, Ming-Zheng; Luo, Linbao; Feng, Mei; Yu, Yongqiang; Wu, Chun-Yan; Wu, Yucheng; Yu, Shu-Hong

    2014-04-22

    Silicon nanostructure-based solar cells have lately intrigued intensive interest because of their promising potential in next-generation solar energy conversion devices. Herein, we report a silicon nanowire (SiNW) array/carbon quantum dot (CQD) core-shell heterojunction photovoltaic device by directly coating Ag-assisted chemical-etched SiNW arrays with CQDs. The heterojunction with a barrier height of 0.75 eV exhibited excellent rectifying behavior with a rectification ratio of 10(3) at ±0.8 V in the dark and power conversion efficiency (PCE) as high as 9.10% under AM 1.5G irradiation. It is believed that such a high PCE comes from the improved optical absorption as well as the optimized carrier transfer and collection capability. Furthermore, the heterojunction could function as a high-performance self-driven visible light photodetector operating in a wide switching wavelength with good stability, high sensitivity, and fast response speed. It is expected that the present SiNW array/CQD core-shell heterojunction device could find potential applications in future high-performance optoelectronic devices.

  16. Polycrystalline nanowires of gadolinium-doped ceria via random alignment mediated by supercritical carbon dioxide

    PubMed Central

    Kim, Sang Woo; Ahn, Jae-Pyoung

    2013-01-01

    This study proposes a seed/template-free method that affords high-purity semiconducting nanowires from nanoclusters, which act as basic building blocks for nanomaterials, under supercritical CO2 fluid. Polycrystalline nanowires of Gd-doped ceria (Gd-CeO2) were formed by CO2-mediated non-oriented attachment of the nanoclusters resulting from the dissociation of single-crystalline aggregates. The unique formation mechanism underlying this morphological transition may be exploited for the facile growth of high-purity polycrystalline nanowires. PMID:23572061

  17. Very low temperature materials and self-alignment technology for amorphous hydrated silicon thin film transistors fabricated on transparent large area plastic substrates

    NASA Astrophysics Data System (ADS)

    Yang, Chien-Sheng

    The purpose of this research has been to (1) explore materials prepared using plasma enhanced chemical vapor deposition (PECVD) at 110sp°C for amorphous silicon thin film transistors (TFT's) fabricated on low temperature compatible, large area flexible polyethylene terephthalate (PET) substrates, and (2) develop full self-alignment technology using selective area n+ PECVD for source/drain contacts of amorphous silicon TFT's. For item (1), silicon nitride films, as gate dielectrics of TFT's, were deposited using SiHsb4+NHsb3, SiHsb4+NHsb3+Nsb2, SiHsb4+NHsb3+He, or SiHsb4+NHsb3+Hsb2 gases. Good quality silicon nitride films can be deposited using a SiHsb4+NHsb3 gas with high NHsb3/SiHsb4 ratios, or using a SiHsb4+NHsb3+Nsb2 gas with moderate NHsb3/SiHsb4 ratios. A chemical model was proposed to explain the Nsb2 dilution effect. This model includes calculations of (a) the electron energy distribution function in a plasma, (b) rate constants of electron impact dissociation, and (3) the (NHsbx) / (SiHsby) ratio in a plasma. The Nsb2 dilution was shown to have a effect of shifting the electron energy distribution into high energy, thus enhancing the (NHsbx) / (SiHsbyrbrack ratio in a plasma and promoting the deposition of N-rich silicon nitride films, which leads to decreased trap state density and a shift in trap state density to deeper in the gap. Amorphous silicon were formed successfully at 110sp°C on large area glass and plastic(PET) substrates. Linear mobilities are 0.33 and 0.12 cmsp2/Vs for TFT's on glass and plastic substrates, respectively. ON/OFF current ratios exceed 10sp7 for TFT's on glass and 10sp6 for TFT's on PET. For item (2), a novel full self-alignment process was developed for amorphous silicon TFT's. This process includes (1) back-exposure using the bottom gate metal as the mask, and (2) selective area n+ micro-crystalline silicon PECVD for source/drain contacts of amorphous silicon TFT's. TFT's fabricated using the full self-alignment process

  18. Reduction of Thermal Conductivity in Nanowires by Combined Engineering of Crystal Phase and Isotope Disorder.

    PubMed

    Mukherjee, S; Givan, U; Senz, S; de la Mata, M; Arbiol, J; Moutanabbir, O

    2018-05-09

    Nanowires are a versatile platform to investigate and harness phonon and thermal transport phenomena in nanoscale systems. With this perspective, we demonstrate herein the use of crystal phase and mass disorder as effective degrees of freedom to manipulate the behavior of phonons and control the flow of local heat in silicon nanowires. The investigated nanowires consist of isotopically pure and isotopically mixed nanowires bearing either a pure diamond cubic or a cubic-rhombohedral polytypic crystal phase. The nanowires with tailor-made isotopic compositions were grown using isotopically enriched silane precursors 28 SiH 4 , 29 SiH 4 , and 30 SiH 4 with purities better than 99.9%. The analysis of polytypic nanowires revealed ordered and modulated inclusions of lamellar rhombohedral silicon phases toward the center in otherwise diamond-cubic lattice with negligible interphase biaxial strain. Raman nanothermometry was employed to investigate the rate at which the local temperature of single suspended nanowires evolves in response to locally generated heat. Our analysis shows that the lattice thermal conductivity in nanowires can be tuned over a broad range by combining the effects of isotope disorder and the nature and degree of polytypism on phonon scattering. We found that the thermal conductivity can be reduced by up to ∼40% relative to that of isotopically pure nanowires, with the lowest value being recorded for the rhombohedral phase in isotopically mixed 28 Si x 30 Si 1- x nanowires with composition close to the highest mass disorder ( x ∼ 0.5). These results shed new light on the fundamentals of nanoscale thermal transport and lay the groundwork to design innovative phononic devices.

  19. Silicide Nanowires for Low-Resistance CMOS Transistor Contacts.

    NASA Astrophysics Data System (ADS)

    Zollner, Stefan

    2007-03-01

    Transition metal (TM) silicide nanowires are used as contacts for modern CMOS transistors. (Our smallest wires are ˜20 nm thick and ˜50 nm wide.) While much research on thick TM silicides was conducted long ago, materials perform differently at the nanoscale. For example, the usual phase transformation sequences (e.g., Ni, Ni2Si, NiSi, NiSi2) for the reaction of thick metal films on Si no longer apply to nanostructures, because the surface and interface energies compete with the bulk energy of a given crystal structure. Therefore, a NiSi film will agglomerate into hemispherical droplets of NiSi by annealing before it reaches the lowest-energy (NiSi2) crystalline structure. These dynamics can be tuned by addition of impurities (such as Pt in Ni). The Si surface preparation is also a more important factor for nanowires than for silicidation of thick TM films. Ni nanowires formed on Si surfaces that were cleaned and amorphized by sputtering with Ar ions have a tendency to form NiSi2 pyramids (``spikes'') even at moderate temperatures (˜400^oC), while similar Ni films formed on atomically clean or hydrogen-terminated Si form uniform NiSi nanowires. Another issue affecting TM silicides is the barrier height between the silicide contact and the silicon transistor. For most TM silicides, the Fermi level of the silicide is aligned with the center of the Si band gap. Therefore, silicide contacts experience Schottky barrier heights of around 0.5 eV for both n-type and p-type Si. The resulting contact resistance becomes a significant term for the overall resistance of modern CMOS transistors. Lowering this contact resistance is an important goal in CMOS research. New materials are under investigation (for example PtSi, which has a barrier height of only 0.3 eV to p-type Si). This talk will describe recent results, with special emphasis on characterization techniques and electrical testing useful for the development of silicide nanowires for CMOS contacts. In collaboration

  20. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  1. Controlled fabrication of photoactive copper oxide-cobalt oxide nanowire heterostructures for efficient phenol photodegradation.

    PubMed

    Shi, Wenwu; Chopra, Nitin

    2012-10-24

    Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique

  2. Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon Nanowires.

    PubMed

    Chen, Xiaoming; Wang, Tao; Lin, Leimiao; Wo, Fangjie; Liu, Yaqin; Liang, Xiao; Ye, Hui; Wu, Jianmin

    2018-05-02

    Nanostructured semiconductors are one of the most potent candidates for matrix-free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low-molecular-weight molecules. Herein, the enhanced photoinduced electron transfer and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated. Theoretical simulation and LDI detection of indigo and isatin molecules in negative ion mode revealed that the electric field can be enhanced on the tip end of SiNWs, thereby promoting the energy and electron transfer to the analytes adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact sampling method coupled with LDI-MS detection was established. In this strategy, the tip of SiNWs can be regarded as microextraction heads for the sampling of molecules when they come in contact with analytes. Impression of skin, tissue, and pericarp on the vertical SiNW array can effectively transfer endogenous metabolites or exogenous substances onto the tip. Upon laser irradiation, the adsorbed molecules on the SiNW tip can be efficiently ionized and detected in negative ion mode because of the tip-enhanced electron transfer and LDI effect. We believe this work may significantly expand the application of LDI-MS in various fields.

  3. Probing Intrawire, Interwire, and Diameter-Dependent Variations in Silicon Nanowire Surface Trap Density with Pump-Probe Microscopy.

    PubMed

    Cating, Emma E M; Pinion, Christopher W; Christesen, Joseph D; Christie, Caleb A; Grumstrup, Erik M; Cahoon, James F; Papanikolas, John M

    2017-10-11

    Surface trap density in silicon nanowires (NWs) plays a key role in the performance of many semiconductor NW-based devices. We use pump-probe microscopy to characterize the surface recombination dynamics on a point-by-point basis in 301 silicon NWs grown using the vapor-liquid-solid (VLS) method. The surface recombination velocity (S), a metric of the surface quality that is directly proportional to trap density, is determined by the relationship S = d/4τ from measurements of the recombination lifetime (τ) and NW diameter (d) at distinct spatial locations in individual NWs. We find that S varies by as much as 2 orders of magnitude between NWs grown at the same time but varies only by a factor of 2 or three within an individual NW. Although we find that, as expected, smaller-diameter NWs exhibit shorter τ, we also find that smaller wires exhibit higher values of S; this indicates that τ is shorter both because of the geometrical effect of smaller d and because of a poorer quality surface. These results highlight the need to consider interwire heterogeneity as well as diameter-dependent surface effects when fabricating NW-based devices.

  4. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  5. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    PubMed

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  6. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    DOE PAGES

    Shen, Youde; Chen, Renjie; Yu, Xuechao; ...

    2016-06-02

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor–liquid–solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. In this paper, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs–Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed tomore » impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs–Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. Finally, these results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices.« less

  7. Automated characterization and assembly of individual nanowires for device fabrication.

    PubMed

    Yu, Kaiyan; Yi, Jingang; Shan, Jerry W

    2018-05-15

    The automated sorting and positioning of nanowires and nanotubes is essential to enabling the scalable manufacturing of nanodevices for a variety of applications. However, two fundamental challenges still remain: (i) automated placement of individual nanostructures in precise locations, and (ii) the characterization and sorting of highly variable nanomaterials to construct well-controlled nanodevices. Here, we propose and demonstrate an integrated, electric-field based method for the simultaneous automated characterization, manipulation, and assembly of nanowires (ACMAN) with selectable electrical conductivities into nanodevices. We combine contactless and solution-based electro-orientation spectroscopy and electrophoresis-based motion-control, planning and manipulation strategies to simultaneously characterize and manipulate multiple individual nanowires. These nanowires can be selected according to their electrical characteristics and precisely positioned at different locations in a low-conductivity liquid to form functional nanodevices with desired electrical properties. We validate the ACMAN design by assembling field-effect transistors (FETs) with silicon nanowires of selected electrical conductivities. The design scheme provides a key enabling technology for the scalable, automated sorting and assembly of nanowires and nanotubes to build functional nanodevices.

  8. Field emission and photoluminescence characteristics of ZnS nanowires via vapor phase growth

    NASA Astrophysics Data System (ADS)

    Chang, Yongqin; Wang, Mingwei; Chen, Xihong; Ni, Saili; Qiang, Weijing

    2007-05-01

    Large-area ZnS nanowires were synthesized through a vapor phase deposition method. X-ray diffraction and electron microscopy results show that the products are composed of single crystalline ZnS nanowires with a cubic structure. The nanowires have sharp tips and are distributed uniformly on silicon substrates. The diameter of the bases is in the range of 320-530 nm and that of the tips is around 20-30 nm. The strong ultraviolet emission in the photoluminescence spectra also demonstrates that the ZnS nanowires are of high crystalline perfection. Field emission measurements reveal that the ZnS nanowires have a fairly low threshold field, which may be ascribed to their very sharp tips, rough surfaces and high crystal quality. The perfect field emission ability of the ZnS nanowires makes them a promising candidate for the fabrication of flexible cold cathodes.

  9. Controlling Disorder by Electric Field Directed Reconfiguration of Nanowires to Tune Random Lasing.

    PubMed

    Donahue, Philip P; Zhang, Chenji; Nye, Nicholas; Miller, Jennifer; Wang, Cheng-Yu; Tang, Rong; Christodoulides, Demetrios; Keating, Christine D; Liu, Zhiwen

    2018-06-27

    Top-down fabrication is commonly used to provide positioning control of optical structures; yet, it places stringent limitations on component materials and oftentimes, dynamic reconfigurability is challenging to realize. Here we present a reconfigurable nanoparticle platform that can integrate heterogeneous particle assembly of different shapes, sizes, and material compositions. We demonstrate dynamic manipulation of disorder in this platform and use it to controllably enhance or frustrate random laser emission for a suspension of titanium dioxide nanowires in a dye solution. Using an alternating current electric field, we control the nanowire orientation to dynamically control the collective scattering of the sample and thus light confinement. Our theoretical model indicates that an increase of 22% in scattering coefficient can be achieved for the experimentally determined nanowire length distribution upon alignment. As a result, a nearly 20-fold enhancement in lasing intensity was achieved. We illustrate the generality of the approach by demonstrating enhanced lasing for aligned nanowires of other materials including gold, mixed gold/dielectric and vanadium oxide (VxOy).

  10. On the structural and electronic properties of Ir-silicide nanowires on Si(001) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fatima,; Hossain, Sehtab; Mohottige, Rasika

    Iridium (Ir) modified Silicon (Si) (001) surface is studied with Scanning Tunneling Microscopy/Spectroscopy (STM/STS) and Density Functional Theory (DFT). A model for Ir-silicide nanowires based on STM images and ab-initio calculations is proposed. According to our model, the Ir adatom is on the top of the substrate dimer row and directly binds to the dimer atoms. I-V curves measured at 77 K shows that the nanowires are metallic. DFT calculations confirm strong metallic nature of the nanowires.

  11. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    PubMed

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  12. Controlled growth of ZnO/Zn₁-xPbxSe core-shell nanowires and their interfacial electronic energy alignment.

    PubMed

    Chen, Z H; Yeung, S Y; Li, H; Qian, J C; Zhang, W J; Li, Y Y; Bello, I

    2012-05-21

    ZnO/Zn(1-x)Pb(x)Se core-shell nanowires (NWs) have been synthesized by a solution based surface ion transfer method at various temperatures. The energy dispersive spectroscopic (EDS) mapping of single NWs suggests that the Zn, Pb and Se atoms are uniformly distributed in their shell layers. The ternary Zn(1-x)Pb(x)Se layers with tunable bandgaps extend the band-edge of optical absorption from 450 nm to 700 nm contrasting with the binary ZnSe layers. The ultraviolet photoelectron spectroscopic (UPS) analysis reveals a transition from the type I to type II band alignment when the x fraction decreases from 0.66 to the value of 0.36 in the nanoshell layers. This quantitative investigation of electronic energy levels at ZnO and Zn(1-x)Pb(x)Se interfaces indicates that the proper type II band alignment is well suited for photovoltaic energy conversion. The photovoltaic cells comprising a ZnO/Zn(1-x)Pb(x)Se nano-heterojunction with the optimized Pb content are expected to be more efficient than the devices sensitized by binary ZnSe or PbSe.

  13. Preparation and characterization of electrodeposited cobalt nanowires

    NASA Astrophysics Data System (ADS)

    Irshad, M. I.; Ahmad, F.; Mohamed, N. M.; Abdullah, M. Z.

    2014-10-01

    Electrochemical deposition technique has been used to deposit cobalt nanowires into the nano sized channels of Anodized Aluminium Oxide (AAO) templates. CoCl2˙6H 2 O salt solution was used, which was buffered with H3BO3 and acidified by dilute H2SO4 to increase the plating life and control pH of the solution. Thin film of copper around 150 nm thick on one side of AAO template coated by e-beam evaporation system served as cathode to create electrical contact. FESEM analysis shows that the as-deposited nanowires are highly aligned, parallel to one another and have high aspect ratio with a reasonably high pore-filing factor. The TEM results show that electrodeposited cobalt nanowires are crystalline in nature. The Hysteresis loop shows the magnetization properties for in and out of plane configuration. The in plane saturation magnetization (Ms) is lower than out of plane configuration because of the easy axis of magnetization is perpendicular to nanowire axis. These magnetic nanowires could be utilized for applications such as spintronic devices, high density magnetic storage, and magnetic sensor applications.

  14. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks.

    PubMed

    Cao, Anping; Shan, Meixia; Paltrinieri, Laura; Evers, Wiel H; Chu, Liangyong; Poltorak, Lukasz; Klootwijk, Johan H; Seoane, Beatriz; Gascon, Jorge; Sudhölter, Ernst J R; de Smet, Louis C P M

    2018-04-19

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  15. Advanced photonic filters based on cascaded Sagnac loop reflector resonators in silicon-on-insulator nanowires

    NASA Astrophysics Data System (ADS)

    Wu, Jiayang; Moein, Tania; Xu, Xingyuan; Moss, David J.

    2018-04-01

    We demonstrate advanced integrated photonic filters in silicon-on-insulator (SOI) nanowires implemented by cascaded Sagnac loop reflector (CSLR) resonators. We investigate mode splitting in these standing-wave (SW) resonators and demonstrate its use for engineering the spectral profile of on-chip photonic filters. By changing the reflectivity of the Sagnac loop reflectors (SLRs) and the phase shifts along the connecting waveguides, we tailor mode splitting in the CSLR resonators to achieve a wide range of filter shapes for diverse applications including enhanced light trapping, flat-top filtering, Q factor enhancement, and signal reshaping. We present the theoretical designs and compare the CSLR resonators with three, four, and eight SLRs fabricated in SOI. We achieve versatile filter shapes in the measured transmission spectra via diverse mode splitting that agree well with theory. This work confirms the effectiveness of using CSLR resonators as integrated multi-functional SW filters for flexible spectral engineering.

  16. Engineering Localized Surface Plasmon Interactions in Gold by Silicon Nanowire for Enhanced Heating and Photocatalysis.

    PubMed

    Agarwal, Daksh; Aspetti, Carlos O; Cargnello, Matteo; Ren, MingLiang; Yoo, Jinkyoung; Murray, Christopher B; Agarwal, Ritesh

    2017-03-08

    The field of plasmonics has attracted considerable attention in recent years because of potential applications in various fields such as nanophotonics, photovoltaics, energy conversion, catalysis, and therapeutics. It is becoming increasing clear that intrinsic high losses associated with plasmons can be utilized to create new device concepts to harvest the generated heat. It is therefore important to design cavities, which can harvest optical excitations efficiently to generate heat. We report a highly engineered nanowire cavity, which utilizes a high dielectric silicon core with a thin plasmonic film (Au) to create an effective metallic cavity to strongly confine light, which when coupled with localized surface plasmons in the nanoparticles of the thin metal film produces exceptionally high temperatures upon laser irradiation. Raman spectroscopy of the silicon core enables precise measurements of the cavity temperature, which can reach values as high as 1000 K. The same Si-Au cavity with enhanced plasmonic activity when coupled with TiO 2 nanorods increases the hydrogen production rate by ∼40% compared to similar Au-TiO 2 system without Si core, in ethanol photoreforming reactions. These highly engineered thermoplasmonic devices, which integrate three different cavity concepts (high refractive index core, metallo-dielectric cavity, and localized surface plasmons) along with the ease of fabrication demonstrate a possible pathway for designing optimized plasmonic devices with applications in energy conversion and catalysis.

  17. Bringing order to the world of nanowire devices by phase shift lithography.

    PubMed

    Subannajui, Kittitat; Güder, Firat; Zacharias, Margit

    2011-09-14

    Semiconductor nanowire devices have several properties which match future requirements of scaling down the size of electronics. In typical microelectronics production, a number of microstructures are aligned precisely on top of each other during the fabrication process. In the case of nanowires, this mandatory condition is still hard to achieve. A technological breakthrough is needed to accurately place nanowires at any specific position and then form devices in mass production. In this article, an upscalable process combining conventional micromachining with phase shift lithography will be demonstrated as a suitable tool for nanowire device technology. Vertical Si and ZnO nanowires are demonstrated on very large (several cm(2)) areas. We demonstrate how the nanowire positions can be controlled, and the resulting nanowires are used for device fabrication. As an example Si/ZnO heterojunction diode arrays are fabricated. The electrical characterization of the produced devices has also been performed to confirm the functionality of the fabricated diodes.

  18. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  19. Nanostructured Indium Oxide Coated Silicon Nanowire Arrays: A Hybrid Photothermal/Photochemical Approach to Solar Fuels.

    PubMed

    Hoch, Laura B; O'Brien, Paul G; Jelle, Abdinoor; Sandhel, Amit; Perovic, Douglas D; Mims, Charles A; Ozin, Geoffrey A

    2016-09-27

    The field of solar fuels seeks to harness abundant solar energy by driving useful molecular transformations. Of particular interest is the photodriven conversion of greenhouse gas CO2 into carbon-based fuels and chemical feedstocks, with the ultimate goal of providing a sustainable alternative to traditional fossil fuels. Nonstoichiometric, hydroxylated indium oxide nanoparticles, denoted In2O3-x(OH)y, have been shown to function as active photocatalysts for CO2 reduction to CO via the reverse water gas shift reaction under simulated solar irradiation. However, the relatively wide band gap (2.9 eV) of indium oxide restricts the portion of the solar irradiance that can be utilized to ∼9%, and the elevated reaction temperatures required (150-190 °C) reduce the overall energy efficiency of the process. Herein we report a hybrid catalyst consisting of a vertically aligned silicon nanowire (SiNW) support evenly coated by In2O3-x(OH)y nanoparticles that utilizes the vast majority of the solar irradiance to simultaneously produce both the photogenerated charge carriers and heat required to reduce CO2 to CO at a rate of 22.0 μmol·gcat(-1)·h(-1). Further, improved light harvesting efficiency of the In2O3-x(OH)y/SiNW films due to minimized reflection losses and enhanced light trapping within the SiNW support results in a ∼6-fold increase in photocatalytic conversion rates over identical In2O3-x(OH)y films prepared on roughened glass substrates. The ability of this In2O3-x(OH)y/SiNW hybrid catalyst to perform the dual function of utilizing both light and heat energy provided by the broad-band solar irradiance to drive CO2 reduction reactions represents a general advance that is applicable to a wide range of catalysts in the field of solar fuels.

  20. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, T.; Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072; Wei, M. J.

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19}more » core and the GaAs shell and identifies it as a type I band alignment.« less