Sample records for aligned silicon oxide

  1. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  2. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    NASA Astrophysics Data System (ADS)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  3. High-alignment-accuracy transfer printing of passive silicon waveguide structures.

    PubMed

    Ye, Nan; Muliuk, Grigorij; Trindade, Antonio Jose; Bower, Chris; Zhang, Jing; Uvin, Sarah; Van Thourhout, Dries; Roelkens, Gunther

    2018-01-22

    We demonstrate the transfer printing of passive silicon devices on a silicon-on-insulator target waveguide wafer. Adiabatic taper structures and directional coupler structures were designed for 1310 nm and 1600 nm wavelength coupling tolerant for ± 1 µm misalignment. The release of silicon devices from the silicon substrate was realized by underetching the buried oxide layer while protecting the back-end stack. Devices were successfully picked by a PDMS stamp, by breaking the tethers that kept the silicon coupons in place on the source substrate, and printed with high alignment accuracy on a silicon photonic target wafer. Coupling losses of -1.5 +/- 0.5 dB for the adiabatic taper at 1310 nm wavelength and -0.5 +/- 0.5 dB for the directional coupler at 1600 nm wavelength are obtained.

  4. Silicon on insulator self-aligned transistors

    DOEpatents

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  5. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  6. Buried oxide layer in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  7. Locally oxidized silicon surface-plasmon Schottky detector for telecom regime.

    PubMed

    Goykhman, Ilya; Desiatov, Boris; Khurgin, Jacob; Shappir, Joseph; Levy, Uriel

    2011-06-08

    We experimentally demonstrate an on-chip nanoscale silicon surface-plasmon Schottky photodetector based on internal photoemission process and operating at telecom wavelengths. The device is fabricated using a self-aligned approach of local-oxidation of silicon (LOCOS) on silicon on insulator substrate, which provides compatibility with standard complementary metal-oxide semiconductor technology and enables the realization of the photodetector and low-loss bus photonic waveguide at the same fabrication step. Additionally, LOCOS technique allows avoiding lateral misalignment between the silicon surface and the metal layer to form a nanoscale Schottky contact. The fabricated devices showed enhanced detection capability for shorter wavelengths that is attributed to increased probability of the internal photoemission process. We found the responsivity of the nanodetector to be 0.25 and 13.3 mA/W for incident optical wavelengths of 1.55 and 1.31 μm, respectively. The presented device can be integrated with other nanophotonic and nanoplasmonic structures for the realization of monolithic opto-electronic circuitry on-chip.

  8. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    NASA Astrophysics Data System (ADS)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  9. Evaluation of transition metal oxide as carrier-selective contacts for silicon heterojunction solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, L.; Boccard, Matthieu; Holman, Zachary

    2015-04-06

    "Reducing light absorption in the non-active solar cell layers, while enabling the extraction of the photogenerated minority carriers at quasi-Fermi levels are two key factors to improve current generation and voltage, and therefore efficiency of silicon heterojunction solar devices. To address these two critical aspects, transition metal oxide materials have been proposed as alternative to the n- and p-type amorphous silicon used as electron and hole selective contacts, respectively. Indeed, transition metal oxides such as molybdenum oxide, titanium oxide, nickel oxide or tungsten oxide combine a wide band gap typically over 3 eV with a band structure and theoretical bandmore » alignment with silicon that results in high transparency to the solar spectrum and in selectivity for the transport of only one carrier type. Improving carrier extraction or injection using transition metal oxide has been a topic of investigation in the field of organic solar cells and organic LEDs; from these pioneering works a lot of knowledge has been gained on materials properties, ways to control these during synthesis and deposition, and their impact on device performance. Recently, the transfer of some of this knowledge to silicon solar cells and the successful application of some metal oxide to contact heterojunction devices have gained much attention. In this contribution, we investigate the suitability of various transition metal oxide films (molybdenum oxide, titanium oxide, and tungsten oxide) deposited either by thermal evaporation or sputtering as transparent hole or electron selective transport layer for silicon solar cells. In addition to systematically characterize their optical and structural properties, we use photoemission spectroscopy to relate compound stoichiometry to band structure and characterize band alignment to silicon. The direct silicon/metal oxide interface is further analyzed by quasi-steady state photoconductance decay method to assess the quality of

  10. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  11. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cunning, Benjamin V.; Ahmed, Mohsin; Mishra, Neeraj; Ranjbar Kermany, Atieh; Wood, Barry; Iacopi, Francesca

    2014-08-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices.

  12. Silicon oxidation in fluoride solutions

    NASA Technical Reports Server (NTRS)

    Sancier, K. M.; Kapur, V.

    1980-01-01

    Silicon is produced in a NaF, Na2SiF6, and Na matrix when SiF4 is reduced by metallic sodium. Hydrogen is evolved during acid leaching to separate the silicon from the accompanying reaction products, NaF and Na2SiF6. The hydrogen evolution reaction was studied under conditions simulating leaching conditions by making suspensions of the dry silicon powder in aqueous fluoride solutions. The mechanism for the hydrogen evolution is discussed in terms of spontaneous oxidation of silicon resulting from the cooperative effects of (1) elemental sodium in the silicon that reacts with water to remove a protective silica layer, leaving clean reactive silicon, and (2) fluoride in solution that complexes with the oxidized silicon in solution and retards formation of a protective hydrous oxide gel.

  13. Method of forming buried oxide layers in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  14. Silicon Alignment Pins: An Easy Way to Realize a Wafer-to-Wafer Alignment

    NASA Technical Reports Server (NTRS)

    Jung-Kubiak, Cecile; Reck, Theodore J.; Lin, Robert H.; Peralta, Alejandro; Gill, John J.; Lee, Choonsup; Siles, Jose; Toda, Risaku; Chattopadhyay, Goutam; Cooper, Ken B.; hide

    2013-01-01

    Submillimeter heterodyne instruments play a critical role in addressing fundamental questions regarding the evolution of galaxies as well as being a crucial tool in planetary science. To make these instruments compatible with small platforms, especially for the study of the outer planets, or to enable the development of multi-pixel arrays, it is essential to reduce the mass, power, and volume of the existing single-pixel heterodyne receivers. Silicon micromachining technology is naturally suited for making these submillimeter and terahertz components, where precision and accuracy are essential. Waveguide and channel cavities are etched in a silicon bulk material using deep reactive ion etching (DRIE) techniques. Power amplifiers, multiplier and mixer chips are then integrated and the silicon pieces are stacked together to form a supercompact receiver front end. By using silicon micromachined packages for these components, instrument mass can be reduced and higher levels of integration can be achieved. A method is needed to assemble accurately these silicon pieces together, and a technique was developed here using etched pockets and silicon pins to align two wafers together.

  15. Aligned carbon nanotube-silicon sheets: a novel nano-architecture for flexible lithium ion battery electrodes.

    PubMed

    Fu, Kun; Yildiz, Ozkan; Bhanushali, Hardik; Wang, Yongxin; Stano, Kelly; Xue, Leigang; Zhang, Xiangwu; Bradford, Philip D

    2013-09-25

    Aligned carbon nanotube sheets provide an engineered scaffold for the deposition of a silicon active material for lithium ion battery anodes. The sheets are low-density, allowing uniform deposition of silicon thin films while the alignment allows unconstrained volumetric expansion of the silicon, facilitating stable cycling performance. The flat sheet morphology is desirable for battery construction. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. A niobium oxide-tantalum oxide selector-memristor self-aligned nanostack

    NASA Astrophysics Data System (ADS)

    Diaz Leon, Juan J.; Norris, Kate J.; Yang, J. Joshua; Sevic, John F.; Kobayashi, Nobuhiko P.

    2017-03-01

    The integration of nonlinear current-voltage selectors and bi-stable memristors is a paramount step for reliable operation of crossbar arrays. In this paper, the self-aligned assembly of a single nanometer-scale device that contains both a selector and a memristor is presented. The two components (i.e., selector and memristor) are vertically assembled via a self-aligned fabrication process combined with electroforming. In designing the device, niobium oxide and tantalum oxide are chosen as materials for selector and memristor, respectively. The formation of niobium oxide is visualized by exploiting the self-limiting reaction between niobium and tantalum oxide; crystalline niobium (di)oxide forms at the interface between metallic niobium and tantalum oxide via electrothermal heating, resulting in a niobium oxide selector self-aligned to a tantalum oxide memristor. A steady-state finite element analysis is used to assess the electrothermal heating expected to occur in the device. Current-voltage measurements and structural/chemical analyses conducted for the virgin device, the electroforming process, and the functional selector-memristor device are presented. The demonstration of a self-aligned, monolithically integrated selector-memristor device would pave a practical pathway to various circuits based on memristors attainable at manufacturing scales.

  17. Silicon Alignment Pins: An Easy Way to Realize a Wafer-To-Wafer Alignment

    NASA Technical Reports Server (NTRS)

    Peralta, Alejandro (Inventor); Gill, John J. (Inventor); Toda, Risaku (Inventor); Lin, Robert H. (Inventor); Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Thomas, Bertrand (Inventor); Siles, Jose V. (Inventor); Lee, Choonsup (Inventor); Chattopadhyay, Goutam (Inventor)

    2016-01-01

    A silicon alignment pin is used to align successive layers of components made in semiconductor chips and/or metallic components to make easier the assembly of devices having a layered structure. The pin is made as a compressible structure which can be squeezed to reduce its outer diameter, have one end fit into a corresponding alignment pocket or cavity defined in a layer of material to be assembled into a layered structure, and then allowed to expand to produce an interference fit with the cavity. The other end can then be inserted into a corresponding cavity defined in a surface of a second layer of material that mates with the first layer. The two layers are in registry when the pin is mated to both. Multiple layers can be assembled to create a multilayer structure. Examples of such devices are presented.

  18. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  19. Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers

    NASA Technical Reports Server (NTRS)

    Anthony, Thomas R. (Inventor)

    1983-01-01

    Alignment-enhancing electrically conductive feed-through paths are provided for the high-speed low-loss transfer of electrical signals between integrated circuits of a plurality of silicon-on-sapphire bodies arrayed in a stack. The alignment-enhancing feed-throughs are made by a process involving the drilling of holes through the body, double-sided sputtering, electroplating, and the filling of the holes with solder by capillary action. The alignment-enhancing feed-throughs are activated by forming a stack of wafers and remelting the solder whereupon the wafers, and the feed-through paths, are pulled into alignment by surface tension forces.

  20. Method of making silicon carbide-silicon composite having improved oxidation resistance

    NASA Technical Reports Server (NTRS)

    Wang, Hongyu (Inventor); Luthra, Krishan Lal (Inventor)

    2002-01-01

    A Silicon carbide-silicon matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is provided. A method is given for sealing matrix cracks in situ in melt infiltrated silicon carbide-silicon matrix composites. The composite cracks are sealed by the addition of various additives, such as boron compounds, into the melt infiltrated silicon carbide-silicon matrix.

  1. Kinematic Alignment and Bonding of Silicon Mirrors for High-Resolution Astronomical X-Ray Optics

    NASA Technical Reports Server (NTRS)

    Chan, Kai-Wing; Mazzarella, James R.; Saha, Timo T.; Zhang, William W.; Mcclelland, Ryan S.; Biskack, Michael P.; Riveros, Raul E.; Allgood, Kim D.; Kearney, John D.; Sharpe, Marton V.; hide

    2017-01-01

    Optics for the next generation's high-resolution, high throughput x-ray telescope requires fabrication of well-formed lightweight mirror segments and their integration at arc-second precision. Recent advances in the fabrication of silicon mirrors developed at NASA/Goddard prompted us to develop a new method of mirror alignment and integration. In this method, stiff silicon mirrors are aligned quasi-kinematically and are bonded in an interlocking fashion to produce a "meta-shell" with large collective area. We address issues of aligning and bonding mirrors with this method and show a recent result of 4 seconds-of-arc for a single pair of mirrors tested at soft x-rays.

  2. Oxidation resistance of silicon ceramics

    NASA Technical Reports Server (NTRS)

    Yasutoshi, H.; Hirota, K.

    1984-01-01

    Oxidation resistance, and examples of oxidation of SiC, Si3N4 and sialon are reviewed. A description is given of the oxidation mechanism, including the oxidation product, oxidation reaction and the bubble size. The oxidation reactions are represented graphically. An assessment is made of the oxidation process, and an oxidation example of silicon ceramics is given.

  3. Single crystal functional oxides on silicon

    PubMed Central

    Bakaul, Saidur Rahman; Serrao, Claudy Rayan; Lee, Michelle; Yeung, Chun Wing; Sarker, Asis; Hsu, Shang-Lin; Yadav, Ajay Kumar; Dedon, Liv; You, Long; Khan, Asif Islam; Clarkson, James David; Hu, Chenming; Ramesh, Ramamoorthy; Salahuddin, Sayeef

    2016-01-01

    Single-crystalline thin films of complex oxides show a rich variety of functional properties such as ferroelectricity, piezoelectricity, ferro and antiferromagnetism and so on that have the potential for completely new electronic applications. Direct synthesis of such oxides on silicon remains challenging because of the fundamental crystal chemistry and mechanical incompatibility of dissimilar interfaces. Here we report integration of thin (down to one unit cell) single crystalline, complex oxide films onto silicon substrates, by epitaxial transfer at room temperature. In a field-effect transistor using a transferred lead zirconate titanate layer as the gate insulator, we demonstrate direct reversible control of the semiconductor channel charge with polarization state. These results represent the realization of long pursued but yet to be demonstrated single-crystal functional oxides on-demand on silicon. PMID:26853112

  4. Silicon carbide-silicon composite having improved oxidation resistance and method of making

    NASA Technical Reports Server (NTRS)

    Wang, Hongyu (Inventor); Luthra, Krishan Lal (Inventor)

    1999-01-01

    A Silicon carbide-silicon matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is provided. A method is given for sealing matrix cracks in situ in melt infiltrated silicon carbide-silicon matrix composites. The composite cracks are sealed by the addition of various additives, such as boron compounds, into the melt infiltrated silicon carbide-silicon matrix.

  5. Oxide mediated liquid-solid growth of high aspect ratio aligned gold silicide nanowires on Si(110) substrates.

    PubMed

    Bhatta, Umananda M; Rath, Ashutosh; Dash, Jatis K; Ghatak, Jay; Yi-Feng, Lai; Liu, Chuan-Pu; Satyam, P V

    2009-11-18

    Silicon nanowires grown using the vapor-liquid-solid method are promising candidates for nanoelectronics applications. The nanowires grow from an Au-Si catalyst during silicon chemical vapor deposition. In this paper, the effect of temperature, oxide at the interface and substrate orientation on the nucleation and growth kinetics during formation of nanogold silicide structures is explained using an oxide mediated liquid-solid growth mechanism. Using real time in situ high temperature transmission electron microscopy (with 40 ms time resolution), we show the formation of high aspect ratio ( approximately 15.0) aligned gold silicide nanorods in the presence of native oxide at the interface during in situ annealing of gold thin films on Si(110) substrates. Steps observed in the growth rate and real time electron diffraction show the existence of liquid Au-Si nano-alloy structures on the surface besides the un-reacted gold nanostructures. These results might enable us to engineer the growth of nanowires and similar structures with an Au-Si alloy as a catalyst.

  6. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  7. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  8. Interaction at the silicon/transition metal oxide heterojunction interface and its effect on the photovoltaic performance.

    PubMed

    Liang, Zhimin; Su, Mingze; Zhou, Yangyang; Gong, Li; Zhao, Chuanxi; Chen, Keqiu; Xie, Fangyan; Zhang, Weihong; Chen, Jian; Liu, Pengyi; Xie, Weiguang

    2015-11-07

    The interfacial reaction and energy level alignment at the Si/transition metal oxide (TMO, including MoO3-x, V2O5-x, WO3-x) heterojunction are systematically investigated. We confirm that the interfacial reaction appears during the thermal deposition of TMO, with the reaction extent increasing from MoO3-x, to V2O5-x, and to WO3-x. The reaction causes the surface oxidation of silicon for faster electron/hole recombination, and the reduction of TMO for effective hole collection. The photovoltaic performance of the Si/TMO heterojunction devices is affected by the interface reaction. MoO3-x are the best hole selecting materials that induce least surface oxidation but strongest reduction. Compared with H-passivation, methyl group passivation is an effective way to reduce the interface reaction and improve the interfacial energy level alignment for better electron and hole collection.

  9. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF.

    PubMed

    Wallace, Ryan A; Sepaniak, Michael J; Lavrik, Nickolay V; Datskos, Panos G

    2017-06-06

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this work, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  10. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wallace, Ryan A.; Sepaniak, Michael J.; Lavrik, Nickolay V.

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this paper, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Finally, profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  11. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF

    DOE PAGES

    Wallace, Ryan A.; Sepaniak, Michael J.; Lavrik, Nickolay V.; ...

    2017-05-10

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this paper, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Finally, profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  12. Internal alignment and position resolution of the silicon tracker of DAMPE determined with orbit data

    NASA Astrophysics Data System (ADS)

    Tykhonov, A.; Ambrosi, G.; Asfandiyarov, R.; Azzarello, P.; Bernardini, P.; Bertucci, B.; Bolognini, A.; Cadoux, F.; D'Amone, A.; De Benedittis, A.; De Mitri, I.; Di Santo, M.; Dong, Y. F.; Duranti, M.; D'Urso, D.; Fan, R. R.; Fusco, P.; Gallo, V.; Gao, M.; Gargano, F.; Garrappa, S.; Gong, K.; Ionica, M.; La Marra, D.; Lei, S. J.; Li, X.; Loparco, F.; Marsella, G.; Mazziotta, M. N.; Peng, W. X.; Qiao, R.; Salinas, M. M.; Surdo, A.; Vagelli, V.; Vitillo, S.; Wang, H. Y.; Wang, J. Z.; Wang, Z. M.; Wu, D.; Wu, X.; Zhang, F.; Zhang, J. Y.; Zhao, H.; Zimmer, S.

    2018-06-01

    The DArk Matter Particle Explorer (DAMPE) is a space-borne particle detector designed to probe electrons and gamma-rays in the few GeV to 10 TeV energy range, as well as cosmic-ray proton and nuclei components between 10 GeV and 100 TeV. The silicon-tungsten tracker-converter is a crucial component of DAMPE. It allows the direction of incoming photons converting into electron-positron pairs to be estimated, and the trajectory and charge (Z) of cosmic-ray particles to be identified. It consists of 768 silicon micro-strip sensors assembled in 6 double layers with a total active area of 6.6 m2. Silicon planes are interleaved with three layers of tungsten plates, resulting in about one radiation length of material in the tracker. Internal alignment parameters of the tracker have been determined on orbit, with non-showering protons and helium nuclei. We describe the alignment procedure and present the position resolution and alignment stability measurements.

  13. Silica substrate or portion formed from oxidation of monocrystalline silicon

    DOEpatents

    Matzke, Carolyn M.; Rieger, Dennis J.; Ellis, Robert V.

    2003-07-15

    A method is disclosed for forming an inclusion-free silica substrate using a monocrystalline silicon substrate as the starting material and oxidizing the silicon substrate to convert it entirely to silica. The oxidation process is performed from both major surfaces of the silicon substrate using a conventional high-pressure oxidation system. The resulting product is an amorphous silica substrate which is expected to have superior etching characteristics for microfabrication than conventional fused silica substrates. The present invention can also be used to convert only a portion of a monocrystalline silicon substrate to silica by masking the silicon substrate and locally thinning a portion the silicon substrate prior to converting the silicon portion entirely to silica. In this case, the silica formed by oxidizing the thinned portion of the silicon substrate can be used, for example, as a window to provide optical access through the silicon substrate.

  14. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    NASA Astrophysics Data System (ADS)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  15. Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire

    NASA Technical Reports Server (NTRS)

    Anthony, Thomas R. (Inventor)

    1985-01-01

    Alignment-enhancing electrically conductive feed-through paths are provided for the high-speed low-loss transfer of electrical signals between integrated circuits of a plurality of silicon-on-sapphire bodies arrayed in a stack. The alignment-enhancing feed-throughs are made by a process of this invention involving the drilling of holes through the body, double-sided sputtering, electroplating, and the filling of the holes with solder by capillary action. The alignment-enhancing feed-throughs are activated by forming a stack of wafers and remelting the solder whereupon the wafers, and the feed-through paths, are pulled into alignment by surface tension forces.

  16. Oxidation of silicon with a 5 eV O(-) beam

    NASA Technical Reports Server (NTRS)

    Hecht, M. H.; Orient, O. J.; Chutjian, A.; Vasquez, R. P.

    1989-01-01

    A silicon wafer has been oxidized at room temperature in vacuum using a pure, ground-state beam of O(-) ions. The beam was of sufficiently low energy that no displacement damage or implantation was energetically possible. The resulting SiO2 films were analyzed with X-ray photoelectron spectroscopy. A logarithmic dependence of oxide thickness on dose was observed, with an extrapolated oxidation efficiency of unity for the clean silicon surface. A distinct initial oxidation phase was observed, with an anomalously high level of silicon suboxides. In addition, the valence-band offset between the silicon and the oxide was unusually small, suggesting a large interfacial dipole.

  17. Oxide driven strength evolution of silicon surfaces

    DOE PAGES

    Grutzik, Scott J.; Milosevic, Erik; Boyce, Brad L.; ...

    2015-11-19

    Previous experiments have shown a link between oxidation and strength changes in single crystal silicon nanostructures but provided no clues as to the mechanisms leading to this relationship. Using atomic force microscope-based fracture strength experiments, molecular dynamics modeling, and measurement of oxide development with angle resolved x-ray spectroscopy we study the evolution of strength of silicon (111) surfaces as they oxidize and with fully developed oxide layers. We find that strength drops with partial oxidation but recovers when a fully developed oxide is formed and that surfaces intentionally oxidized from the start maintain their high initial strengths. MD simulations showmore » that strength decreases with the height of atomic layer steps on the surface. These results are corroborated by a completely separate line of testing using micro-scale, polysilicon devices, and the slack chain method in which strength recovers over a long period of exposure to the atmosphere. Lastly, combining our results with insights from prior experiments we conclude that previously described strength decrease is a result of oxidation induced roughening of an initially flat silicon (1 1 1) surface and that this effect is transient, a result consistent with the observation that surfaces flatten upon full oxidation.« less

  18. Fabrication of p-type porous silicon nanowire with oxidized silicon substrate through one-step MACE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shaoyuan; Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093; Ma, Wenhui, E-mail: mwhsilicon@163.com

    2014-05-01

    In this paper, the simple pre-oxidization process is firstly used to treat the starting silicon wafer, and then MPSiNWs are successfully fabricated from the moderately doped wafer by one-step MACE technology in HF/AgNO{sub 3} system. The PL spectrum of MPSiNWs obtained from the oxidized silicon wafers show a large blue-shift, which can be attributed to the deep Q. C. effect induced by numerous mesoporous structures. The effects of HF and AgNO{sub 3} concentration on formation of SiNWs were carefully investigated. The results indicate that the higher HF concentration is favorable to the growth of SiNWs, and the density of SiNWsmore » is significantly reduced when Ag{sup +} ions concentrations are too high. The deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon surface were studied. According to the experimental results, a model was proposed to explain the formation mechanism of porous SiNWs by etching the oxidized starting silicon. - Graphical abstract: Schematic cross-sectional views of PSiNWs array formation by etching oxidized silicon wafer in HF/AgNO{sub 3} solution. (A) At the starting point; (B) during the etching process; and (C) after Ag dendrites remove. - Highlights: • Prior to etching, a simple pre-oxidation is firstly used to treat silicon substrate. • The medially doped p-type MPSiNWs are prepared by one-step MACE. • Deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon are studied. • A model is finally proposed to explain the formation mechanism of PSiNWs.« less

  19. Engineering functionalized multi-phased silicon/silicon oxide nano-biomaterials to passivate the aggressive proliferation of cancer

    PubMed Central

    Premnath, P.; Tan, B.; Venkatakrishnan, K.

    2015-01-01

    Currently, the use of nano silicon in cancer therapy is limited as drug delivery vehicles and markers in imaging, not as manipulative/controlling agents. This is due to limited properties that native states of nano silicon and silicon oxides offers. We introduce nano-functionalized multi-phased silicon/silicon oxide biomaterials synthesized via ultrashort pulsed laser synthesis, with tunable properties that possess inherent cancer controlling properties that can passivate the progression of cancer. This nanostructured biomaterial is composed of individual functionalized nanoparticles made of a homogenous hybrid of multiple phases of silicon and silicon oxide in increasing concentration outwards from the core. The chemical properties of the proposed nanostructure such as number of phases, composition of phases and crystal orientation of each functionalized nanoparticle in the three dimensional nanostructure is defined based on precisely tuned ultrashort pulsed laser-material interaction mechanisms. The amorphous rich phased biomaterial shows a 30 fold (95%) reduction in number of cancer cells compared to bulk silicon in 48 hours. Further, the size of the cancer cells reduces by 76% from 24 to 48 hours. This method exposes untapped properties of combination of multiple phases of silicon oxides and its applications in cancer therapy. PMID:26190009

  20. Photoluminescence of Porous Silicon-Zinc Oxide Hybrid structures

    NASA Astrophysics Data System (ADS)

    Olenych, I. B.; Monastyrskii, L. S.; Luchechko, A. P.

    2017-03-01

    Arrays of ZnO nanostructures, which are optically transparent in the visible range, were grown on the surface of porous silicon by electrochemical deposition. Photoluminescence excitation and emission spectra of the obtained hybrid structures were investigated in 220-450 and 400-800 nm regions, respectively. It is established that multicolor emission is formed by combining the luminescence bands of porous silicon and zinc oxide. The possibility of controlling the photoluminescence spectra by changing the excitation energy is demonstrated. It is revealed that thermal annealing has an effect on the luminescent properties of porous silicon/zinc oxide hybrid structures. Thermal processing at 500°C leads to a sharp decrease of long-wavelength luminescence associated with porous silicon and to an increase of short-wavelength luminescence intensity related to zinc oxide.

  1. The effect of dry shear aligning of nanotube thin films on the photovoltaic performance of carbon nanotube-silicon solar cells.

    PubMed

    Stolz, Benedikt W; Tune, Daniel D; Flavel, Benjamin S

    2016-01-01

    Recent results in the field of carbon nanotube-silicon solar cells have suggested that the best performance is obtained when the nanotube film provides good coverage of the silicon surface and when the nanotubes in the film are aligned parallel to the surface. The recently developed process of dry shear aligning - in which shear force is applied to the surface of carbon nanotube thin films in the dry state, has been shown to yield nanotube films that are very flat and in which the surface nanotubes are very well aligned in the direction of shear. It is thus reasonable to expect that nanotube films subjected to dry shear aligning should outperform otherwise identical films formed by other processes. In this work, the fabrication and characterisation of carbon nanotube-silicon solar cells using such films is reported, and the photovoltaic performance of devices produced with and without dry shear aligning is compared.

  2. Silicon Carbide Nanotube Oxidation at High Temperatures

    NASA Technical Reports Server (NTRS)

    Ahlborg, Nadia; Zhu, Dongming

    2012-01-01

    Silicon Carbide Nanotubes (SiCNTs) have high mechanical strength and also have many potential functional applications. In this study, SiCNTs were investigated for use in strengthening high temperature silicate and oxide materials for high performance ceramic nanocomposites and environmental barrier coating bond coats. The high · temperature oxidation behavior of the nanotubes was of particular interest. The SiCNTs were synthesized by a direct reactive conversion process of multiwall carbon nanotubes and silicon at high temperature. Thermogravimetric analysis (TGA) was used to study the oxidation kinetics of SiCNTs at temperatures ranging from 800degC to1300degC. The specific oxidation mechanisms were also investigated.

  3. Study on the impedance of aligned carbon microcoils embedded in silicone rubber matrix

    NASA Astrophysics Data System (ADS)

    Zhu, Ya-Bo; Zhang, Lin; Guo, Li-Tong; Xiang, Dong-Hu

    2010-12-01

    This paper reports that carbon microcoils are grown through a chemical vapour deposit process, they are then embedded in silicone rubber, and manipulated to parallel with each other along their axes in the resulting composite. The impedance |Z| as well as phase angle θ of both the original carbon microcoil sheets and the aligned carbon microcoil/silicone rubber composites are measured. The results illustrate that carbon microcoils in different forms show different alternating current electric properties. The aligned carbon microcoils in the composites show stable parameters for f < 104 Hz but a sharp decrease in both |Z| and θ for frequencies > 104 Hz, which will also change as the carbon microcoils are extended. But, the original sheets have a pure resistance with their parameters stable throughout the entire alternating current frequency range investigated.

  4. The effect of dry shear aligning of nanotube thin films on the photovoltaic performance of carbon nanotube–silicon solar cells

    PubMed Central

    Stolz, Benedikt W; Tune, Daniel D

    2016-01-01

    Summary Recent results in the field of carbon nanotube–silicon solar cells have suggested that the best performance is obtained when the nanotube film provides good coverage of the silicon surface and when the nanotubes in the film are aligned parallel to the surface. The recently developed process of dry shear aligning – in which shear force is applied to the surface of carbon nanotube thin films in the dry state, has been shown to yield nanotube films that are very flat and in which the surface nanotubes are very well aligned in the direction of shear. It is thus reasonable to expect that nanotube films subjected to dry shear aligning should outperform otherwise identical films formed by other processes. In this work, the fabrication and characterisation of carbon nanotube–silicon solar cells using such films is reported, and the photovoltaic performance of devices produced with and without dry shear aligning is compared. PMID:27826524

  5. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  6. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    NASA Astrophysics Data System (ADS)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  7. Design and fabrication of a self-aligned parallel-plate-type silicon micromirror minimizing the effect of misalignment

    NASA Astrophysics Data System (ADS)

    Yoo, Byung-Wook; Park, Jae-Hyoung; Jin, Joo-Young; Jang, Yun-Ho; Kim, Yong-Kweon

    2009-05-01

    This paper describes a self-alignment method whereby a mirror actuation voltage, corresponding to a specific tilting angle, is unvarying in terms of misalignment during fabrication. A deep silicon etching process is proposed to penetrate the top silicon layer (the micromirror layer) and an amorphous silicon layer (the addressing electrode layer) together, through an aluminum mask pattern, in order to minimize the misalignment effect on the micromirror actuation. The size of a fabricated mirror plate is 250 × 250 × 4 µm3. A pair of amorphous silicon electrodes under the mirror plate is about half the size of the mirror plate individually. Numerical analysis associated with calculating the pull-in voltage and the bonding misalignment is performed to verify the self-alignment concepts focused upon in this paper. Curves of the applied voltage versus the tilt angle of the self-aligned micromirror are observed using a position sensing detector in order to compare the measurement results with MATLAB analysis of the expected static deflections. Although a 3.7 µm misalignment is found between the mirror plate and the electrodes, in the direction perpendicular to the shallow trench of the electrodes, before the self-alignment process, the measured pull-in voltage has been found to be 103.4 V on average; this differs from the pull-in voltage of a perfectly aligned micromirror by only 0.67%. Regardless of the unpredictable misalignments in repetitive photolithography and bonding, the tilting angles corresponding to the driving voltages are proved to be uniform along the single axis as well as conform to the results of analytical analysis.

  8. Infrared Dielectric Properties of Low-Stress Silicon Oxide

    NASA Technical Reports Server (NTRS)

    Cataldo, Giuseppe; Wollack, Edward J.; Brown, Ari D.; Miller, Kevin H.

    2016-01-01

    Silicon oxide thin films play an important role in the realization of optical coatings and high-performance electrical circuits. Estimates of the dielectric function in the far- and mid-infrared regime are derived from the observed transmittance spectrum for a commonly employed low-stress silicon oxide formulation. The experimental, modeling, and numerical methods used to extract the dielectric function are presented.

  9. Controlled growth of periodically aligned copper-silicide nanocrystal arrays on silicon directed by laser-induced periodic surface structures (LIPSS)

    NASA Astrophysics Data System (ADS)

    Nürnberger, Philipp; Reinhardt, Hendrik M.; Rhinow, Daniel; Riedel, René; Werner, Simon; Hampp, Norbert A.

    2017-10-01

    In this paper we introduce a versatile tool for the controlled growth and alignment of copper-silicide nanocrystals. The method takes advantage of a unique self-organization phenomenon denoted as laser-induced periodic surface structures (LIPSS). Copper films (3 ± 0.2 nm) are sputter-deposited onto single crystal silicon (100) substrates with a thin oxide layer (4 ± 0.2 nm), and subsequently exposed to linearly polarized nanosecond laser pulses (τ ≈ 6 ns) at a central wavelength of 532 nm. The irradiation triggers dewetting of the Cu film and simultaneous formation of periodic Cu nanowires (LIPSS), which partially penetrate the oxide layer to the Si substrate. These LIPSS act as nucleation centers for the growth of Cu-Si crystals during thermal processing at 500 °C under forming gas 95/5 atmosphere. Exemplified by our model system Cu/SiO2/Si, LIPSS are demonstrated to facilitate the diffusion reaction between Cu and underlying Si. Moreover, adjustment of the laser polarization allows us to precisely control the nanocrystal alignment with respect to the LIPSS orientation. Potential applications and conceivable alternatives of this process are discussed.

  10. Effect of solvents on optical band gap of silicon-doped graphene oxide

    NASA Astrophysics Data System (ADS)

    Tul Ain, Qura; Al-Modlej, Abeer; Alshammari, Abeer; Naeem Anjum, Muhammad

    2018-03-01

    The objective of this study was to determine the influence on the optical band gap when the same amount of silicon-doped graphene oxide was dissolved in three different solvents namely, distilled water, benzene, and dichloroethane. Ultraviolet-visible spectroscopy was used to analyse the optical properties of the solutions. Among all these solutions distilled water containing silicon-doped graphene oxide has the smallest optical band gap of 2.9 eV and is considered a semiconductor. Other solutions are not considered as semiconductors as they have optical band gaps greater than 4 eV. It was observed that there is an increase in the value of optical band gap of distilled water, benzene, and dichloroethane solutions indicating a rise in the insulating behaviour. In this experiment, graphene oxide was synthesised from graphite powder by modified Hummer’s method and was then doped with silicon. Synthesis and doping of graphene oxide were confirmed by various characterization techniques. Fourier transmission infrared spectroscopy was used for identification of surface functional groups. X-ray diffraction was carried out to confirm the formation of crystalline graphene oxide and silicon doped graphene oxide. In x-ray diffraction pattern, shifting of intensity peak from a 2θ value of 26.5° to 10° confirmed the synthesis of graphene oxide and various intensity peaks at different values of 2θ confirmed doping of graphene oxide with silicon. Scanning electron microscopy images indicated that graphene oxide sheets were decorated with spherical silicon nanoparticles. Energy dispersive x-ray spectroscopy showed that silicon doped graphene oxide powder contained 63.36% carbon, 34.05% oxygen, and 2.6% silicon.

  11. Tribological interaction between polytetrafluoroethylene and silicon oxide surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uçar, A.; Çopuroğlu, M.; Suzer, S., E-mail: suzer@fen.bilkent.edu.tr

    2014-10-28

    We investigated the tribological interaction between polytetrafluoroethylene (PTFE) and silicon oxide surfaces. A simple rig was designed to bring about a friction between the surfaces via sliding a piece of PTFE on a thermally oxidized silicon wafer specimen. A very mild inclination (∼0.5°) along the sliding motion was also employed in order to monitor the tribological interaction in a gradual manner as a function of increasing contact force. Additionally, some patterns were sketched on the silicon oxide surface using the PTFE tip to investigate changes produced in the hydrophobicity of the surface, where the approximate water contact angle was 45°more » before the transfer. The nature of the transferred materials was characterized by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). XPS results revealed that PTFE was faithfully transferred onto the silicon oxide surface upon even at the slightest contact and SEM images demonstrated that stable morphological changes could be imparted onto the surface. The minimum apparent contact pressure to realize the PTFE transfer is estimated as 5 kPa, much lower than reported previously. Stability of the patterns imparted towards many chemical washing processes lead us to postulate that the interaction is most likely to be chemical. Contact angle measurements, which were carried out to characterize and monitor the hydrophobicity of the silicon oxide surface, showed that upon PTFE transfer the hydrophobicity of the SiO{sub 2} surface could be significantly enhanced, which might also depend upon the pattern sketched onto the surface. Contact angle values above 100° were obtained.« less

  12. Transparent silicon strip sensors for the optical alignment of particle detector systems

    NASA Astrophysics Data System (ADS)

    Blum, W.; Kroha, H.; Widmann, P.

    1996-02-01

    Modern large-area precision tracking detectors require increasing accuracy for the alignment of their components. A novel multi-point laser alignment system has been developed for such applications. The position of detector components with respect to reference laser beams is monitored by semi-transparent optical position sensors which work on the principle of silicon strip photodiodes. Two types of custom designed transparent strip sensors, based on crystalline and on amorphous silicon as active material, have been studied. The sensors are optimized for the typical diameters of collimated laser beams of 3-5 mm over distances of 10-20 m. They provide very high position resolution, on the order of 1 μm, uniformly over a wide measurement range of several centimeters. The preparation of the sensor surfaces requires special attention in order to achieve high light transmittance and minimum distortion of the traversing laser beams. At selected wavelengths, produced by laser diodes, transmission rates above 90% have been achieved. This allows to position more than 30 sensors along one laser beam. The sensors will be equipped with custom designed integrated readout electronics.

  13. Dopants Diffusion in Silicon during Molecular Oxygen/nitrogen Trifluoride Oxidation and Related Phenomena

    NASA Astrophysics Data System (ADS)

    Kim, U. S.

    1990-01-01

    To date, chlorine has been used as useful additives in silicon oxidation. However, rapid scaling of device dimensions motivates the development of a new dielectric layer or modification of the silicon dioxide itself. More recently, chemically enhanced thermal oxidation by the use of fluorine containing species has been introduced to verify the potential of fluorine in the silicon oxidation process. In this study, gaseous nitrogen trifluoride (NF _3) was selected as the fluorine oxidizing source based on ease of use and was compared with the dichlorofluoroethane (C_2H _3Cl_2F) source. Two different kinds of boron marker samples were prepared and oxidized in O_2/NF_3 ambient for the comparison of surface vs bulk oxidation enhanced/retarded diffusion (OED/ORD). The phosphorus, arsenic and antimony diffusion in silicon during fluorine oxidation has been studied using the various covering layers such as SiO_2, Si_3 N_4, and SiO_2 + Si_3N_4 layers. The oxidation related phenomena, i.e. enhanced silicon and silicon nitride oxidation in fluorine ambient were studied and correlated with the point defect balance at the oxidizing interface. The results of this investigation were discussed with special emphasis on the effect of fluorine on enhanced oxidation and dopant diffusion.

  14. Plasma-Sprayed Refractory Oxide Coatings on Silicon-Base Ceramics

    NASA Technical Reports Server (NTRS)

    Tewari, Surendra

    1997-01-01

    Silicon-base ceramics are promising candidate materials for high temperature structural applications such as heat exchangers, gas turbines and advanced internal combustion engines. Composites based on these materials are leading candidates for combustor materials for HSCT gas turbine engines. These materials possess a combination of excellent physical and mechanical properties at high temperatures, for example, high strength, high toughness, high thermal shock resistance, high thermal conductivity, light weight and excellent oxidation resistance. However, environmental durability can be significantly reduced in certain conditions such as when molten salts, H2 or water vapor are present. The oxidation resistance of silicon-base materials is provided by SiO2 protective layer. Molten salt reacts with SiO2 and forms a mixture of SiO2 and liquid silicate at temperatures above 800C. Oxygen diffuses more easily through the chemically altered layer, resulting in a catastrophic degradation of the substrate. SiC and Si3N4 are not stable in pure H2 and decompose to silicon and gaseous species such as CH4, SiH, SiH4, N2, and NH3. Water vapor is known to slightly increase the oxidation rate of SiC and Si3N4. Refractory oxides such as alumina, yttria-stabilized zirconia, yttria and mullite (3Al2O3.2SiO2) possess excellent environmental durability in harsh conditions mentioned above. Therefore, refractory oxide coatings on silicon-base ceramics can substantially improve the environmental durability of these materials by acting as a chemical reaction barrier. These oxide coatings can also serve as a thermal barrier. The purpose of this research program has been to develop refractory oxide chemical/thermal barrier coatings on silicon-base ceramics to provide extended temperature range and lifetime to these materials in harsh environments.

  15. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  16. Strong White Photoluminescence from Carbon-Incorporated Silicon Oxide Fabricated by Preferential Oxidation of Silicon in Nano-Structured Si:C Layer

    NASA Astrophysics Data System (ADS)

    Vasin, Andriy V.; Ishikawa, Yukari; Shibata, Noriyoshi; Salonen, Jarno; Lehto, Vesa-Pekka

    2007-05-01

    A new approach to development of light-emitting SiO2:C layers on Si wafer is demonstrated. Carbon-incorporated silicon oxide was fabricated by three-step procedure: (1) formation of the porous silicon (por-Si) layer by ordinary anodization in HF:ethanol solution; (2) carbonization at 1000 °C in acetylene flow (formation of por-Si:C layer); (3) oxidation in the flow of moisturized argon at 800 °C (formation of SiO2:C layer). Resulting SiO2:C layer exhibited very strong and stable white photoluminescence at room temperature. It is shown that high reactivity of water vapor with nano-crystalline silicon and inertness with amorphous carbon play a key role in the formation of light-emitting SiO2:C layer.

  17. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    PubMed

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  18. Solid oxide membrane (SOM) process for ytterbium and silicon production from their oxides

    NASA Astrophysics Data System (ADS)

    Jiang, Yihong

    The Solid oxide membrane (SOM) electrolysis is an innovative green technology that produces technologically important metals directly from their respective oxides. A yttria-stabilized zirconia (YSZ) tube, closed at one end is employed to separate the molten salt containing dissolved metal oxides from the anode inside the YSZ tube. When the applied electric potential between the cathode in the molten salt and the anode exceeds the dissociation potential of the desired metal oxides, oxygen ions in the molten salt migrate through the YSZ membrane and are oxidized at the anode while the dissolved metal cations in the flux are reduced to the desired metal at the cathode. Compared with existing metal production processes, the SOM process has many advantages such as one unit operation, less energy consumption, lower capital costs and zero carbon emission. Successful implementation of the SOM electrolysis process would provide a way to mitigate the negative environmental impact of the metal industry. Successful demonstration of producing ytterbium (Yb) and silicon (Si) directly from their respective oxides utilizing the SOM electrolysis process is presented in this dissertation. During the SOM electrolysis process, Yb2O3 was reduced to Yb metal on an inert cathode. The melting point of the supporting electrolyte (LiF-YbF3-Yb2O3) was determined by differential thermal analysis (DTA). Static stability testing confirmed that the YSZ tube was stable with the flux at operating temperature. Yb metal deposit on the cathode was confirmed by scanning electron microscopy (SEM) and energy dispersive x-ray spectroscopy (EDS). During the SOM electrolysis process for silicon production, a fluoride based flux based on BaF2, MgF2, and YF3 was engineered to serve as the liquid electrolyte for dissolving silicon dioxide. YSZ tube was used to separate the molten salt from an anode current collector in the liquid silver. Liquid tin was chosen as cathode to dissolve the reduced silicon during

  19. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    NASA Astrophysics Data System (ADS)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  20. Advanced Silicon-on-Insulator: Crystalline Silicon on Atomic Layer Deposited Beryllium Oxide.

    PubMed

    Min Lee, Seung; Hwan Yum, Jung; Larsen, Eric S; Chul Lee, Woo; Keun Kim, Seong; Bielawski, Christopher W; Oh, Jungwoo

    2017-10-16

    Silicon-on-insulator (SOI) technology improves the performance of devices by reducing parasitic capacitance. Devices based on SOI or silicon-on-sapphire technology are primarily used in high-performance radio frequency (RF) and radiation sensitive applications as well as for reducing the short channel effects in microelectronic devices. Despite their advantages, the high substrate cost and overheating problems associated with complexities in substrate fabrication as well as the low thermal conductivity of silicon oxide prevent broad applications of this technology. To overcome these challenges, we describe a new approach of using beryllium oxide (BeO). The use of atomic layer deposition (ALD) for producing this material results in lowering the SOI wafer production cost. Furthermore, the use of BeO exhibiting a high thermal conductivity might minimize the self-heating issues. We show that crystalline Si can be grown on ALD BeO and the resultant devices exhibit potential for use in advanced SOI technology applications.

  1. Silicon microring resonators

    NASA Astrophysics Data System (ADS)

    Tan, Ying; Dai, Daoxin

    2018-05-01

    Silicon microring resonators (MRRs) are very popular for many applications because of the advantages of footprint compactness, easy scalability, and functional versatility. Ultra-compact silicon MRRs with box-like spectral responses are realized with a very large free-spectral range (FSR) by introducing bent directional couplers. The measured box-like spectral response has an FSR of >30 nm. The permanent wavelength-alignment techniques for MRRs are also presented, including the laser-induced local-oxidation technique as well as the local-etching technique. With these techniques, one can control finely the permanent wavelength shift, which is also large enough to compensate the random wavelength variation due to the random fabrication errors.

  2. Arsenic silicide formation by oxidation of arsenic implanted silicon

    NASA Astrophysics Data System (ADS)

    Hagmann, D.; Euen, W.; Schorer, G.; Metzger, G.

    1989-07-01

    Wet oxidations of (100) silicon implanted with an arsenic dose of 2 × 1016 cm-2 and an energy of 30 keV were carried out in the temperature range between 600 and 900° C. The oxidation rate is increased on the arsenic implanted samples up to a factor of 2000 as compared to undoped samples. During these oxidations the arsenic suicide phase AsSi is precipitated at the oxide/silicon interface. After short oxidation times at 600° C, a continuous AsSi layer is found. It is dissolved during extended oxidation times and finally almost all As is incorporated in the oxide. After 900° C oxidations, substantial AsSi crystallites remain at the Si/SiO2 interface. They are still observed up to the larg-est oxide thickness grown (2.3 µm). The AsSi phase and the distribution of the im-planted arsenic were analyzed by TEM, SIMS and XRF measurements.

  3. Synthesis of metal silicide at metal/silicon oxide interface by electronic excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, J.-G., E-mail: jglee36@kims.re.kr; Nagase, T.; Yasuda, H.

    The synthesis of metal silicide at the metal/silicon oxide interface by electronic excitation was investigated using transmission electron microscopy. A platinum silicide, α-Pt{sub 2}Si, was successfully formed at the platinum/silicon oxide interface under 25–200 keV electron irradiation. This is of interest since any platinum silicide was not formed at the platinum/silicon oxide interface by simple thermal annealing under no-electron-irradiation conditions. From the electron energy dependence of the cross section for the initiation of the silicide formation, it is clarified that the silicide formation under electron irradiation was not due to a knock-on atom-displacement process, but a process induced by electronic excitation.more » It is suggested that a mechanism related to the Knotek and Feibelman mechanism may play an important role in silicide formation within the solid. Similar silicide formation was also observed at the palladium/silicon oxide and nickel/silicon oxide interfaces, indicating a wide generality of the silicide formation by electronic excitation.« less

  4. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    PubMed

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  5. Sliding Speed-Dependent Tribochemical Wear of Oxide-Free Silicon

    NASA Astrophysics Data System (ADS)

    Chen, Lei; Qi, Yaqiong; Yu, Bingjun; Qian, Linmao

    2017-06-01

    Fundamental understanding of tribochemical wear mechanism of oxide-free single crystalline silicon (without native oxide layer) is essential to optimize the process of ultra-precision surface manufacturing. Here, we report sliding speed-dependent nanowear of oxide-free silicon against SiO2 microspheres in air and in deionized water. When contact pressure is too low to induce Si yield, tribochemical wear occurs with the existence of water molecules and wear volume decreases logarithmically to constant as sliding speed increased. TEM and Raman observations indicate that the dynamics of rupture and reformation of interfacial bonding bridges result in the variation of tribochemical wear of the oxide-free Si with the increase of sliding speed.

  6. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  7. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    DOE PAGES

    Geissbühler, Jonas; Werner, Jérémie; Nicolas, Silvia Martin de; ...

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. Furthermore, we circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  8. Ion irradiation of the native oxide/silicon surface increases the thermal boundary conductance across aluminum/silicon interfaces

    NASA Astrophysics Data System (ADS)

    Gorham, Caroline S.; Hattar, Khalid; Cheaito, Ramez; Duda, John C.; Gaskins, John T.; Beechem, Thomas E.; Ihlefeld, Jon F.; Biedermann, Laura B.; Piekos, Edward S.; Medlin, Douglas L.; Hopkins, Patrick E.

    2014-07-01

    The thermal boundary conductance across solid-solid interfaces can be affected by the physical properties of the solid boundary. Atomic composition, disorder, and bonding between materials can result in large deviations in the phonon scattering mechanisms contributing to thermal boundary conductance. Theoretical and computational studies have suggested that the mixing of atoms around an interface can lead to an increase in thermal boundary conductance by creating a region with an average vibrational spectra of the two materials forming the interface. In this paper, we experimentally demonstrate that ion irradiation and subsequent modification of atoms at solid surfaces can increase the thermal boundary conductance across solid interfaces due to a change in the acoustic impedance of the surface. We measure the thermal boundary conductance between thin aluminum films and silicon substrates with native silicon dioxide layers that have been subjected to proton irradiation and post-irradiation surface cleaning procedures. The thermal boundary conductance across the Al/native oxide/Si interfacial region increases with an increase in proton dose. Supported with statistical simulations, we hypothesize that ion beam mixing of the native oxide and silicon substrate within ˜2.2nm of the silicon surface results in the observed increase in thermal boundary conductance. This ion mixing leads to the spatial gradation of the silicon native oxide into the silicon substrate, which alters the acoustic impedance and vibrational characteristics at the interface of the aluminum film and native oxide/silicon substrate. We confirm this assertion with picosecond acoustic analyses. Our results demonstrate that under specific conditions, a "more disordered and defected" interfacial region can have a lower resistance than a more "perfect" interface.

  9. Laser-fiber coupling by means of a silicon micro-optical bench and a self-aligned soldering process

    NASA Astrophysics Data System (ADS)

    Schmidt, Jan P.; Cordes, A.; Mueller, Joerg; Burkhardt, Hans

    1995-02-01

    The alignment of laser diodes to monomode fibers has to meet extremely close tolerances for a low coupling loss. Typically < 0.5 micrometers in lateral and vertical direction and less than two degrees in angle deviation are allowed for a coupling loss below 2 dB. Presently such close tolerances can only be met by gluing or soldering both components on separate base plates and combining them via piezoactivated alignment monitoring the output of the circuit and then gluing them using UV-hardening epoxies. Such a procedure is not very economical and not useful for mass applications. This paper presents the principle and realization of a silicon micro-optical bench for laser-fiber-coupling, which avoids the above mentioned disadvantages. The micro-optical bench is realized using well controlled plasma etching processes to transfer the guiding patterns for the laser and the fiber into the silicon substrate, keeping geometry tolerances below +/- 0.5 micrometers in lateral and vertical direction. Mounting the laser diode by means of a self-aligned soldering process, an additional contribution to the precise alignment of the laser is further improved.

  10. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  11. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    PubMed

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  12. High-temperature oxidation behavior of reaction-formed silicon carbide ceramics

    NASA Technical Reports Server (NTRS)

    Ogbuji, Linus U. J. T.; Singh, M.

    1995-01-01

    The oxidation behavior of reaction-formed silicon carbide (RFSC) ceramics was investigated in the temperature range of 1100 to 1400 C. The oxidation weight change was recorded by TGA; the oxidized materials were examined by light and electron microscopy, and the oxidation product by x-ray diffraction analysis (XRD). The materials exhibited initial weight loss, followed by passive weight gain (with enhanced parabolic rates, k(sub p)), and ending with a negative (logarithmic) deviation from the parabolic law. The weight loss arose from the oxidation of residual carbon, and the enhanced k(sub p) values from internal oxidation and the oxidation of residual silicon, while the logarithmic kinetics is thought to have resulted from crystallization of the oxide. The presence of a small amount of MoSi, in the RFSC material caused a further increase in the oxidation rate. The only solid oxidation product for all temperatures studied was silica.

  13. The microstructure of laterally seeded silicon-on-oxide

    NASA Astrophysics Data System (ADS)

    Pinizzotto, R. F.; Lam, H. W.; Vaandrager, B. L.

    1982-03-01

    The production of large scale integrated circuits in thin silicon films on insulating substrates is currently of much interest in the electronics industry. One of the most promising techniques of forming this composite structure is by lateral seeding. We have used optical microscopy and transmission electron microscopy to characterize the microstructure of silicon-on-oxide formed by scanning CW laser induced lateral epitaxy. The primary defects are dislocations. Dislocation rearrangement leads to the formation of both small angle boundaries (stable, regular dislocation arrays) and grain boundaries. The grains were found to be misoriented to the <100> direction perpendicular to the film plane by ≤ 4° and to the <100> directions in the plane of the film by ≤ 2°. Internal reflection twins are a common defect. Microtwinning was found to occur at the vertical step caused by the substrate-oxide interface if the substrate to oxide step height was > 120 nm. The microstructure is continuous across successive scan lines. Microstructural defects are found to initiate at the same topographical location in different oxide pads. We propose that this is due to the meeting of two crystallization growth fronts. The liquid silicon between the fronts causes large stresses in this area because of the 9% volume increase during solidification. The defects observed in the bulk may form by a similar mechanism or by dislocation generation at substrate-oxide interface irregularities. The models predict that slower growth leads to improved material quality. This has been observed experimentally.

  14. The Active Oxidation of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Jacobson, Nathan S.; Myers, Dwight L.

    2009-01-01

    The high temperature oxidation of silicon carbide occurs in two very different modes. Passive oxidation forms a protective oxide film which limits further attack of the SiC: SiC(s) + 3/2 O2(g) = SiO2(s) + CO(g) Active oxidation forms a volatile oxide and may lead to extensive attack of the SiC: SiC(s) + O2(g) = SiO(g) + CO(g) Generally passive oxidation occurs at higher oxidant pressures and active oxidation occurs at lower oxidant pressures and elevated temperatures. Active oxidation is a concern for reentry, where the flight trajectory involves the latter conditions. Thus the transition points and rates of active oxidation are a major concern. Passive/active transitions have been studied by a number of investigators. An examination of the literature indicates many questions remain regarding the effect of impurity, the hysteresis of the transition (i.e. the difference between active-to-passive and passive-toactive), and the effect of total pressure. In this study we systematically investigate each of these effects. Experiments were done in both an alumina furnace tube and a quartz furnace tube. It is known that alumina tubes release impurities such as sodium and increase the kinetics in the passive region [1]. We have observed that the active-to-passive transition occurs at a lower oxygen pressure when the experiment is conducted in alumina tubes and the resultant passive silica scale contains sodium. Thus the tests in this study are conducted in quartz tubes. The hysteresis of the transition has been discussed in the detail in the original theoretical treatise of this problem for pure silicon by Wagner [2], yet there is little mention of it in subsequent literature. Essentially Wagner points out that the active-to-passive transition is governed by the criterion for a stable Si/SiO2 equilibria and the passive-to-active transition is governed by the decomposition of the SiO2 film. A series of experiments were conducted for active-to-passive and passive

  15. A reliable method to grow vertically-aligned silicon nanowires by a novel ramp-cooling process

    NASA Astrophysics Data System (ADS)

    Ho, Tzuen-Wei; Hong, Franklin Chau-Nan

    2012-08-01

    We have grown silicon nanowires (SiNWs) on Si (1 1 1) substrates by gold-catalyzed vapor-liquid-solid (VLS) process using tetrachlorosilane (SiCl4) in a hot-wall chemical vapor deposition reactor. Even under the optimized conditions including H2 annealing to reduce the surface native oxide, epitaxial SiNWs of 150-200 nm in diameter often grew along all four <1 1 1> family directions with one direction vertical and three others inclined to the surface. Therefore, the growth of high degree ordered SiNW arrays along [1 1 1] only was attempted on Au-coated Si (1 1 1) by a ramp-cooling process utilizing the liquid phase epitaxy (LPE) mechanism. The Au-coated Si substrate was first annealed in H2 at 650 °C to form Au-Si alloy nanoparticles, and then ramp-cooled at a controlled rate to precipitate epitaxial Si seeds on the substrate based on LPE mechanism. The substrate was further heated in SiCl4/H2 to 850 °C for the VLS growths of SiNWs on the Si seeds. Thus, almost 100% vertically-aligned SiNWs along [1 1 1] only could be reproducibly grown on Si (1 1 1), without using a template or patterning the metal catalyst. The high-density vertically-aligned SiNWs have good potentials for solar cells and nano-devices.

  16. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    NASA Technical Reports Server (NTRS)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  17. Method of fabricating conducting oxide-silicon solar cells utilizing electron beam sublimation and deposition of the oxide

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1979-01-01

    In preparing tin oxide and indium tin oxide-silicon heterojunction solar cells by electron beam sublimation of the oxide and subsequent deposition thereof on the silicon, the engineering efficiency of the resultant cell is enhanced by depositing the oxide at a predetermined favorable angle of incidence. Typically the angle of incidence is between 40.degree. and 70.degree. and preferably between 55.degree. and 65.degree. when the oxide is tin oxide and between 40.degree. and 70.degree. when the oxide deposited is indium tin oxide. gi The Government of the United States of America has rights in this invention pursuant to Department of Energy Contract No. EY-76-C-03-1283.

  18. Rapid Covalent Modification of Silicon Oxide Surfaces through Microwave-Assisted Reactions with Alcohols.

    PubMed

    Lee, Austin W H; Gates, Byron D

    2016-07-26

    We demonstrate the method of a rapid covalent modification of silicon oxide surfaces with alcohol-containing compounds with assistance by microwave reactions. Alcohol-containing compounds are prevalent reagents in the laboratory, which are also relatively easy to handle because of their stability against exposure to atmospheric moisture. The condensation of these alcohols with the surfaces of silicon oxides is often hindered by slow reaction kinetics. Microwave radiation effectively accelerates this condensation reaction by heating the substrates and/or solvents. A variety of substrates were modified in this demonstration, such as silicon oxide films of various thicknesses, glass substrates such as microscope slides (soda lime), and quartz. The monolayers prepared through this strategy demonstrated the successful formation of covalent surface modifications of silicon oxides with water contact angles of up to 110° and typical hysteresis values of 2° or less. An evaluation of the hydrolytic stability of these monolayers demonstrated their excellent stability under acidic conditions. The techniques introduced in this article were successfully applied to tune the surface chemistry of silicon oxides to achieve hydrophobic, oleophobic, and/or charged surfaces.

  19. Research on silicon microchannel array oxidation insulation technology and stress issues

    NASA Astrophysics Data System (ADS)

    Chai, Jin; Li, Mo; Liang, Yong-zhao; Yang, Ji-kai; Wang, Guo-zheng; Duanmu, Qing-duo

    2013-08-01

    Microchannel plate is widely used in the field of low light level night vision, photomultiplier, tubes, X-ray enhancer and so on. In order to meet the requirement of microchannel plate electron multiplier, we used the method of thermal oxidation to produce a thin film of silicon dioxide which could play a role in electric insulation. Silicon dioxide film has a high breakdown voltage, it can satisfy the high breakdown voltage requirements of electron multiplier. We should find the reasonable parameter values and preparation process in the oxidation so that the thickness and uniformity of the silicon dioxide layer would meet requirement. This article has been focused on researching and analyzing of the problem of oxide insulation and thermal stress in the process of production of silicon dioxide film. In this experiment, dry oxygen and wet oxygen were carried out respectively for 8 hours. The thickness of dry oxygen silicon dioxide films was 458 nm and wet oxygen silicon dioxide films was 1.4 μm. Under these conditions, the silicon microchannel is uniformity and neat, meanwhile the insulating layer's breakdown voltage was measured at 450 V after the wet oxygen oxidation. By using ANSYS finite element software, we analyze the thermal stress, which came from the microchannel oxygen processes, under the conditions of which ambient temperature was 27 ℃ and porosity was 64%, we simulated the thermal stress in the temperature of 1200 ℃ and 1000 ℃, finally we got the maximum equivalent thermal stress of 472 MPa and 403 MPa respectively. The higher thermal stress area was spread over Si-SiO2 interface, by simulate conditions 50% porosity silicon microchannel sample was selected for simulation analysis at 1100 ℃, we got the maximum equivalent thermal stress of 472 MPa, Thermal stress is the minimum value of 410 MPa.

  20. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    NASA Astrophysics Data System (ADS)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  1. Thermal oxidation of silicon in a residual oxygen atmosphere—the RESOX process—for self-limiting growth of thin silicon dioxide films

    NASA Astrophysics Data System (ADS)

    Wright, Jason T.; Carbaugh, Daniel J.; Haggerty, Morgan E.; Richard, Andrea L.; Ingram, David C.; Kaya, Savas; Jadwisienczak, Wojciech M.; Rahman, Faiz

    2016-10-01

    We describe in detail the growth procedures and properties of thermal silicon dioxide grown in a limited and dilute oxygen atmosphere. Thin thermal oxide films have become increasingly important in recent years due to the continuing down-scaling of ultra large scale integration metal oxide silicon field effect transistors. Such films are also of importance for organic transistors where back-gating is needed. The technique described here is novel and allows self-limited formation of high quality thin oxide films on silicon surfaces. This technique is easy to implement in both research laboratory and industrial settings. Growth conditions and their effects on film growth have been described. Properties of the resulting oxide films, relevant for microelectronic device applications, have also been investigated and reported here. Overall, our findings are that thin, high quality, dense silicon dioxide films of thicknesses up to 100 nm can be easily grown in a depleted oxygen environment at temperatures similar to that used for usual silicon dioxide thermal growth in flowing dry oxygen.

  2. Indium oxide/n-silicon heterojunction solar cells

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  3. Low-damage direct patterning of silicon oxide mask by mechanical processing

    PubMed Central

    2014-01-01

    To realize the nanofabrication of silicon surfaces using atomic force microscopy (AFM), we investigated the etching of mechanically processed oxide masks using potassium hydroxide (KOH) solution. The dependence of the KOH solution etching rate on the load and scanning density of the mechanical pre-processing was evaluated. Particular load ranges were found to increase the etching rate, and the silicon etching rate also increased with removal of the natural oxide layer by diamond tip sliding. In contrast, the local oxide pattern formed (due to mechanochemical reaction of the silicon) by tip sliding at higher load was found to have higher etching resistance than that of unprocessed areas. The profile changes caused by the etching of the mechanically pre-processed areas with the KOH solution were also investigated. First, protuberances were processed by diamond tip sliding at lower and higher stresses than that of the shearing strength. Mechanical processing at low load and scanning density to remove the natural oxide layer was then performed. The KOH solution selectively etched the low load and scanning density processed area first and then etched the unprocessed silicon area. In contrast, the protuberances pre-processed at higher load were hardly etched. The etching resistance of plastic deformed layers was decreased, and their etching rate was increased because of surface damage induced by the pre-processing. These results show that etching depth can be controlled by controlling the etching time through natural oxide layer removal and mechanochemical oxide layer formation. These oxide layer removal and formation processes can be exploited to realize low-damage mask patterns. PMID:24948891

  4. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    NASA Astrophysics Data System (ADS)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  5. The effect of electrospun nanofibers alignment on the synthesis of one-dimensional silicon carbide nanostructure

    NASA Astrophysics Data System (ADS)

    Hooshyar, Ali; Kokabi, Mehrdad

    2018-01-01

    One-dimensional silicon carbide (1D SiC) nanostructure has shown unusual properties such as extremely high strength, good flexibility, fracture toughness, wide band gap ( 3.2eV), large breakdown electric field strength (>2 MV cm-1, 10 times that of silicon), and inverse Hall-Petch effect. Because of these advantages, 1D SiC nanomaterial has gained extensive attention on the wide range of applications in microelectronics, optoelectronics, nanocomposites, and catalyst supports. Many methods have been used for the synthesis of 1D SiC nanostructures such as chemical vapor deposition, carbon nanotube-confined reaction, laser ablation, high-frequency induction heating, and arc discharge. However, these methods have also some shortcomings such as using catalyst, high-cost, low yield, irregular geometry and impurity. In this work, electrospinning was used to prepare aligned PVA/SiO2 composite nanofibers and the effect of fiber alignment on the production efficiency and quality of 1D SiC nanostructure was investigated. For this purpose, aligned electrospun nanofibers, as the desirable precursor, were put in a tube furnace and heated up to 1250°C under a controlled program in an inert atmosphere. Finally, the grown 1D SiC nanostructure product was characterized using SEM, XRD, and FTIR. The results confirmed the successful synthesis of pure crystalline1D β-SiC nanostructure with high yield, more regular, and metal catalyst-free.

  6. Self-aligned periodic Ni nano dots embedded in nano-oxide layer

    NASA Astrophysics Data System (ADS)

    Doi, M.; Izumi, M.; Kawasaki, S.; Miyake, K.; Sahashi, M.

    The Ni nano constriction dots embedded in the Ta-nano-oxide layer (NOL) was prepared by the ion beam sputtering (IBS) method. After the various conditions of the oxidations, the structural analyses of the NOL were performed by RHEED, AES and in situ STM/AFM observations. From the current image of the conductive AFM for NOL, the periodically aligned metallic dots with the size around 5-10 nm were successfully observed. The mechanism of the formation of the self-organized aligned Ni nano constriction dots is discussed from the standpoint of the grain size, the crystal orientation, the preferred oxidation of Ta at the diffused interface.

  7. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  8. Enhanced antibacterial activity through the controlled alignment of graphene oxide nanosheets.

    PubMed

    Lu, Xinglin; Feng, Xunda; Werber, Jay R; Chu, Chiheng; Zucker, Ines; Kim, Jae-Hong; Osuji, Chinedum O; Elimelech, Menachem

    2017-11-14

    The cytotoxicity of 2D graphene-based nanomaterials (GBNs) is highly important for engineered applications and environmental health. However, the isotropic orientation of GBNs, most notably graphene oxide (GO), in previous experimental studies obscured the interpretation of cytotoxic contributions of nanosheet edges. Here, we investigate the orientation-dependent interaction of GBNs with bacteria using GO composite films. To produce the films, GO nanosheets are aligned in a magnetic field, immobilized by cross-linking of the surrounding matrix, and exposed on the surface through oxidative etching. Characterization by small-angle X-ray scattering and atomic force microscopy confirms that GO nanosheets align progressively well with increasing magnetic field strength and that the alignment is effectively preserved by cross-linking. When contacted with the model bacterium Escherichia coli , GO nanosheets with vertical orientation exhibit enhanced antibacterial activity compared with random and horizontal orientations. Further characterization is performed to explain the enhanced antibacterial activity of the film with vertically aligned GO. Using phospholipid vesicles as a model system, we observe that GO nanosheets induce physical disruption of the lipid bilayer. Additionally, we find substantial GO-induced oxidation of glutathione, a model intracellular antioxidant, paired with limited generation of reactive oxygen species, suggesting that oxidation occurs through a direct electron-transfer mechanism. These physical and chemical mechanisms both require nanosheet penetration of the cell membrane, suggesting that the enhanced antibacterial activity of the film with vertically aligned GO stems from an increased density of edges with a preferential orientation for membrane disruption. The importance of nanosheet penetration for cytotoxicity has direct implications for the design of engineering surfaces using GBNs.

  9. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2001-01-01

    A process for producing polycrystalline silicon carbide by heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  10. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2000-01-01

    A process for producing polycrystalline silicon carbide includes heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  11. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  12. Titanium-silicon oxide film structures for polarization-modulated infrared reflection absorption spectroscopy

    PubMed Central

    Dunlop, Iain E.; Zorn, Stefan; Richter, Gunther; Srot, Vesna; Kelsch, Marion; van Aken, Peter A.; Skoda, Maximilian; Gerlach, Alexander; Spatz, Joachim P.; Schreiber, Frank

    2010-01-01

    We present a titanium-silicon oxide film structure that permits polarization modulated infrared reflection absorption spectroscopy on silicon oxide surfaces. The structure consists of a ~6 nm sputtered silicon oxide film on a ~200 nm sputtered titanium film. Characterization using conventional and scanning transmission electron microscopy, electron energy loss spectroscopy, X-ray photoelectron spectroscopy and X-ray reflectometry is presented. We demonstrate the use of this structure to investigate a selectively protein-resistant self-assembled monolayer (SAM) consisting of silane-anchored, biotin-terminated poly(ethylene glycol) (PEG). PEG-associated IR bands were observed. Measurements of protein-characteristic band intensities showed that this SAM adsorbed streptavidin whereas it repelled bovine serum albumin, as had been expected from its structure. PMID:20418963

  13. Crack healing behavior of hot pressed silicon nitride due to oxidation

    NASA Technical Reports Server (NTRS)

    Choi, S. R.; Tikare, V.

    1992-01-01

    It is shown that limited oxidation of an MgO-containing, hot-pressed silicon nitride ceramic at 800 deg C and above results in increased strength due to crack healing. Slight oxidation of the surface produces enstatite and cristobalite which fills in cracks. More extensive oxidation leads to strength degradation due to the formation of new flaws by the evolution of N2 gas at the surface. The apparent fracture toughness also increased at 800 deg C and above due to oxidation. Bonds formed between the two surfaces of the crack during oxidation leads to a reduction in stress intensity at the crack tip, suggesting that valid high-temperature toughness values cannot be obtained in an air environment. The increase in strength due to crack healing by oxidation can be achieved without compromising the fatigue properties of the silicon nitride ceramic.

  14. Comparative surface studies on wet and dry sacrificial thermal oxidation on silicon carbide

    NASA Astrophysics Data System (ADS)

    Koh, A.; Kestle, A.; Wright, C.; Wilks, S. P.; Mawby, P. A.; Bowen, W. R.

    2001-04-01

    A comparative study on the effect of wet and dry thermal oxidation on 4H-silicon carbide (SiC) and on sacrificial silicon (Si) thermal oxidation on 4H-SiC surface has been conducted using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The AFM images show the formation of 'nano-islands' of varying density on the SiC surface after the removal of thermal oxide using hydrofluoric (HF) acid etch. These nano-islands are resistant to HF acid and have been previously linked to residual carbon [1-3] resulting from the oxidation process. This paper presents the use of a sacrificial silicon oxidation (SSO) step as a form of surface preparation that gives a reproducible clean SiC surface. XPS results show a slight electrical shift in binding energy between the wet and dry thermal oxidation on the standard SiC surface, while the surface produced by the SSO technique shows a minimal shift.

  15. Photoluminescence of amorphous and crystalline silicon nanoclusters in silicon nitride and oxide superlattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shuleiko, D. V., E-mail: shuleyko.dmitriy@physics.msu.ru; Zabotnov, S. V.; Zhigunov, D. M.

    2017-02-15

    The photoluminescence properties of silicon nitride and oxide superlattices fabricated by plasmaenhanced chemical vapor deposition are studied. In the structures annealed at a temperature of 1150°C, photoluminescence peaks at about 1.45 eV are recorded. The peaks are defined by exciton recombination in silicon nanocrystals formed upon annealing. Along with the 1.45-eV peaks, a number of peaks defined by recombination at defects at the interface between the nanocrystals and silicon-nitride matrix are detected. The structures annealed at 900°C exhibit a number of photoluminescence peaks in the range 1.3–2.0 eV. These peaks are defined by both the recombination at defects and excitonmore » recombination in amorphous silicon nanoclusters formed at an annealing temperature of 900°C. The observed features of all of the photoluminescence spectra are confirmed by the nature of the photoluminescence kinetics.« less

  16. X-ray reflectivity study of formation of multilayer porous anodic oxides of silicon.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chu, Y.; Fenollosa, R.; Parkhutik, V.

    1999-07-21

    The paper reports data on the kinetics of anodic oxide films growth on silicon in aqueous solutions of phosphoric acids as well as a study of the morphology of the oxides grown in a special regime of the oscillating anodic potential. X-ray reflectivity measurements were performed on the samples of anodic oxides using an intense synchrotron radiation source. They have a multilayer structure as revealed by theoretical fitting of the reflectivity data. The oscillations of the anodic potential are explained in terms of synchronized oxidation/dissolution reactions at the silicon surface and accumulation of mechanic stress in the oxide film.

  17. Quantitative determination of the clustered silicon concentration in substoichiometric silicon oxide layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spinella, Corrado; Bongiorno, Corrado; Nicotra, Giuseppe

    2005-07-25

    We present an analytical methodology, based on electron energy loss spectroscopy (EELS) and energy-filtered transmission electron microscopy, which allows us to quantify the clustered silicon concentration in annealed substoichiometric silicon oxide layers, deposited by plasma-enhanced chemical vapor deposition. The clustered Si volume fraction was deduced from a fit to the experimental EELS spectrum using a theoretical description proposed to calculate the dielectric function of a system of spherical particles of equal radii, located at random in a host material. The methodology allowed us to demonstrate that the clustered Si concentration is only one half of the excess Si concentration dissolvedmore » in the layer.« less

  18. Silicon Oxide Deposition into a Hole Using a Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroko; Komano, Haruki; Norimatu, Kenji; Gomei, Yoshio

    1991-11-01

    Focused ion beam (FIB)-induced deposition of silicon oxide in terms of filling a hole is reported. It was found that a vacant space was formed when an ion beam was simply scanned through the hole area. To investigate the mechanism to form the vacancy, deposition on the sample, which has a step with a height of 0.8 μm, was carried out by using a Si2+ and a Be2+ ion beam. An extruded deposit resembling a pent roof was observed from the step ridge. The mechanism of the pent roof growth on the steplike sample was considered and the vacancy formation in the hole can be explained by the same mechanism. For silicon oxide, the high growth rate of the extruded deposit is thought to be the key to the vacancy formation. A useful way is proposed to fill the hole with silicon oxide with almost no vacancy.

  19. Glass-silicon column

    DOEpatents

    Yu, Conrad M.

    2003-12-30

    A glass-silicon column that can operate in temperature variations between room temperature and about 450.degree. C. The glass-silicon column includes large area glass, such as a thin Corning 7740 boron-silicate glass bonded to a silicon wafer, with an electrode embedded in or mounted on glass of the column, and with a self alignment silicon post/glass hole structure. The glass/silicon components are bonded, for example be anodic bonding. In one embodiment, the column includes two outer layers of silicon each bonded to an inner layer of glass, with an electrode imbedded between the layers of glass, and with at least one self alignment hole and post arrangement. The electrode functions as a column heater, and one glass/silicon component is provided with a number of flow channels adjacent the bonded surfaces.

  20. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A modelmore » of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.« less

  1. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    NASA Astrophysics Data System (ADS)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  2. Very low temperature materials and self-alignment technology for amorphous hydrated silicon thin film transistors fabricated on transparent large area plastic substrates

    NASA Astrophysics Data System (ADS)

    Yang, Chien-Sheng

    The purpose of this research has been to (1) explore materials prepared using plasma enhanced chemical vapor deposition (PECVD) at 110sp°C for amorphous silicon thin film transistors (TFT's) fabricated on low temperature compatible, large area flexible polyethylene terephthalate (PET) substrates, and (2) develop full self-alignment technology using selective area n+ PECVD for source/drain contacts of amorphous silicon TFT's. For item (1), silicon nitride films, as gate dielectrics of TFT's, were deposited using SiHsb4+NHsb3, SiHsb4+NHsb3+Nsb2, SiHsb4+NHsb3+He, or SiHsb4+NHsb3+Hsb2 gases. Good quality silicon nitride films can be deposited using a SiHsb4+NHsb3 gas with high NHsb3/SiHsb4 ratios, or using a SiHsb4+NHsb3+Nsb2 gas with moderate NHsb3/SiHsb4 ratios. A chemical model was proposed to explain the Nsb2 dilution effect. This model includes calculations of (a) the electron energy distribution function in a plasma, (b) rate constants of electron impact dissociation, and (3) the (NHsbx) / (SiHsby) ratio in a plasma. The Nsb2 dilution was shown to have a effect of shifting the electron energy distribution into high energy, thus enhancing the (NHsbx) / (SiHsbyrbrack ratio in a plasma and promoting the deposition of N-rich silicon nitride films, which leads to decreased trap state density and a shift in trap state density to deeper in the gap. Amorphous silicon were formed successfully at 110sp°C on large area glass and plastic(PET) substrates. Linear mobilities are 0.33 and 0.12 cmsp2/Vs for TFT's on glass and plastic substrates, respectively. ON/OFF current ratios exceed 10sp7 for TFT's on glass and 10sp6 for TFT's on PET. For item (2), a novel full self-alignment process was developed for amorphous silicon TFT's. This process includes (1) back-exposure using the bottom gate metal as the mask, and (2) selective area n+ micro-crystalline silicon PECVD for source/drain contacts of amorphous silicon TFT's. TFT's fabricated using the full self-alignment process

  3. Understanding and improving the low optical emission of InGaAs quantum wells grown on oxidized patterned (001) silicon substrate

    NASA Astrophysics Data System (ADS)

    Roque, J.; Haas, B.; David, S.; Rochat, N.; Bernier, N.; Rouvière, J. L.; Salem, B.; Gergaud, P.; Moeyaert, J.; Martin, M.; Bertin, F.; Baron, T.

    2018-05-01

    In 0.3 Ga 0.7 As quantum wells (QW) embedded in AlGaAs barriers and grown on oxidized patterned (001) silicon substrates by metalorganic chemical vapor deposition using the aspect ratio trapping method are studied. An appropriate method combining cathodoluminescence and high resolution scanning transmission electron microscopy characterization is performed to spatially correlate the optical and structural properties of the QW. A triple period (TP) ordering along the ⟨111⟩ direction induced by the temperature decrease during the growth to favor indium incorporation and aligned along the oxidized patterns is observed in the QW. Local ordering affects the band gap and contributes to the decrease of the optical emission efficiency. Using thermal annealing, we were able to remove the TP ordering and improve the QW optical emission by two orders of magnitude.

  4. The Oxidation of CVD Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynchGiao N.

    1997-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 100 and 500 hours at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic laws are discussed. Oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants typically found in combustion environments: oxygen and water vapor.

  5. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    NASA Astrophysics Data System (ADS)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  6. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  7. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  8. Method for removing oxide contamination from silicon carbide powders

    DOEpatents

    Brynestad, J.; Bamberger, C.E.

    1984-08-01

    The described invention is directed to a method for removing oxide contamination in the form of oxygen-containing compounds such as SiO/sub 2/ and B/sub 2/O/sub 3/ from a charge of finely divided silicon carbide. The silicon carbide charge is contacted with a stream of hydrogen fluoride mixed with an inert gas carrier such as argon at a temperature in the range of about 200/sup 0/ to 650/sup 0/C. The oxides in the charge react with the heated hydrogen fluoride to form volatile gaseous fluorides such as SiF/sub 4/ and BF/sub 3/ which pass through the charge along with unreacted hydrogen fluoride and the carrier gas. Any residual gaseous reaction products and hydrogen fluoride remaining in the charge are removed by contacting the charge with the stream of inert gas which also cools the powder to room temperature. The removal of the oxygen contamination by practicing the present method provides silicon carbide powders with desirable pressing and sintering characteristics. 1 tab.

  9. A Model for the Oxidation of Carbon Silicon Carbide Composite Structures

    NASA Technical Reports Server (NTRS)

    Sullivan, Roy M.

    2004-01-01

    A mathematical theory and an accompanying numerical scheme have been developed for predicting the oxidation behavior of carbon silicon carbide (C/SiC) composite structures. The theory is derived from the mechanics of the flow of ideal gases through a porous solid. The result of the theoretical formulation is a set of two coupled nonlinear differential equations written in terms of the oxidant and oxide partial pressures. The differential equations are solved simultaneously to obtain the partial vapor pressures of the oxidant and oxides as a function of the spatial location and time. The local rate of carbon oxidation is determined using the map of the local oxidant partial vapor pressure along with the Arrhenius rate equation. The nonlinear differential equations are cast into matrix equations by applying the Bubnov-Galerkin weighted residual method, allowing for the solution of the differential equations numerically. The numerical method is demonstrated by utilizing the method to model the carbon oxidation and weight loss behavior of C/SiC specimens during thermogravimetric experiments. The numerical method is used to study the physics of carbon oxidation in carbon silicon carbide composites.

  10. Complete magnesiothermic reduction reaction of vertically aligned mesoporous silica channels to form pure silicon nanoparticles

    PubMed Central

    Kim, Kyoung Hwan; Lee, Dong Jin; Cho, Kyeong Min; Kim, Seon Joon; Park, Jung-Ki; Jung, Hee-Tae

    2015-01-01

    Owing to its simplicity and low temperature conditions, magnesiothermic reduction of silica is one of the most powerful methods for producing silicon nanostructures. However, incomplete reduction takes place in this process leaving unconverted silica under the silicon layer. This phenomenon limits the use of this method for the rational design of silicon structures. In this effort, a technique that enables complete magnesiothermic reduction of silica to form silicon has been developed. The procedure involves magnesium promoted reduction of vertically oriented mesoporous silica channels on reduced graphene oxides (rGO) sheets. The mesopores play a significant role in effectively enabling magnesium gas to interact with silica through a large number of reaction sites. Utilizing this approach, highly uniform, ca. 10 nm sized silicon nanoparticles are generated without contamination by unreacted silica. The new method for complete magnesiothermic reduction of mesoporous silica approach provides a foundation for the rational design of silicon structures. PMID:25757800

  11. Structural evolution in Ar+ implanted Si-rich silicon oxide

    NASA Astrophysics Data System (ADS)

    Brusa, R. S.; Karwasz, G. P.; Mariotto, G.; Zecca, A.; Ferragut, R.; Folegati, P.; Dupasquier, A.; Ottaviani, G.; Tonini, R.

    2003-12-01

    Silicon-rich silicon oxide films were deposited by plasma-enhanced chemical vapor deposition. Energy was released into the film by ion bombardment, with the aim of promoting formation of Si nanoclusters and reordering the oxide matrix. The effect of the initial stoichiometry, as well as the evolution of the oxide films due to the ion bombardment and to subsequent thermal treatments, has been studied by depth-resolved positron annihilation Doppler spectroscopy, Raman scattering and Fourier transform infrared spectroscopy. As-deposited films were found to contain an open volume fraction in the form of subnanometric cavities that are positively correlated with oxygen deficiency. No Si aggregates were observed. The ion bombardment was found to promote the formation of amorphous Si nanoclusters, together with a reduction of the open volume in the matrix and a substantial release of hydrogen. It also leaves electrically active sites in the oxide and produces gas-filled vacancy defects in the substrate, with the concentrations depending on the implantation temperature. Thermal treatment at 500 °C removes charge defects in the oxide, but vacancy defects are not completely annealed even at 1100 °C. In one case, heating at 1100 °C produced cavities of about 0.6 nm in the oxide. Transformation of Si nanoclusters into nanocrystals is observed to occur from 800 °C.

  12. Effect of nano-oxide concentration on the mechanical properties of a maxillofacial silicone elastomer.

    PubMed

    Han, Ying; Kiat-amnuay, Sudarat; Powers, John M; Zhao, Yimin

    2008-12-01

    Contemporary silicone-based elastomeric prostheses tend to degrade over time because of the effect of mechanical loading. Little has been reported on how the mechanical properties of a maxillofacial prosthetic elastomer may be affected by the addition of nanosized oxide particles used as an opacifier. The purpose of this study was to evaluate the effect of different concentrations of nanosized oxides of various composition on the mechanical properties of a commercially available silicone elastomer. Nanosized oxides (Ti, Zn, or Ce) were added in various concentrations (0.5%, 1.0%, 1.5%, 2.0%, 2.5%, or 3.0% by weight) to a commercial silicone elastomer (A-2186), commonly used for fabricating extraoral maxillofacial prostheses. Silicone elastomer A-2186 without nanosized oxides served as a control group. Specimens (n=5) were polymerized according to manufacturer's recommendations and tested for tensile strength (ASTM D412) and tear strength (ASTM D624), and percent elongation in a universal testing machine. Uniformity of particle dispersion within the processed elastomer was assessed using scanning electron microscopic imaging. For each property, a 2-way ANOVA was performed evaluating the effect of oxide type and strength, and Fisher's PLSD test was used for pairwise comparisons (alpha=.05). SEM examination indicated that all 3 nanosized oxides distribute evenly throughout the silicone specimens, except for the 3.0% group, which are partly agglomerated. The 2.0% and 2.5% groups of all nanosized oxides demonstrated significantly higher tensile and tear strengths and percent elongation (P<.001) than the control group. CeO(2) had significantly lower tensile strength than TiO2 and ZnO (P<.05). The ZnO group had significantly higher tear strength than TiO(2) and CeO(2) (P <.05). Most of specimens became somewhat harder when compared with the control group. CeO(2) group had significantly higher Shore A hardness than TiO(2) and ZnO (P<.001). There was no significant

  13. Vertically aligned CNT-Cu nano-composite material for stacked through-silicon-via interconnects.

    PubMed

    Sun, Shuangxi; Mu, Wei; Edwards, Michael; Mencarelli, Davide; Pierantoni, Luca; Fu, Yifeng; Jeppson, Kjell; Liu, Johan

    2016-08-19

    For future miniaturization of electronic systems using 3D chip stacking, new fine-pitch materials for through-silicon-via (TSV) applications are likely required. In this paper, we propose a novel carbon nanotube (CNT)/copper nanocomposite material consisting of high aspect ratio, vertically aligned CNT bundles coated with copper. These bundles, consisting of hundreds of tiny CNTs, were uniformly coated by copper through electroplating, and aspect ratios as high as 300:1 were obtained. The resistivity of this nanomaterial was found to be as low as ∼10(-8) Ω m, which is of the same order of magnitude as the resistivity of copper, and its temperature coefficient was found to be only half of that of pure copper. The main advantage of the composite TSV nanomaterial is that its coefficient of thermal expansion (CTE) is similar to that of silicon, a key reliability factor. A finite element model was set up to demonstrate the reliability of this composite material and thermal cycle simulations predicted very promising results. In conclusion, this composite nanomaterial appears to be a very promising material for future 3D TSV applications offering both a low resistivity and a low CTE similar to that of silicon.

  14. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    NASA Astrophysics Data System (ADS)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  15. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    NASA Astrophysics Data System (ADS)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  16. [Effect of surface organic modified nano-silicon-oxide on mechanical properties of A-2186 silicone elastomers].

    PubMed

    Guo, Nan; Jiao, Ting

    2011-08-01

    To study the effect of surface organic modified nano-silicon-oxide (SiO(x)) on mechanical properties of A-2186 silicone elastomers. Surface organic modified nano-silicon-oxide (SiO(x)) was added into A-2186 silicone elastomers by weight percentage of 2%, 4% and 6%. The one without addition served as a control. Standard specimens were made according to American Society for Testing Materials (ASTM). Their tensile strength, elongation at break, tear strength, and Shore A hardness were measured. The results were analyzed statistically by SPSS 10.0 software package. The tensile strength in the experimental groups was significantly lower than the control group (P<0.001).The elongation in the experimental groups was lower than the control group, but there was no significant difference between the 2wt% group and the control group (P=0.068). The tear strength in both the 2wt= group and 4wt= group were higher than the control group, and the difference was statistically significant; in addition, the tear strength in 2wt= group was higher than 4wt= group, which also showed statistical significance (P<0.001). With the increase of the added amount of surface modified nano-SiO(x), Shore A hardness increased and there was significant difference among them (P<0.001). Adding surface modified nano-SiO(x) has an effect on mechanical properties of A-2186 silicone elastomer, when 2wt= and 4wt= are added, tear strength of A-2186 improves significantly, with an increase of Shore A hardness and an decrease of tensile strength.

  17. High-performance field emission device utilizing vertically aligned carbon nanotubes-based pillar architectures

    NASA Astrophysics Data System (ADS)

    Gupta, Bipin Kumar; Kedawat, Garima; Gangwar, Amit Kumar; Nagpal, Kanika; Kashyap, Pradeep Kumar; Srivastava, Shubhda; Singh, Satbir; Kumar, Pawan; Suryawanshi, Sachin R.; Seo, Deok Min; Tripathi, Prashant; More, Mahendra A.; Srivastava, O. N.; Hahm, Myung Gwan; Late, Dattatray J.

    2018-01-01

    The vertical aligned carbon nanotubes (CNTs)-based pillar architectures were created on laminated silicon oxide/silicon (SiO2/Si) wafer substrate at 775 °C by using water-assisted chemical vapor deposition under low pressure process condition. The lamination was carried out by aluminum (Al, 10.0 nm thickness) as a barrier layer and iron (Fe, 1.5 nm thickness) as a catalyst precursor layer sequentially on a silicon wafer substrate. Scanning electron microscope (SEM) images show that synthesized CNTs are vertically aligned and uniformly distributed with a high density. The CNTs have approximately 2-30 walls with an inner diameter of 3-8 nm. Raman spectrum analysis shows G-band at 1580 cm-1 and D-band at 1340 cm-1. The G-band is higher than D-band, which indicates that CNTs are highly graphitized. The field emission analysis of the CNTs revealed high field emission current density (4mA/cm2 at 1.2V/μm), low turn-on field (0.6 V/μm) and field enhancement factor (6917) with better stability and longer lifetime. Emitter morphology resulting in improved promising field emission performances, which is a crucial factor for the fabrication of pillared shaped vertical aligned CNTs bundles as practical electron sources.

  18. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    NASA Technical Reports Server (NTRS)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  19. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  20. Viscous properties of aluminum oxide nanotubes and aluminium oxide nanoparticles - silicone oil suspensions

    NASA Astrophysics Data System (ADS)

    Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen

    2010-03-01

    Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.

  1. Nitric oxide-generating silicone as a blood-contacting biomaterial

    PubMed Central

    Amoako, Kagya A.; Cook, Keith E.

    2011-01-01

    Coagulation upon blood-contacting biomaterials remains a problem for short and long-term clinical applications. This study examined the ability of copper(II)-doped silicone surfaces to generate nitric oxide (NO) and locally inhibit coagulation. Silicone was doped with 3-micron copper (Cu(0)) particles yielding 3 to 10 weight percent (wt%) Cu in 70-μm thick Cu/Silicone polymeric matrix composites (Cu/Si PMCs). At 3, 5, 8 and 10 wt% Cu doping, the surface expression of Cu was 12.1 ± 2.8%, 19.7 ± 5.4%, 29.0 ± 3.8%, and 33.8 ± 6.5% respectively. After oxidizing Cu(0) to Cu(II) by spontaneous corrosion, NO flux, JNO (mol*cm−2*min−1), as measured by chemiluminescence, increased with surface Cu expression according to the relationship JNO =(1.63 %SACu −0.81) ×10−11, R2 = 0.98 where %SACu is the percentage of surface occupied by Cu. NO flux at 10 wt% Cu was 5.35± 0.74 ×10−10 mol*cm−2*min−1. The clotting time of sheep blood exposed to these surfaces was 80 ± 13s with pure silicone and 339 ± 44s when 10 wt% Cu(II) was added. SEMs of coatings showed clots occurred away from exposed Cu-dendrites. In conclusion, Cu/Si PMCs inhibit coagulation in a dose-dependent fashion related to the extent of copper exposure on the coated surface. PMID:22036723

  2. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  3. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  4. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  5. The effect of nanocrystalline silicon host on magnetic properties of encapsulated iron oxide nanoparticles.

    PubMed

    Granitzer, P; Rumpf, K; Gonzalez-Rodriguez, R; Coffer, J L; Reissner, M

    2015-12-21

    The purpose of this work is a detailed comparison of the fundamental magnetic properties of nanocomposite systems consisting of Fe3O4 nanoparticle-loaded porous silicon as well as silicon nanotubes. Such composite structures are of potential merit in the area of magnetically guided drug delivery. For magnetic systems to be utilized in biomedical applications, there are certain magnetic properties that must be fulfilled. Therefore magnetic properties of embedded Fe3O4-nanoparticles in these nanostructured silicon host matrices, porous silicon and silicon nanotubes, are investigated. Temperature-dependent magnetic investigations have been carried out for four types of iron oxide particle sizes (4, 5, 8 and 10 nm). The silicon host, in interplay with the iron oxide nanoparticle size, plays a sensitive role. It is shown that Fe3O4 loaded porous silicon and SiNTs differ significantly in their magnetic behavior, especially the transition between superparamagnetic behavior and blocked state, due to host morphology-dependent magnetic interactions. Importantly, it is found that all investigated samples meet the magnetic precondition of possible biomedical applications of exhibiting a negligible magnetic remanence at room temperature.

  6. Aminosilane functionalizations of mesoporous oxidized silicon for oligonucleotide synthesis and detection

    PubMed Central

    De Stefano, Luca; Oliviero, Giorgia; Amato, Jussara; Borbone, Nicola; Piccialli, Gennaro; Mayol, Luciano; Rendina, Ivo; Terracciano, Monica; Rea, Ilaria

    2013-01-01

    Direct solid phase synthesis of peptides and oligonucleotides (ONs) requires high chemical stability of the support material. In this work, we have investigated the passivation ability of porous oxidized silicon multilayered structures by two aminosilane compounds, 3-aminopropyltriethoxysilane and 3-aminopropyldimethylethoxysilane (APDMES), for optical label-free ON biosensor fabrication. We have also studied by spectroscopic reflectometry the hybridization between a 13 bases ON, directly grown on the aminosilane modified porous oxidized silicon by in situ synthesis, and its complementary sequence. Even if the results show that both devices are stable to the chemicals (carbonate/methanol) used, the porous silica structure passivated by APDMES reveals higher functionalization degree due to less steric hindrance of pores. PMID:23536541

  7. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, D.S.; Schubert, W.K.; Gee, J.M.

    1999-02-16

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas. 5 figs.

  8. Fabrication of Highly Ordered Anodic Aluminium Oxide Templates on Silicon Substrates

    DTIC Science & Technology

    2007-01-01

    highly ordered anodic aluminium oxide ( AAO ) templates of unprecedented pore uniformity directly on Si, enabled by new advances on two fronts – direct...field emitter, sensors, oscillators and photodetectors. 15. SUBJECT TERMS Anodic aluminum oxide , template-assisted nanofabrication, carbon nanotube...Fabrication of the aligned and patterned carbon nanotube field emitters using the anodic aluminum oxide nano-template on a Si wafer’, Synth. Met

  9. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements.

    PubMed

    Wierez-Kien, M; Craciun, A D; Pinon, A V; Roux, S Le; Gallani, J L; Rastei, M V

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically <10 3 nm 2 ) measured with sensitive probes the bonding is found to be influenced by thermal-induced fluctuations. Using interface interactions described by Morse, embedded atom model, or Lennard-Jones potential within reaction rate theory, we investigate three bonding types of covalent and van der Waals nature. The comparison of numerical and experimental results reveals that a Lennard-Jones-like potential originating from van der Waals interactions captures the binding characteristics of dry silicon oxide nanocontacts, and likely of other nanoscale materials adsorbed on silicon oxide surfaces. The analyses reveal the importance of the dispersive surface energy and of the effective contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  10. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements

    NASA Astrophysics Data System (ADS)

    Wierez-Kien, M.; Craciun, A. D.; Pinon, A. V.; Le Roux, S.; Gallani, J. L.; Rastei, M. V.

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically <103 nm2) measured with sensitive probes the bonding is found to be influenced by thermal-induced fluctuations. Using interface interactions described by Morse, embedded atom model, or Lennard-Jones potential within reaction rate theory, we investigate three bonding types of covalent and van der Waals nature. The comparison of numerical and experimental results reveals that a Lennard-Jones-like potential originating from van der Waals interactions captures the binding characteristics of dry silicon oxide nanocontacts, and likely of other nanoscale materials adsorbed on silicon oxide surfaces. The analyses reveal the importance of the dispersive surface energy and of the effective contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  11. Band Alignment and Charge Transfer in Complex Oxide Interfaces

    NASA Astrophysics Data System (ADS)

    Zhong, Zhicheng; Hansmann, Philipp

    2017-01-01

    The synthesis of transition metal heterostructures is currently one of the most vivid fields in the design of novel functional materials. In this paper, we propose a simple scheme to predict band alignment and charge transfer in complex oxide interfaces. For semiconductor heterostructures, band-alignment rules like the well-known Anderson or Schottky-Mott rule are based on comparison of the work function or electron affinity of the bulk components. This scheme breaks down for oxides because of the invalidity of a single work-function approximation as recently shown in [Phys. Rev. B 93, 235116 (2016), 10.1103/PhysRevB.93.235116; Adv. Funct. Mater. 26, 5471 (2016), 10.1002/adfm.201600243]. Here, we propose a new scheme that is built on a continuity condition of valence states originating in the compounds' shared network of oxygen. It allows for the prediction of sign and relative amplitude of the intrinsic charge transfer, taking as input only information about the bulk properties of the components. We support our claims by numerical density functional theory simulations as well as (where available) experimental evidence. Specific applications include (i) controlled doping of SrTiO3 layers with the use of 4 d and 5 d transition metal oxides and (ii) the control of magnetic ordering in manganites through tuned charge transfer.

  12. Method for forming indium oxide/n-silicon heterojunction solar cells

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1984-03-13

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  13. Super-oxidation of silicon nanoclusters: magnetism and reactive oxygen species at the surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lepeshkin, Sergey; Baturin, Vladimir; Tikhonov, Evgeny

    2016-01-01

    Oxidation of silicon nanoclusters depending on the temperature and oxygen pressure is explored from first principles using the evolutionary algorithm, and structural and thermodynamic analysis. From our calculations of 90 SinOm clusters we found that under normal conditions oxidation does not stop at the stoichiometric SiO2 composition, as it does in bulk silicon, but goes further placing extra oxygen atoms on the cluster surface. These extra atoms are responsible for light emission, relevant to reactive oxygen species and many of them are magnetic. We argue that the super-oxidation effect is size-independent and discuss its relevance to nanotechnology and miscellaneous applications,more » including biomedical ones.« less

  14. Color stability of pigmented maxillofacial silicone elastomer: effects of nano-oxides as opacifiers.

    PubMed

    Han, Ying; Zhao, Yimin; Xie, Chao; Powers, John M; Kiat-amnuay, Sudarat

    2010-01-01

    This study evaluated the effects of nano-oxides on the color stability of pigmented silicone A-2186 maxillofacial prosthetic elastomers before and after artificial aging. Each of three widely used UV-shielding nano-sized particle oxides (TiO(2), ZnO, CeO(2)), based on recent survey of the industry at 1%, 2%, 2.5% concentrations were combined with each of five intrinsic silicone pigment types (no pigments, red, yellow, blue, and a mixture of the three pigments). Silicone A-2186 without nano-oxides or pigments served as control, for a total of 46 experimental groups of elastomers. In each group of the study, all specimens were aged in an artificial aging chamber for an energy exposure of 450kJ/m(2). CIE L*a*b* values were measured by a spectrophotometer. The 50:50% perceptibility (ΔE*=1.1) and acceptability threshold (ΔE*=3.0) were used in interpretation of recorded color differences. Color differences after aging were subjected to three-way analysis of variance. Means were compared by Fisher's PLSD intervals at the 0.05 level of significance. Yellow pigments mixed with all three nano-oxides at all intervals increased ΔE* values significantly from 3.7 up to 8.4. When mixed pigment groups were considered, TiO(2) at 2%, and 2.5% exhibited the smallest color changes, followed by ZnO and CeO(2), respectively (p<0.001). At 1%, CeO(2) exhibited the smallest color changes, followed by TiO(2) and ZnO, respectively (p<0.001). The smallest color differences, observed for nano-oxides groups, were recorded for CeO(2) at 1%, and TiO(2) at 2% and 2.5%. When the nano-oxides were tested at all concentrations, CeO(2) groups overall had the most color changes, and TiO(2) groups had the least. All ΔE* values of the mixed pigment groups were below the 50:50% acceptability threshold (ΔE*=1.2-2.3, below 3.0) except 2% CeO(2) (ΔE*=4.2). 1% nano-CeO(2) and 2% and 2.5% nano-TiO(2) used as opacifiers for silicone A-2186 maxillofacial prostheses with mixed pigments exhibited the least

  15. Resistance of Silicon Nitride Turbine Components to Erosion and Hot Corrosion/oxidation Attack

    NASA Technical Reports Server (NTRS)

    Strangmen, Thomas E.; Fox, Dennis S.

    1994-01-01

    Silicon nitride turbine components are under intensive development by AlliedSignal to enable a new generation of higher power density auxiliary power systems. In order to be viable in the intended applications, silicon nitride turbine airfoils must be designed for survival in aggressive oxidizing combustion gas environments. Erosive and corrosive damage to ceramic airfoils from ingested sand and sea salt must be avoided. Recent engine test experience demonstrated that NT154 silicon nitride turbine vanes have exceptional resistance to sand erosion, relative to superalloys used in production engines. Similarly, NT154 silicon nitride has excellent resistance to oxidation in the temperature range of interest - up to 1400 C. Hot corrosion attack of superalloy gas turbine components is well documented. While hot corrosion from ingested sea salt will attack silicon nitride substantially less than the superalloys being replaced in initial engine applications, this degradation has the potential to limit component lives in advanced engine applications. Hot corrosion adversely affects the strength of silicon nitride in the 850 to 1300 C range. Since unacceptable reductions in strength must be rapidly identified and avoided, AlliedSignal and the NASA Lewis Research Center have pioneered the development of an environmental life prediction model for silicon nitride turbine components. Strength retention in flexure specimens following 1 to 3300 hour exposures to high temperature oxidation and hot corrosion has been measured and used to calibrate the life prediction model. Predicted component life is dependent upon engine design (stress, temperature, pressure, fuel/air ratio, gas velocity, and inlet air filtration), mission usage (fuel sulfur content, location (salt in air), and times at duty cycle power points), and material parameters. Preliminary analyses indicate that the hot corrosion resistance of NT154 silicon nitride is adequate for AlliedSignal's initial engine

  16. 4 channel × 10 Gb/s bidirectional optical subassembly using silicon optical bench with precise passive optical alignment.

    PubMed

    Kang, Eun Kyu; Lee, Yong Woo; Ravindran, Sooraj; Lee, Jun Ki; Choi, Hee Ju; Ju, Gun Wu; Min, Jung Wook; Song, Young Min; Sohn, Ik-Bu; Lee, Yong Tak

    2016-05-16

    We demonstrate an advanced structure for optical interconnect consisting of 4 channel × 10 Gb/s bidirectional optical subassembly (BOSA) formed using silicon optical bench (SiOB) with tapered fiber guiding holes (TFGHs) for precise and passive optical alignment of vertical-cavity surface-emitting laser (VCSEL)-to-multi mode fiber (MMF) and MMF-to-photodiode (PD). The co-planar waveguide (CPW) transmission line (Tline) was formed on the backside of silicon substrate to reduce the insertion loss of electrical data signal. The 4 channel VCSEL and PD array are attached at the end of CPW Tline using a flip-chip bonder and solder pad. The 12-channel ribbon fiber is simply inserted into the TFGHs of SiOB and is passively aligned to the VCSEL and PD in which no additional coupling optics are required. The fabricated BOSA shows high coupling efficiency and good performance with the clearly open eye patterns and a very low bit error rate of less than 10-12 order at a data rate of 10 Gb/s with a PRBS pattern of 231-1.

  17. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  18. Efficient photovoltaic heterojunctions of indium tin oxides on silicon

    NASA Technical Reports Server (NTRS)

    Dubow, J. B.; Sites, J. R.; Burk, D. E.

    1976-01-01

    Heterojunction diodes of indium tin oxide films sputtered on to p-silicon using ion-beam techniques display significant photovoltaic effects when exposed to sunlight. Galvanomagnetic and optical measurements confirm that the oxide films are highly degenerate transparent semiconductors. At a tin oxide concentration of 10%, an open-circuit voltage of 0.51 V was observed along with a short-circuit current of 32 mA/sq cm, a fill factor of 0.70, and a conversion efficiency of 12%. As the concentration was raised to 70%, the voltage remained steady, the current fell to 27 mA/sq cm, and the fill factor fell to 0.60

  19. Thermally Stable and Electrically Conductive, Vertically Aligned Carbon Nanotube/Silicon Infiltrated Composite Structures for High-Temperature Electrodes.

    PubMed

    Zou, Qi Ming; Deng, Lei Min; Li, Da Wei; Zhou, Yun Shen; Golgir, Hossein Rabiee; Keramatnejad, Kamran; Fan, Li Sha; Jiang, Lan; Silvain, Jean-Francois; Lu, Yong Feng

    2017-10-25

    Traditional ceramic-based, high-temperature electrode materials (e.g., lanthanum chromate) are severely limited due to their conditional electrical conductivity and poor stability under harsh circumstances. Advanced composite structures based on vertically aligned carbon nanotubes (VACNTs) and high-temperature ceramics are expected to address this grand challenge, in which ceramic serves as a shielding layer protecting the VACNTs from the oxidation and erosive environment, while the VACNTs work as a conductor. However, it is still a great challenge to fabricate VACNT/ceramic composite structures due to the limited diffusion of ceramics inside the VACNT arrays. In this work, we report on the controllable fabrication of infiltrated (and noninfiltrated) VACNT/silicon composite structures via thermal chemical vapor deposition (CVD) [and laser-assisted CVD]. In laser-assisted CVD, low-crystalline silicon (Si) was quickly deposited at the VACNT subsurfaces/surfaces followed by the formation of high-crystalline Si layers, thus resulting in noninfiltrated composite structures. Unlike laser-assisted CVD, thermal CVD activated the precursors inside and outside the VACNTs simultaneously, which realized uniform infiltrated VACNT/Si composite structures. The growth mechanisms for infiltrated and noninfiltrated VACNT/ceramic composites, which we attributed to the different temperature distributions and gas diffusion mechanism in VACNTs, were investigated. More importantly, the as-farbicated composite structures exhibited excellent multifunctional properties, such as excellent antioxidative ability (up to 1100 °C), high thermal stability (up to 1400 °C), good high velocity hot gas erosion resistance, and good electrical conductivity (∼8.95 Sm -1 at 823 K). The work presented here brings a simple, new approach to the fabrication of advanced composite structures for hot electrode applications.

  20. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  1. Method of making self-aligned lightly-doped-drain structure for MOS transistors

    DOEpatents

    Weiner, Kurt H.; Carey, Paul G.

    2001-01-01

    A process for fabricating lightly-doped-drains (LDD) for short-channel metal oxide semiconductor (MOS) transistors. The process utilizes a pulsed laser process to incorporate the dopants, thus eliminating the prior oxide deposition and etching steps. During the process, the silicon in the source/drain region is melted by the laser energy. Impurities from the gas phase diffuse into the molten silicon to appropriately dope the source/drain regions. By controlling the energy of the laser, a lightly-doped-drain can be formed in one processing step. This is accomplished by first using a single high energy laser pulse to melt the silicon to a significant depth and thus the amount of dopants incorporated into the silicon is small. Furthermore, the dopants incorporated during this step diffuse to the edge of the MOS transistor gate structure. Next, many low energy laser pulses are used to heavily dope the source/drain silicon only in a very shallow region. Because of two-dimensional heat transfer at the MOS transistor gate edge, the low energy pulses are inset from the region initially doped by the high energy pulse. By computer control of the laser energy, the single high energy laser pulse and the subsequent low energy laser pulses are carried out in a single operational step to produce a self-aligned lightly-doped-drain-structure.

  2. Role of an Oxidant Mixture as Surface Modifier of Porous Silicon Microstructures Evaluated by Spectroscopic Ellipsometry

    PubMed Central

    Montiel-González, Zeuz; Escobar, Salvador; Nava, Rocío; del Río, J. Antonio; Tagüeña-Martínez, Julia

    2016-01-01

    Current research on porous silicon includes the construction of complex structures with luminescent and/or photonic properties. However, their preparation with both characteristics is still challenging. Recently, our group reported a possible method to achieve that by adding an oxidant mixture to the electrolyte used to produce porous silicon. This mixture can chemically modify their microstructure by changing the thickness and surface passivation of the pore walls. In this work, we prepared a series of samples (with and without oxidant mixture) and we evaluated the structural differences through their scanning electron micrographs and their optical properties determined by spectroscopic ellipsometry. The results showed that ellipsometry is sensitive to slight variations in the porous silicon structure, caused by changes in their preparation. The fitting process, based on models constructed from the features observed in the micrographs, allowed us to see that the mayor effect of the oxidant mixture is on samples of high porosity, where the surface oxidation strongly contributes to the skeleton thinning during the electrochemical etching. This suggests the existence of a porosity threshold for the action of the oxidant mixture. These results could have a significant impact on the design of complex porous silicon structures for different optoelectronic applications. PMID:27097767

  3. Role of an Oxidant Mixture as Surface Modifier of Porous Silicon Microstructures Evaluated by Spectroscopic Ellipsometry.

    PubMed

    Montiel-González, Zeuz; Escobar, Salvador; Nava, Rocío; del Río, J Antonio; Tagüeña-Martínez, Julia

    2016-04-21

    Current research on porous silicon includes the construction of complex structures with luminescent and/or photonic properties. However, their preparation with both characteristics is still challenging. Recently, our group reported a possible method to achieve that by adding an oxidant mixture to the electrolyte used to produce porous silicon. This mixture can chemically modify their microstructure by changing the thickness and surface passivation of the pore walls. In this work, we prepared a series of samples (with and without oxidant mixture) and we evaluated the structural differences through their scanning electron micrographs and their optical properties determined by spectroscopic ellipsometry. The results showed that ellipsometry is sensitive to slight variations in the porous silicon structure, caused by changes in their preparation. The fitting process, based on models constructed from the features observed in the micrographs, allowed us to see that the mayor effect of the oxidant mixture is on samples of high porosity, where the surface oxidation strongly contributes to the skeleton thinning during the electrochemical etching. This suggests the existence of a porosity threshold for the action of the oxidant mixture. These results could have a significant impact on the design of complex porous silicon structures for different optoelectronic applications.

  4. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    PubMed

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  5. Electron-irradiation-induced crystallization at metallic amorphous/silicon oxide interfaces caused by electronic excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nagase, Takeshi, E-mail: t-nagase@uhvem.osaka-u.ac.jp; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1, Yamada-Oka, Suita, Osaka 565-0871; Yamashita, Ryo

    2016-04-28

    Irradiation-induced crystallization of an amorphous phase was stimulated at a Pd-Si amorphous/silicon oxide (a(Pd-Si)/SiO{sub x}) interface at 298 K by electron irradiation at acceleration voltages ranging between 25 kV and 200 kV. Under irradiation, a Pd-Si amorphous phase was initially formed at the crystalline face-centered cubic palladium/silicon oxide (Pd/SiO{sub x}) interface, followed by the formation of a Pd{sub 2}Si intermetallic compound through irradiation-induced crystallization. The irradiation-induced crystallization can be considered to be stimulated not by defect introduction through the electron knock-on effects and electron-beam heating, but by the electronic excitation mechanism. The observed irradiation-induced structural change at the a(Pd-Si)/SiO{sub x} and Pd/SiO{sub x}more » interfaces indicates multiple structural modifications at the metal/silicon oxide interfaces through electronic excitation induced by the electron-beam processes.« less

  6. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, V.K.

    1990-08-21

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications is disclosed. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al[sub x]N[sub y]O[sub z] layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al[sub x]N[sub y]O[sub z] layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  7. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, Vinod K.

    1990-01-01

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al.sub.x N.sub.y O.sub.z layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al.sub.x N.sub.y O.sub.z layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  8. Catalytically enhanced thermal decomposition of chemically grown silicon oxide layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leroy, F., E-mail: leroy@cinam.univ-mrs.fr; Passanante, T.; Cheynis, F.

    2016-03-14

    The thermal decomposition of Si dioxide layers formed by wet chemical treatment on Si(001) has been studied by low-energy electron microscopy. Independent nucleations of voids occur into the Si oxide layers that open by reaction at the void periphery. Depending on the voids, the reaction rates exhibit large differences via the occurrence of a nonlinear growth of the void radius. This non-steady state regime is attributed to the accumulation of defects and silicon hydroxyl species at the SiO{sub 2}/Si interface that enhances the silicon oxide decomposition at the void periphery.

  9. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    NASA Astrophysics Data System (ADS)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  10. Out of the lab and into the fab: Nano-alignment as an enabler for Silicon Photonics' next chapter

    NASA Astrophysics Data System (ADS)

    Jordan, Scott

    2017-06-01

    The rapid advent of Silicon Photonics presents many challenges for test and packaging. Here we concisely review SiP device attributes that differ significantly from classical photonic configurations, with a view to the future beyond current, connectivity-oriented silicon photonics developments, looking to such endeavors as all-optical computing and quantum computing. The necessity for nano-precision alignment of optical elements in test and packaging operations quickly emerges as the unfilled need. We review the industrial test and packaging solutions developed back in the 1997-2001 photonics boom to address the needs of that era's devices, and map their gaps with the new SiP device classes. Finally we review the new state-of-the-art of recent advances in the field that address these gaps.

  11. Scalable Preparation of Ternary Hierarchical Silicon Oxide-Nickel-Graphite Composites for Lithium-Ion Batteries.

    PubMed

    Wang, Jing; Bao, Wurigumula; Ma, Lu; Tan, Guoqiang; Su, Yuefeng; Chen, Shi; Wu, Feng; Lu, Jun; Amine, Khalil

    2015-12-07

    Silicon monoxide is a promising anode candidate because of its high theoretical capacity and good cycle performance. To solve the problems associated with this material, including large volume changes during charge-discharge processes, we report a ternary hierarchical silicon oxide-nickel-graphite composite prepared by a facile two-step ball-milling method. The composite consists of nano-Si dispersed silicon oxides embedded in nano-Ni/graphite matrices (Si@SiOx /Ni/graphite). In the composite, crystalline nano-Si particles are generated by the mechanochemical reduction of SiO by ball milling with Ni. These nano-Si dispersed oxides have abundant electrochemical activity and can provide high Li-ion storage capacity. Furthermore, the milled nano-Ni/graphite matrices stick well to active materials and interconnect to form a crosslinked framework, which functions as an electrical highway and a mechanical backbone so that all silicon oxide particles become electrochemically active. Owing to these advanced structural and electrochemical characteristics, the composite enhances the utilization efficiency of SiO, accommodates its large volume expansion upon cycling, and has good ionic and electronic conductivity. The composite electrodes thus exhibit substantial improvements in electrochemical performance. This ternary hierarchical Si@SiOx /Ni/graphite composite is a promising candidate anode material for high-energy lithium-ion batteries. Additionally, the mechanochemical ball-milling method is low cost and easy to reproduce, indicating potential for the commercial production of the composite materials. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    NASA Astrophysics Data System (ADS)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  13. Tantalum oxide/silicon nitride: A negatively charged surface passivation stack for silicon solar cells

    NASA Astrophysics Data System (ADS)

    Wan, Yimao; Bullock, James; Cuevas, Andres

    2015-05-01

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited tantalum oxide (Ta2O5) underneath plasma enhanced chemical vapour deposited silicon nitride (SiNx). Cross-sectional transmission electron microscopy imaging shows an approximately 2 nm thick interfacial layer between Ta2O5 and c-Si. Surface recombination velocities as low as 5.0 cm/s and 3.2 cm/s are attained on p-type 0.8 Ω.cm and n-type 1.0 Ω.cm c-Si wafers, respectively. Recombination current densities of 25 fA/cm2 and 68 fA/cm2 are measured on 150 Ω/sq boron-diffused p+ and 120 Ω/sq phosphorus-diffused n+ c-Si, respectively. Capacitance-voltage measurements reveal a negative fixed insulator charge density of -1.8 × 1012 cm-2 for the Ta2O5 film and -1.0 × 1012 cm-2 for the Ta2O5/SiNx stack. The Ta2O5/SiNx stack is demonstrated to be an excellent candidate for surface passivation of high efficiency silicon solar cells.

  14. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  15. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    NASA Astrophysics Data System (ADS)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  16. Passively aligned multichannel fiber-pigtailing of planar integrated optical waveguides

    NASA Astrophysics Data System (ADS)

    Kremmel, Johannes; Lamprecht, Tobias; Crameri, Nino; Michler, Markus

    2017-02-01

    A silicon device to simplify the coupling of multiple single-mode fibers to embedded single-mode waveguides has been developed. The silicon device features alignment structures that enable a passive alignment of fibers to integrated waveguides. For passive alignment, precisely machined V-grooves on a silicon device are used and the planar lightwave circuit board features high-precision structures acting as a mechanical stop. The approach has been tested for up to eight fiber-to-waveguide connections. The alignment approach, the design, and the fabrication of the silicon device as well as the assembly process are presented. The characterization of the fiber-to-waveguide link reveals total coupling losses of (0.45±0.20 dB) per coupling interface, which is significantly lower than the values reported in earlier works. Subsequent climate tests reveal that the coupling losses remain stable during thermal cycling but increases significantly during an 85°C/85 Rh-test. All applied fabrication and bonding steps have been performed using standard MOEMS fabrication and packaging processes.

  17. Micro-miniature gas chromatograph column disposed in silicon wafers

    DOEpatents

    Yu, Conrad M.

    2000-01-01

    A micro-miniature gas chromatograph column is fabricated by forming matching halves of a circular cross-section spiral microcapillary in two silicon wafers and then bonding the two wafers together using visual or physical alignment methods. Heating wires are deposited on the outside surfaces of each wafer in a spiral or serpentine pattern large enough in area to cover the whole microcapillary area inside the joined wafers. The visual alignment method includes etching through an alignment window in one wafer and a precision-matching alignment target in the other wafer. The two wafers are then bonded together using the window and target. The physical alignment methods include etching through vertical alignment holes in both wafers and then using pins or posts through corresponding vertical alignment holes to force precision alignment during bonding. The pins or posts may be withdrawn after curing of the bond. Once the wafers are bonded together, a solid phase of very pure silicone is injected in a solution of very pure chloroform into one end of the microcapillary. The chloroform lowers the viscosity of the silicone enough that a high pressure hypodermic needle with a thumbscrew plunger can force the solution into the whole length of the spiral microcapillary. The chloroform is then evaporated out slowly to leave the silicone behind in a deposit.

  18. Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation.

    PubMed

    Chen, Charlton J; Zheng, Jiangjun; Gu, Tingyi; McMillan, James F; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee; Wong, Chee Wei

    2011-06-20

    We examine the cavity resonance tuning of high-Q silicon photonic crystal heterostructures by localized laser-assisted thermal oxidation using a 532 nm continuous wave laser focused to a 2.5 μm radius spot-size. The total shift is consistent with the parabolic rate law. A tuning range of up to 8.7 nm is achieved with ∼ 30 mW laser powers. Over this tuning range, the cavity Qs decreases from 3.2×10(5) to 1.2×10(5). Numerical simulations model the temperature distributions in the silicon photonic crystal membrane and the cavity resonance shift from oxidation.

  19. A room temperature method for the formation of ultrathin silicon oxide films

    NASA Astrophysics Data System (ADS)

    Muisener, Richard John

    Growing interest surrounds the use of thin films to impart unique surface properties without adversely affecting those of the bulk. One such example is the formation of a stable high-energy silicon oxide surface on polymers. Thin silicon oxide films have been used to tailor the surface properties of many materials. Conventional methods for SiOx film fabrication such as chemical vapor deposition require either high temperature or expensive vacuum chambers. This research focuses on the intrinsically inexpensive process of UV-ozone to form ultrathin SiOx films from polysiloxane precursors at room temperature and atmospheric pressure. Chemical evidence suggests a complete conversion from organic polymer to inorganic ceramic. Through XPS, the UV-ozone treatment oxidizes over 95% of the silicone's organic side groups with a resulting stoichiometry Of Si 1O2.2C0.08. The silicon oxidation state changes from 2+ in poly(dimethylsiloxane) to 93% 4+ corresponding to SiO2. IR studies show a total loss of methyl bands and the growth of a new Si-O band centered at 1225 cm-1. Gas phase reaction products suggest a radical driven process. The physical properties also suggest a complete conversion to SiO x. Excellent control of film thickness, as low as 2 nm, has been demonstrated by variable angle spectroscopic ellipsometry. The ellipsometrically determined thickness loss of 55% during treatment corresponds to an SiOx film density of 1.9 g/cm3. The continuity of the film is demonstrated by electrical properties and a very low water contact angle consistent with SiOx. The later property ensures that the SiOx films are anti-fogging in nature. Unique hydrophilic-hydrophobic structures were formed through photo-patterning. The reaction has been successfully modeled as self-limiting based on the diffusion of ozone. The chief reactant, atomic oxygen, is generated by the photochemical dissociation of ozone and quickly generates radical species within the polymer film. The reaction proceeds

  20. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    NASA Astrophysics Data System (ADS)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  1. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots.

    PubMed

    Yoo, Hana; Park, Soojin

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  2. Ethylene oxide-block-butylene oxide copolymer uptake by silicone hydrogel contact lens materials

    NASA Astrophysics Data System (ADS)

    Huo, Yuchen; Ketelson, Howard; Perry, Scott S.

    2013-05-01

    Four major types of silicone hydrogel contact lens material have been investigated following treatments in aqueous solutions containing poly(ethylene oxide) and poly(butylenes oxide) block copolymer (EO-BO). The extent of lens surface modification by EO-BO and the degree of bulk uptake were studied using X-ray photoelectron spectroscopy (XPS) and ultra-performance liquid chromatography (UPLC), respectively. The experimental results suggest that different interaction models exist for the lenses, highlighting the influence of both surface and bulk composition, which greatly differs between the lenses examined. Specifically, lenses with hydrophilic surface treatments, i.e., PureVision® (balafilcon A) and O2OPTIX (lotrafilcon B), demonstrated strong evidence of preferential surface adsorption within the near-surface region. In comparison, surface adsorption on ACUVUE® Oasys® (senofilcon A) and Biofinity® (comfilcon A) was limited. As for bulk absorption, the amount of EO-BO uptake was the greatest for balafilcon A and comfilcon A, and least for lotrafilcon B. These findings confirm the presence of molecular concentration gradients within the silicone hydrogel lenses following exposure to EO-BO solutions, with the nature of such concentration gradients found to be lens-specific. Together, the results suggest opportunities for compositional modifications of lenses for improved performance via solution treatments containing surface-active agents.

  3. The effect of thermal oxidation on the luminescence properties of nanostructured silicon.

    PubMed

    Liu, Lijia; Sham, Tsun-Kong

    2012-08-06

    Herein is reported a detailed study of the luminescence properties of nanostructured Si using X-ray excited optical luminescence (XEOL) in combination with X-ray absorption near-edge structures (XANES). P-type Si nanowires synthesized via electroless chemical etching from Si wafers of different doping levels and porous Si synthesized using electrochemical method are examined under X-ray excitation across the Si K-, L(3,2) -, and O K-edges. It is found that while as-prepared Si nanostructures are weak light emitters, intense visible luminescence is observed from thermally oxidized Si nanowires and porous Si. The luminescence mechanism of Si upon oxidation is investigated by oxidizing nanostructured Si at different temperatures. Interestingly, the two luminescence bands observed show different response with the variation of absorption coefficient upon Si and O core-electron excitation in elemental silicon and silicon oxide. A correlation between luminescence properties and electronic structures is thus established. The implications of the finding are discussed in terms of the behavior of the oxygen deficient center (OCD) and non-bridging oxygen hole center (NBOHC). Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Carbon mediated reduction of silicon dioxide and growth of copper silicide particles in uniform width channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pizzocchero, Filippo; Bøggild, Peter; Booth, Timothy J.

    We show that surface arc-discharge deposited carbon plays a critical intermediary role in the breakdown of thermally grown oxide diffusion barriers of 90 nm on a silicon wafer at 1035 °C in an Ar/H{sub 2} atmosphere, resulting in the formation of epitaxial copper silicide particles in ≈ 10 μm wide channels, which are aligned with the intersections of the (100) surface of the wafer and the (110) planes on an oxidized silicon wafer, as well as endotaxial copper silicide nanoparticles within the wafer bulk. We apply energy dispersive x-ray spectroscopy, in combination with scanning and transmission electron microscopy of focusedmore » ion beam fabricated lammelas and trenches in the structure to elucidate the process of their formation.« less

  5. Zirconium oxide surface passivation of crystalline silicon

    NASA Astrophysics Data System (ADS)

    Wan, Yimao; Bullock, James; Hettick, Mark; Xu, Zhaoran; Yan, Di; Peng, Jun; Javey, Ali; Cuevas, Andres

    2018-05-01

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited zirconium oxide (ZrOx). The optimum layer thickness and activation annealing conditions are determined to be 20 nm and 300 °C for 20 min. Cross-sectional transmission electron microscopy imaging shows an approximately 1.6 nm thick SiOx interfacial layer underneath an 18 nm ZrOx layer, consistent with ellipsometry measurements (˜20 nm). Capacitance-voltage measurements show that the annealed ZrOx film features a low interface defect density of 1.0 × 1011 cm-2 eV-1 and a low negative film charge density of -6 × 1010 cm-2. Effective lifetimes of 673 μs and 1.1 ms are achieved on p-type and n-type 1 Ω cm undiffused c-Si wafers, respectively, corresponding to an implied open circuit voltage above 720 mV in both cases. The results demonstrate that surface passivation quality provided by ALD ZrOx is consistent with the requirements of high efficiency silicon solar cells.

  6. Oxidation effects on the mechanical properties of SiC fiber-reinforced reaction-bonded silicon nitride matrix composites

    NASA Technical Reports Server (NTRS)

    Bhatt, Ramakrishna T.

    1989-01-01

    The room temperature mechanical properties of SiC fiber reinforced reaction bonded silicon nitride composites were measured after 100 hrs exposure at temperatures to 1400 C in nitrogen and oxygen environments. The composites consisted of approx. 30 vol percent uniaxially aligned 142 micron diameter SiC fibers in a reaction bonded Si3N4 matrix. The results indicate that composites heat treated in a nitrogen environment at temperatures to 1400 C showed deformation and fracture behavior equivalent to that of the as-fabricated composites. Also, the composites heat treated in an oxidizing environment beyond 400 C yielded significantly lower tensile strength values. Specifically in the temperature range from 600 to 1000 C, composites retained approx. 40 percent of their as-fabricated strength, and those heat treated in the temperatures from 1200 to 1400 C retained 70 percent. Nonetheless, for all oxygen heat treatment conditions, composite specimens displayed strain capability beyond the matrix fracture stress; a typical behavior of a tough composite.

  7. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping

    PubMed Central

    Rossi, Alessandro; Tanttu, Tuomo; Hudson, Fay E.; Sun, Yuxin; Möttönen, Mikko; Dzurak, Andrew S.

    2015-01-01

    As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization. PMID:26067215

  8. Optical simulations for design, alignment, and performance prediction of silicon pore optics for the ATHENA x-ray telescope (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Spiga, D.; Della Monica Ferreira, D.; Shortt, B.; Bavdaz, M.; Bergback Knudsen, E.; Bianucci, G.; Christensen, F.; Civitani, M.; Collon, M.; Conconi, P.; Fransen, S.; Marioni, F.; Massahi, S.; Pareschi, G.; Salmaso, B.; Jegers, A. S.; Tayabaly, K.; Valsecchi, G.; Westergaard, N.; Wille, E.

    2017-09-01

    The ATHENA X-ray observatory is a large-class ESA approved mission, with launch scheduled in 2028. The technology of silicon pore optics (SPO) was selected as baseline to assemble ATHENA's optic with hundreds of mirror modules, obtained by stacking wedged and ribbed silicon wafer plates onto silicon mandrels to form the Wolter-I configuration. In the current configuration, the optical assembly has a 3 m diameter and a 2 m2 effective area at 1 keV, with a required angular resolution of 5 arcsec. The angular resolution that can be achieved is chiefly the combination of 1) the focal spot size determined by the pore diffraction, 2) the focus degradation caused by surface and profile errors, 3) the aberrations introduced by the misalignments between primary and secondary segments, 4) imperfections in the co-focality of the mirror modules in the optical assembly. A detailed simulation of these aspects is required in order to assess the fabrication and alignment tolerances; moreover, the achievable effective area and angular resolution depend on the mirror module design. Therefore, guaranteeing these optical performances requires: a fast design tool to find the most performing solution in terms of mirror module geometry and population, and an accurate point spread function simulation from local metrology and positioning information. In this paper, we present the results of simulations in the framework of ESA-financed projects (SIMPOSiuM, ASPHEA, SPIRIT), in preparation of the ATHENA X-ray telescope, analyzing the mentioned points: 1) we deal with a detailed description of diffractive effects in an SPO mirror module, 2) we show ray-tracing results including surface and profile defects of the reflective surfaces, 3) we assess the effective area and angular resolution degradation caused by alignment errors between SPO mirror module's segments, and 4) we simulate the effects of co-focality errors in X-rays and in the UV optical bench used to study the mirror module alignment

  9. Nitric oxide-releasing porous silicon nanoparticles

    PubMed Central

    2014-01-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment. PMID:25114633

  10. Nitric oxide-releasing porous silicon nanoparticles.

    PubMed

    Kafshgari, Morteza Hasanzadeh; Cavallaro, Alex; Delalat, Bahman; Harding, Frances J; McInnes, Steven Jp; Mäkilä, Ermei; Salonen, Jarno; Vasilev, Krasimir; Voelcker, Nicolas H

    2014-01-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment.

  11. Nitric oxide-releasing porous silicon nanoparticles

    NASA Astrophysics Data System (ADS)

    Kafshgari, Morteza Hasanzadeh; Cavallaro, Alex; Delalat, Bahman; Harding, Frances J.; McInnes, Steven JP; Mäkilä, Ermei; Salonen, Jarno; Vasilev, Krasimir; Voelcker, Nicolas H.

    2014-07-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment.

  12. Fabrication of Vertically Aligned Carbon Nanotube or Zinc Oxide Nanorod Arrays for Optical Diffraction Gratings.

    PubMed

    Kim, Jeong; Kim, Sun Il; Cho, Seong-Ho; Hwang, Sungwoo; Lee, Young Hee; Hur, Jaehyun

    2015-11-01

    We report on new fabrication methods for a transparent, hierarchical, and patterned electrode comprised of either carbon nanotubes or zinc oxide nanorods. Vertically aligned carbon nanotubes or zinc oxide nanorod arrays were fabricated by either chemical vapor deposition or hydrothermal growth, in combination with photolithography. A transparent conductive graphene layer or zinc oxide seed layer was employed as the transparent electrode. On the patterned surface defined using photoresist, the vertically grown carbon nanotubes or zinc oxides could produce a concentrated electric field under applied DC voltage. This periodic electric field was used to align liquid crystal molecules in localized areas within the optical cell, effectively modulating the refractive index. Depending on the material and morphology of these patterned electrodes, the diffraction efficiency presented different behavior. From this study, we established the relationship between the hierarchical structure of the different electrodes and their efficiency for modulating the refractive index. We believe that this study will pave a new path for future optoelectronic applications.

  13. Titanium disilicide formation by sputtering of titanium on heated silicon substrate

    NASA Astrophysics Data System (ADS)

    Tanielian, M.; Blackstone, S.

    1984-09-01

    We have sputter deposited titanium on bare silicon substrates at elevated temperatures. We find that at a substrate temperature of about 515 °C titanium silicide is formed due to the reaction of the titanium with the Si. The resistivity of the silicide is about 15 μΩ cm and it is not etchable in a selective titanium etch. This process can have applications in low-temperature, metal-oxide-semiconductor self-aligned silicide formation for very large scale integrated

  14. Scalable Preparation of Ternary Hierarchical Silicon Oxide-Nickel-Graphite Composites for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang , Jing; Bao, Wurigumula; Ma, Lu

    2015-11-09

    Silicon monoxide is a promising anode candidate because of its high theoretical capacity and good cycle performance. To solve the problems associated with this material, including large volume changes during charge-discharge processes, we report a ternary hierarchical silicon oxide–nickel–graphite composite prepared by a facile two-step ball-milling method. The composite consists of nano-Si dispersed silicon oxides embedded in nano-Ni/graphite matrices (Si@SiOx/Ni/graphite). In the composite, crystalline nano-Si particles are generated by the mechanochemical reduction of SiO by ball milling with Ni. These nano-Si dispersed oxides have abundant electrochemical activity and can provide high Li-ion storage capacity. Furthermore, the milled nano-Ni/graphite matrices stickmore » well to active materials and interconnect to form a crosslinked framework, which functions as an electrical highway and a mechanical backbone so that all silicon oxide particles become electrochemically active. Owing to these advanced structural and electrochemical characteristics, the composite enhances the utilization efficiency of SiO, accommodates its large volume expansion upon cycling, and has good ionic and electronic conductivity. The composite electrodes thus exhibit substantial improvements in electrochemical performance. This ternary hierarchical Si@SiOx/Ni/graphite composite is a promising candidate anode material for high-energy lithium-ion batteries. Additionally, the mechanochemical ball-milling method is low cost and easy to reproduce, indicating potential for the commercial production of the composite materials.« less

  15. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  16. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  17. Effective passivation of silicon surfaces by ultrathin atomic-layer deposited niobium oxide

    NASA Astrophysics Data System (ADS)

    Macco, B.; Bivour, M.; Deijkers, J. H.; Basuvalingam, S. B.; Black, L. E.; Melskens, J.; van de Loo, B. W. H.; Berghuis, W. J. H.; Hermle, M.; Kessels, W. M. M. Erwin

    2018-06-01

    This letter reports on effective surface passivation of n-type crystalline silicon by ultrathin niobium oxide (Nb2O5) films prepared by atomic layer deposition (ALD) and subjected to a forming gas anneal at 300 °C. A champion recombination parameter J0 of 20 fA/cm2 and a surface recombination velocity Seff of 4.8 cm/s have been achieved for ultrathin films of 1 nm. The surface pretreatment was found to have a strong impact on the passivation. Good passivation can be achieved on both HF-treated c-Si surfaces and c-Si surfaces with a wet-chemically grown interfacial silicon oxide layer. On HF-treated surfaces, a minimum film thickness of 3 nm is required to achieve a high level of surface passivation, whereas the use of a wet chemically-grown interfacial oxide enables excellent passivation even for Nb2O5 films of only 1 nm. This discrepancy in passivation between both surface types is attributed to differences in the formation and stoichiometry of interfacial silicon oxide, resulting in different levels of chemical passivation. On both surface types, the high level of passivation of ALD Nb2O5 is aided by field-effect passivation originating from a high fixed negative charge density of 1-2 × 1012 cm-3. Furthermore, it is demonstrated that the passivation level provided by 1 nm of Nb2O5 can be further enhanced through light-soaking. Finally, initial explorations show that a low contact resistivity can be obtained using Nb2O5-based contacts. Together, these properties make ALD Nb2O5 a highly interesting building block for high-efficiency c-Si solar cells.

  18. Oxygen concentration dependence of silicon oxide dynamical properties

    NASA Astrophysics Data System (ADS)

    Yajima, Yuji; Shiraishi, Kenji; Endoh, Tetsuo; Kageshima, Hiroyuki

    2018-06-01

    To understand oxidation in three-dimensional silicon, dynamic characteristics of a SiO x system with various stoichiometries were investigated. The calculated results show that the self-diffusion coefficient increases as oxygen density decreases, and the increase is large when the temperature is low. It also shows that the self-diffusion coefficient saturates, when the number of removed oxygen atoms is sufficiently large. Then, approximate analytical equations are derived from the calculated results, and the previously reported expression is confirmed in the extremely low-SiO-density range.

  19. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    PubMed

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Dislocation-free strained silicon-on-silicon by in-place bonding

    NASA Astrophysics Data System (ADS)

    Cohen, G. M.; Mooney, P. M.; Paruchuri, V. K.; Hovel, H. J.

    2005-06-01

    In-place bonding is a technique where silicon-on-insulator (SOI) slabs are bonded by hydrophobic attraction to the underlying silicon substrate when the buried oxide is undercut in dilute HF. The bonding between the exposed surfaces of the SOI slab and the substrate propagates simultaneously with the buried oxide etching. As a result, the slabs maintain their registration and are referred to as "bonded in-place". We report the fabrication of dislocation-free strained silicon slabs from pseudomorphic trilayer Si/SiGe/SOI by in-place bonding. Removal of the buried oxide allows the compressively strained SiGe film to relax elastically and induce tensile strain in the top and bottom silicon films. The slabs remain bonded to the substrate by van der Waals forces when the wafer is dried. Subsequent annealing forms a covalent bond such that when the upper Si and the SiGe layer are removed, the bonded silicon slab remains strained.

  1. Facile preparation of highly-dispersed cobalt-silicon mixed oxide nanosphere and its catalytic application in cyclohexane selective oxidation

    PubMed Central

    2011-01-01

    Highly dispersed cobalt-silicon mixed oxide [Co-SiO2] nanosphere was successfully prepared with a modified reverse-phase microemulsion method. This material was characterized in detail by X-ray diffraction, transmission electron microscopy, Fourier transform infrared, ultraviolet-visible diffuse reflectance spectra, X-ray absorption spectroscopy near-edge structure, and N2 adsorption-desorption measurements. High valence state cobalt could be easily obtained without calcination, which is fascinating for the catalytic application for its strong oxidation ability. In the selective oxidation of cyclohexane, Co-SiO2 acted as an efficient catalyst, and good activity could be obtained under mild conditions. PMID:22067075

  2. Relation between film character and wafer alignment: critical alignment issues on HV device for VLSI manufacturing

    NASA Astrophysics Data System (ADS)

    Lo, Yi-Chuan; Lee, Chih-Hsiung; Lin, Hsun-Peng; Peng, Chiou-Shian

    1998-06-01

    Several continuous splits for wafer alignment target topography conditions to improve epitaxy film alignment were applied. The alignment evaluation among former layer pad oxide thickness (250 angstrom - 500 angstrom), drive oxide thickness (6000 angstrom - 10000 angstrom), nitride film thickness (600 angstrom - 1500 angstrom), initial oxide etch (fully wet etch, fully dry etch and dry plus wet etch) will be split to this experiment. Also various epitaxy deposition recipe such as: epitaxy source (SiHCl2 or SiCHCl3) and growth rate (1.3 micrometer/min approximately 2.0 micrometer/min) will be used to optimize the process window for alignment issue. All the reflectance signal and cross section photography of alignment target during NIKON stepper alignment process will be examined. Experimental results show epitaxy recipe plays an important role to wafer alignment. Low growth rate with good performance conformity epitaxy lead to alignment target avoid washout, pattern shift and distortion. All the results (signal monitor and film character) combined with NIKON's stepper standard laser scanning alignment system will be discussed in this paper.

  3. Soft lithographic functionalization and patterning oxide-free silicon and germanium.

    PubMed

    Bowers, Carleen M; Toone, Eric J; Clark, Robert L; Shestopalov, Alexander A

    2011-12-16

    The development of hybrid electronic devices relies in large part on the integration of (bio)organic materials and inorganic semiconductors through a stable interface that permits efficient electron transport and protects underlying substrates from oxidative degradation. Group IV semiconductors can be effectively protected with highly-ordered self-assembled monolayers (SAMs) composed of simple alkyl chains that act as impervious barriers to both organic and aqueous solutions. Simple alkyl SAMs, however, are inert and not amenable to traditional patterning techniques. The motivation for immobilizing organic molecular systems on semiconductors is to impart new functionality to the surface that can provide optical, electronic, and mechanical function, as well as chemical and biological activity. Microcontact printing (μCP) is a soft-lithographic technique for patterning SAMs on myriad surfaces. Despite its simplicity and versatility, the approach has been largely limited to noble metal surfaces and has not been well developed for pattern transfer to technologically important substrates such as oxide-free silicon and germanium. Furthermore, because this technique relies on the ink diffusion to transfer pattern from the elastomer to substrate, the resolution of such traditional printing is essentially limited to near 1 μm. In contrast to traditional printing, inkless μCP patterning relies on a specific reaction between a surface-immobilized substrate and a stamp-bound catalyst. Because the technique does not rely on diffusive SAM formation, it significantly expands the diversity of patternable surfaces. In addition, the inkless technique obviates the feature size limitations imposed by molecular diffusion, facilitating replication of very small (<200 nm) features. However, up till now, inkless μCP has been mainly used for patterning relatively disordered molecular systems, which do not protect underlying surfaces from degradation. Here, we report a simple, reliable

  4. Process for removal of water and silicon mu-oxides from chlorosilanes

    DOEpatents

    Tom, Glenn M.; McManus, James V.

    1992-03-10

    A scavenger composition having utility for removal of water and silicon mu-oxide impurities from chlorosilanes, such scavenger composition comprising: (a) a support; and (b) associated with the support, one or more compound(s) selected from the group consisting of compounds of the formula: R.sub.a-x MCl.sub.x wherein: M is a metal selected from the group consisting of the monovalent metals lithium, sodium, and potassium; the divalent metals magnesium, strontium, barium, and calcium; and the trivalent metal aluminum; R is alkyl; a is a number equal to the valency of metal M; and x is a number having a value of from 0 to a, inclusive; and wherein said compound(s) of the formula R.sub.a-x MCl.sub.x have been activated for impurity-removal service by a reaction scheme selected from those of the group consisting of: (i) reaction of such compound(s) with hydrogen chloride to form a first reaction product therefrom, followed by reaction of the first reaction product with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y, wherein y is a number having a value of from 1 to 3, inclusive; and (ii) reaction of such compound(s) with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y wherein y is a number having a value of 1 to 3, inclusive. A corresponding method of making the scavenger composition, and of purifying a chlorosilane which contains oxygen and silicon mu-oxide impurities, likewise are disclosed, together with a purifier apparatus, in which a bed of the scavenger composition is disposed. The composition, purification process, and purifier apparatus of the invention have utility in purifying gaseous chlorosilanes which are employed in the semiconductor industry as silicon source reagents for forming epitaxial silicon layers.

  5. Silicon-doped boron nitride coated fibers in silicon melt infiltrated composites

    DOEpatents

    Corman, Gregory Scot; Luthra, Krishan Lal

    2002-01-01

    A fiber-reinforced silicon-silicon carbide matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is produced. The invention also provides a method for protecting the reinforcing fibers in the silicon-silicon carbide matrix composites by coating the fibers with a silicon-doped boron nitride coating.

  6. Silicon-doped boron nitride coated fibers in silicon melt infiltrated composites

    DOEpatents

    Corman, Gregory Scot; Luthra, Krishan Lal

    1999-01-01

    A fiber-reinforced silicon--silicon carbide matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is produced. The invention also provides a method for protecting the reinforcing fibers in the silicon--silicon carbide matrix composites by coating the fibers with a silicon-doped boron nitride coating.

  7. Metal Oxide Silicon /MOS/ transistors protected from destructive damage by wire

    NASA Technical Reports Server (NTRS)

    Deboo, G. J.; Devine, E. J.

    1966-01-01

    Loop of flexible, small diameter, nickel wire protects metal oxide silicon /MOS/ transistors from a damaging electrostatic potential. The wire is attached to a music-wire spring, slipped over the MOS transistor case, and released so the spring tensions the wire loop around all the transistor leads, shorting them together. This allows handling without danger of damage.

  8. Crystallization Behavior of Poly(ethylene oxide) in Vertically Aligned Carbon Nanotube Array.

    PubMed

    Sheng, Jiadong; Zhou, Shenglin; Yang, Zhaohui; Zhang, Xiaohua

    2018-03-27

    We investigate the effect of the presence of vertically aligned multiwalled carbon nanotubes (CNTs) on the orientation of poly(ethylene oxide) (PEO) lamellae and PEO crystallinity. The high alignment of carbon nanotubes acting as templates probably governs the orientation of PEO lamellae. This templating effect might result in the lamella planes of PEO crystals oriented along a direction parallel to the long axis of the nanotubes. The presence of aligned carbon nanotubes also gives rise to the decreases in PEO crystallinity, crystallization temperature, and melting temperature due to the perturbation of carbon nanotubes to the crystallization of PEO. These effects have significant implications for controlling the orientation of PEO lamellae and decreasing the crystallinity of PEO and thickness of PEO lamellae, which have significant impacts on ion transport in PEO/CNT composite and the capacitive performance of PEO/CNT composite. Both the decreased PEO crystallinity and the orientation of PEO lamellae along the long axes of vertically aligned CNTs give rise to the decrease in the charge transfer resistance, which is associated with the improvements in the ion transport and capacitive performance of PEO/CNT composite.

  9. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    NASA Astrophysics Data System (ADS)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  10. Photoconductivity of Macroporous and Nonporous Silicon with Ultrathin Oxide Layers

    NASA Astrophysics Data System (ADS)

    Konin, K. P.; Goltvyansky, Yu. V.; Karachevtseva, L. A.; Karas, M. I.; Morozovs'ka, D. V.

    2018-06-01

    The photoconductivity of macroporous silicon with ultrathin oxide layers of 2.7-30 nm in thickness at short-wave optical excitation was studied. The following feature was revealed: a nonmonotonic change in the photoconductivity as a function of the oxide thickness. At a minimum thickness, the photoconductivity is negative; in the interval 6.8-15 nm, it is very much suppressed; at 15-30 nm, it is positive. Suppression of photoconductivity over a wide thickness range indicates an abnormally high concentration of traps and capture centers for charge carriers of both signs. Such a change in the photoconductivity corresponds to the known results on the continuous morphological rearrangement of the oxide in the thickness range from 6-7 nm to 12-15 nm from the coesite-like (4-membered SiO4 tetrahedra rings) to the tridymite-like (6-membered SiO4 tetrahedra rings). The suppression of photoconductivity in the intermediate range probably demonstrates the collective, antisynergetic action of these coexisting oxide forms on the nonequilibrium charge carriers. These coexisting oxide forms manifest themselves as an unusual collective defect.

  11. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    NASA Astrophysics Data System (ADS)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 <= x = O/Si <=1) system with a combination of complementary x-ray and electron spectroscopies. The different surface sensitivities of the employed techniques help to reduce the impact of surface oxides on the spectral interpretation. For all samples, we find the valence band maximum to be located at a similar energy with respect to the Fermi energy. However, for x > 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor

  12. Tantalum oxide/silicon nitride: A negatively charged surface passivation stack for silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wan, Yimao, E-mail: yimao.wan@anu.edu.au; Bullock, James; Cuevas, Andres

    2015-05-18

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited tantalum oxide (Ta{sub 2}O{sub 5}) underneath plasma enhanced chemical vapour deposited silicon nitride (SiN{sub x}). Cross-sectional transmission electron microscopy imaging shows an approximately 2 nm thick interfacial layer between Ta{sub 2}O{sub 5} and c-Si. Surface recombination velocities as low as 5.0 cm/s and 3.2 cm/s are attained on p-type 0.8 Ω·cm and n-type 1.0 Ω·cm c-Si wafers, respectively. Recombination current densities of 25 fA/cm{sup 2} and 68 fA/cm{sup 2} are measured on 150 Ω/sq boron-diffused p{sup +} and 120 Ω/sq phosphorus-diffused n{sup +} c-Si, respectively. Capacitance–voltage measurements reveal a negativemore » fixed insulator charge density of −1.8 × 10{sup 12 }cm{sup −2} for the Ta{sub 2}O{sub 5} film and −1.0 × 10{sup 12 }cm{sup −2} for the Ta{sub 2}O{sub 5}/SiN{sub x} stack. The Ta{sub 2}O{sub 5}/SiN{sub x} stack is demonstrated to be an excellent candidate for surface passivation of high efficiency silicon solar cells.« less

  13. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    NASA Astrophysics Data System (ADS)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  14. Effect of ion-plated films of germanium and silicon on friction, wear, and oxidation of 52100 bearing steel

    NASA Technical Reports Server (NTRS)

    Buckley, D. H.; Spalvins, T.

    1977-01-01

    Friction and wear experiments were conducted with ion plated films of germanium and silicon on the surface of 52100 bearing steel both dry and in the presence of mineral oil. Both silicon and germanium were found to reduce wear, with germanium being more effective than silicon. An optimum film thickness of germanium for minimum wear without surface crack formation was found to be approximately 400 nanometers (4000 A). The presence of silicon and germanium on the 52100 bearing steel surface improved resistance to oxidation.

  15. Structural and optical studies of porous silicon buried waveguides: Effects of oxidation and pore filling using DR1 dyes

    NASA Astrophysics Data System (ADS)

    Charrier, J.; Kloul, M.; Pirasteh, P.; Bardeau, J.-F.; Guendouz, M.; Bulou, A.; Haji, L.

    2007-11-01

    This paper deals with the structural and optical properties of buried waveguides manufactured from mesoporous silicon films (as-formed porous silicon layers, after oxidation, after filling with active DR1 dyes). It is shown that the oxidation process only induced a weak morphology transformation. The 2D profiles of cross-sections of the waveguides by micro-Raman mapping were done in order to check the oxidation rate and to probe the DR1 filling of the layers. This latter appeared homogeneous but surprisingly is greater in the weaker porosity layer. The light propagation through these different waveguides was observed and losses were measured and analyzed. The losses decreased after oxidation but they increased after filling.

  16. Alignment of the CMS Tracker: Latest Results from LHC Run-II

    NASA Astrophysics Data System (ADS)

    Mittag, Gregor; CMS Collaboration

    2017-10-01

    The all-silicon design of the tracking system of the CMS experiment provides excellent measurements of charged-particle tracks and an efficient tagging of jets. Conditions of the CMS tracker changed repeatedly during the 2015/2016 shutdown and the 2016 data-taking period. Still the true position and orientation of each of the 15 148 silicon strip and 1440 silicon pixel modules need to be known with high precision for all intervals. The alignment constants also need to be promptly re-adjusted each time the state of the CMS magnet is changed between 0T and 3.8 T. Latest Run-II results of the CMS tracker alignment and resolution performance are presented, which are obtained using several millions of reconstructed tracks from collision and cosmic-ray data of 2016. The geometries and the resulting performance of physics observables are carefully validated. In addition to the offline alignment, an online procedure has been put in place which continuously monitors movements of the pixel high-level structures and triggers updates of the alignment constants if certain thresholds are exceeded.

  17. [Synergetic effects of silicon carbide and molecular sieve loaded catalyst on microwave assisted catalytic oxidation of toluene].

    PubMed

    Wang, Xiao-Hui; Bo, Long-Li; Liu, Hai-Nan; Zhang, Hao; Sun, Jian-Yu; Yang, Li; Cai, Li-Dong

    2013-06-01

    Molecular sieve loaded catalyst was prepared by impregnation method, microwave-absorbing material silicon carbide and the catalyst were investigated for catalytic oxidation of toluene by microwave irradiation. Research work examined effects of silicon carbide and molecular sieve loading Cu-V catalyst's mixture ratio as well as mixed approach changes on degradation of toluene, and characteristics of catalyst were measured through scanning electron microscope, specific surface area test and X-ray diffraction analysis. The result showed that the fixed bed reactor had advantages of both thermal storage property and low-temperature catalytic oxidation when 20% silicon carbide was filled at the bottom of the reactor, and this could effectively improve the utilization of microwave energy as well as catalytic oxidation efficiency of toluene. Under microwave power of 75 W and 47 W, complete-combustion temperatures of molecular sieve loaded Cu-V catalyst and Cu-V-Ce catalyst to toluene were 325 degrees C and 160 degrees C, respectively. Characteristics of the catalysts showed that mixture of rare-earth element Ce increased the dispersion of active components in the surface of catalyst, micropore structure of catalyst effectively guaranteed high adsorption capacity for toluene, while amorphous phase of Cu and V oxides increased the activity of catalyst greatly.

  18. Method and apparatus for stable silicon dioxide layers on silicon grown in silicon nitride ambient

    NASA Technical Reports Server (NTRS)

    Cohen, R. A.; Wheeler, R. K. (Inventor)

    1974-01-01

    A method and apparatus for thermally growing stable silicon dioxide layers on silicon is disclosed. A previously etched and baked silicon nitride tube placed in a furnace is used to grow the silicon dioxide. First, pure oxygen is allowed to flow through the tube to initially coat the inside surface of the tube with a thin layer of silicon dioxide. After the tube is coated with the thin layer of silicon dioxide, the silicon is oxidized thermally in a normal fashion. If the tube becomes contaminated, the silicon dioxide is etched off thereby exposing clean silicon nitride and then the inside of the tube is recoated with silicon dioxide. As is disclosed, the silicon nitride tube can also be used as the ambient for the pyrolytic decomposition of silane and ammonia to form thin layers of clean silicon nitride.

  19. Processing of uranium oxide and silicon carbide based fuel using polymer infiltration and pyrolysis

    NASA Astrophysics Data System (ADS)

    Singh, Abhishek K.; Zunjarrao, Suraj C.; Singh, Raman P.

    2008-09-01

    Ceramic composite pellets consisting of uranium oxide, UO 2, contained within a silicon carbide matrix, were fabricated using a novel processing technique based on polymer infiltration and pyrolysis (PIP). In this process, particles of depleted uranium oxide, in the form of U 3O 8, were dispersed in liquid allylhydridopolycarbosilane (AHPCS), and subjected to pyrolysis up to 900 °C under a continuous flow of ultra high purity argon. The pyrolysis of AHPCS, at these temperatures, produced near-stoichiometric amorphous silicon carbide ( a-SiC). Multiple polymer infiltration and pyrolysis (PIP) cycles were performed to minimize open porosity and densify the silicon carbide matrix. Analytical characterization was conducted to investigate chemical interaction between U 3O 8 and SiC. It was observed that U 3O 8 reacted with AHPCS during the very first pyrolysis cycle, and was converted to UO 2. As a result, final composition of the material consisted of UO 2 particles contained in an a-SiC matrix. The physical and mechanical properties were also quantified. It is shown that this processing scheme promotes uniform distribution of uranium fuel source along with a high ceramic yield of the parent matrix.

  20. Aligned coaxial tungsten oxide-carbon nanotube sheet: a flexible and gradient electrochromic film.

    PubMed

    Yao, Zhaojun; Di, Jiangtao; Yong, Zhenzhong; Zhao, Zhigang; Li, Qingwen

    2012-08-25

    We develop a simple dry wrapping method to fabricate a tungsten oxide (WO(3))/carbon nanotube (CNT) cable, in which WO(3) layers act as an electrochromic component while aligned CNTs as the core provide mechanical support and an anisotropic, continuous electron transport pathway. Interestingly, the resultant cable material exhibits an obvious gradient electrochromic phenomenon.

  1. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, Ken

    1994-01-01

    An iron-based alloy containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100.degree. C.

  2. Hydrogen passivation of silicon(100) used as templates for low-temperature epitaxy and oxidation

    NASA Astrophysics Data System (ADS)

    Atluri, Vasudeva Prasad

    Epitaxial growth, oxidation and ohmic contacts require surfaces as free as possible of physical defects and chemical contaminants, especially, oxygen and hydrocarbons. Wet chemical cleaning typically involves a RCA clean to remove contaminants by stripping the native oxide and regrowing a chemical oxide with only trace levels of carbon and metallic impurities. Low temperature epitaxy, T<800sp° C, limits the thermal budget for the desorption of impurities and surface oxides, and can be performed on processed structures. But, silicon dioxide cannot be desorbed at temperatures lower than 800sp°C. Recently, hydrogen passivation of Si(111) has been reported to produce stable and ordered surfaces at low temperatures. Hydrogen can then be desorbed between 200sp°C and 600sp°C prior to deposition. In this work, Si(100) is passivated via a solution of hydrofluoric acid in alcohol (methanol, ethanol, or isopropyl alcohol) with HF concentrations between 0.5 to 10%. A rinse in water or alcohol is performed after etching to remove excess fluorine. This work investigates wet chemical cleaning of Si(100) to produce ordered, hydrogen-terminated, oxygen- and carbon-free surfaces to be used as templates for low temperature epitaxial growth and rapid thermal oxidation. Ion beam analysis, Tapping mode atomic force microscopy, Fourier transform infrared spectroscopy, Secondary ion mass spectroscopy, Chemical etching, Capacitance-voltage measurements and Ellipsometry are used to measure, at the surface and interface, impurities concentration, residual disorder, crystalline order, surface topography, roughness, chemical composition, defects density, electrical characteristics, thickness, and refractive index as a function of cleaning conditions for homoepitaxial silicon growth and oxidation. The wetting characteristics of the Si(100) surfaces are measured with a tilting plate technique. Different materials are analyzed by ion beam analysis for use as hydrogen standards in elastic

  3. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  4. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, K.

    1994-12-27

    An iron-based alloy is described containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100 C. 8 figures.

  5. Selective doping of silicon nanowires by means of electron beam stimulated oxide etching.

    PubMed

    Pennelli, G; Totaro, M; Piotto, M

    2012-02-08

    Direct patterning of silicon dioxide by means of electron beam stimulated etching is shown, and a full characterization of exposure dose is presented. For its high dose, this technique is unsuitable for large areas but can be usefully employed like a precision scalpel for removing silicon dioxide by well-localized points. In this work, this technique is applied to the definition of windows through the oxide surrounding top down fabricated n-doped silicon nanowires. These windows will be employed for a selective doping of the nanowire by boron diffusion. In this way, pn junctions can be fabricated in well-localized points in the longitudinal direction of the nanowire, and an electrical contact to the different junctions can be provided. Electrical I-V characteristics of a nanowire with pn longitudinal junctions are reported and discussed. © 2012 American Chemical Society

  6. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, K.

    1992-01-01

    This invention is comprised of an iron-based alloy containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100{degrees}C.

  7. Using size-selected gold clusters on graphene oxide films to aid cryo-transmission electron tomography alignment

    PubMed Central

    Arkill, Kenton P.; Mantell, Judith M.; Plant, Simon R.; Verkade, Paul; Palmer, Richard E.

    2015-01-01

    A three-dimensional reconstruction of a nano-scale aqueous object can be achieved by taking a series of transmission electron micrographs tilted at different angles in vitreous ice: cryo-Transmission Electron Tomography. Presented here is a novel method of fine alignment for the tilt series. Size-selected gold clusters of ~2.7 nm (Au561 ± 14), ~3.2 nm (Au923 ± 22), and ~4.3 nm (Au2057 ± 45) in diameter were deposited onto separate graphene oxide films overlaying holes on amorphous carbon grids. After plunge freezing and subsequent transfer to cryo-Transmission Electron Tomography, the resulting tomograms have excellent (de-)focus and alignment properties during automatic acquisition. Fine alignment is accurate when the evenly distributed 3.2 nm gold particles are used as fiducial markers, demonstrated with a reconstruction of a tobacco mosaic virus. Using a graphene oxide film means the fiducial markers are not interfering with the ice bound sample and that automated collection is consistent. The use of pre-deposited size-selected clusters means there is no aggregation and a user defined concentration. The size-selected clusters are mono-dispersed and can be produced in a wide size range including 2–5 nm in diameter. The use of size-selected clusters on a graphene oxide films represents a significant technical advance for 3D cryo-electron microscopy. PMID:25783049

  8. Optimization of contaminated oxide inversion layer solar cell. [considering silicon oxide coating

    NASA Technical Reports Server (NTRS)

    Call, R. L.

    1976-01-01

    Contaminated oxide cells have been fabricated with efficiencies of 8.6% with values of I sub sc = 120 ma, V sub oc = .54 volts, and curve factor of .73. Attempts to optimize the fabrication step to yield a higher output have not been successful. The fundamental limitation is the inadequate antireflection coating afforded by the silicon dioxide coating used to hold the contaminating ions. Coatings of SiO, therefore, were used to obtain a good antireflection coating, but the thinness of the coatings prevented a large concentration of the contaminating ions, and the cells was weak. Data of the best cell were .52 volts V sub oc, 110 ma I sub sc, .66 CFF and 6.7% efficiency.

  9. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    PubMed

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  10. High sensitivity Schottky junction diode based on monolithically grown aligned polypyrrole nanofibers: Broad range detection of m-dihydroxybenzene.

    PubMed

    Ameen, Sadia; Akhtar, M Shaheer; Seo, Hyung-Kee; Shin, Hyung Shik

    2015-07-30

    Aligned p-type polypyrrole (PPy) nanofibers (NFs) thin film was grown on n-type silicon (100) substrate by an electrochemical technique to fabricate Schottky junction diode for the efficient detection of m-dihydroxybenzene chemical. The highly dense and well aligned PPy NFs with the average diameter (∼150-200 nm) were grown on n-type Si substrate. The formation of aligned PPy NFs was confirmed by elucidating the structural, compositional and the optical properties. The electrochemical behavior of the fabricated Pt/p-aligned PPy NFs/n-silicon Schottky junction diode was evaluated by cyclovoltametry (CV) and current (I)-voltage (V) measurements with the variation of m-dihydroxybenzene concentration in the phosphate buffer solution (PBS). The fabricated Pt/p-aligned PPy NFs/n-silicon Schottky junction diode exhibited the rectifying behavior of I-V curve with the addition of m-dihydroxybenzene chemical, while a weak rectifying I-V behavior was observed without m-dihydroxybenzene chemical. This non-linear I-V behavior suggested the formation of Schottky barrier at the interface of Pt layer and p-aligned PPy NFs/n-silicon thin film layer. By analyzing the I-V characteristics, the fabricated Pt/p-aligned PPy NFs/n-silicon Schottky junction diode displayed reasonably high sensitivity ∼23.67 μAmM(-1)cm(-2), good detection limit of ∼1.51 mM with correlation coefficient (R) of ∼0.9966 and short response time (10 s). Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Hydrogen incorporation and radiation induced dynamics in metal-oxide-silicon structures: A study using nuclear reaction analysis

    NASA Astrophysics Data System (ADS)

    Briere, M. A.

    Resonant Nuclear Reaction Analysis (NRA), using the H-1/N-15, alpha gamma/c-12 reaction at 6.4 MeV, is successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal oxide silicon structures. The influence of processing parameters on the H content of thermal oxides, with and without gate material present, is studied. Hydrogen accumulation at the Si-SiO2 interface is reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon oxide interface during NRA, is investigated. It is found that the cross section for this migration, per incident N-15 ion, depends on the sample processing history. It is argued that the release is due to electron capture at Si-OH sites and that the migration is driven by reductions in the interfacial free energy associated with the incorporation of hydrogen within the strained oxide region. A similar migration of hydrogen during irradiation with 2.5 MeV electrons is presented, which suggests that the migration occurs preferentially under applied fields which are directed to the silicon interface. It is argued that this bias effect is due to holes, which modify the interfacial region so as to increase hydrogen solubility, that is explained by the diffusivity of the hydrogen species during N-15 irradiation, which suggest identification as neutral atomic hydrogen. The spatial distribution of hydrogen at the Si-SiO2 interface is shown to be confined to within ca. 2 nm of the metallurgical boundary, in agreement with measurements of the location of oxide charge states, paramagnetic centers, as well as the width of the strained transition region in the neighborhood of this interface. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong

  12. High-volumetric performance aligned nano-porous microwave exfoliated graphite oxide-based electrochemical capacitors.

    PubMed

    Ghaffari, Mehdi; Zhou, Yue; Xu, Haiping; Lin, Minren; Kim, Tae Young; Ruoff, Rodney S; Zhang, Q M

    2013-09-20

    Ultra-high volumetric performance electrochemical double layer capacitors based on high density aligned nano-porous microwave exfoliated graphite oxide have been studied. Elimination of macro-, meso-, and larger micro-pores from electrodes and controlling the nano-morphology results in very high volumetric capacitance, energy, and power density values. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Cytotoxic and genotoxic characterization of aluminum and silicon oxide nanoparticles in macrophages.

    PubMed

    Hashimoto, Masanori; Imazato, Satoshi

    2015-05-01

    Although aluminum oxide and silicon oxide nanoparticles are currently available as dental materials, there is a lack of basic information concerning their biocompatibility. This study evaluates the biological responses of cultured macrophages (RAW264) to aluminum oxide (Al2O3NPs) and silicon oxide nanoparticles (SiO2NPs) by analyzing cytotoxicity and genotoxicity. The nanoparticles are amorphous and spherical, with diameters of 13 nm for the Al2O3NPs and 12 nm for the SiO2NPs. The cultured RAW264 are exposed to the nanoparticles (NPs) and examined for cytotoxicity using the WST-8 cell viability and Hoechst/PI apoptosis assay, for genotoxicity by micronucleus analysis, for changes in nuclear shape (deformed nuclei) and for comet assay using confocal microscopy, and micromorphological analysis is done using scanning and transmission electron microscopes. Nuclei and DNA damage because of exposure to both types of NPs is observed by inmunostaining genotoxicity testing. The cytotoxicity and genotoxicity are well correlated in this study. Numerous NPs are observed as large aggregates in vesicles, but less or nonexistent NP internalization is seen in the nucleus or cytoplasm. These morphological results suggest that a primary cause of cell disruption is the chemical changes of the NPs in the low pH of vesicles (i.e., ionization of Al2O3 or SiO2) for both types of oxide NPs. Although further research on the elution of NP concentrations on cell or tissue activity under simulated clinical conditions is required, NP concentrations over 200 μg/mL are large enough to induce cytotoxic and genotoxic effects to cells. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  14. Carbothermic reduction and prereduced charge for producing aluminum-silicon alloys

    DOEpatents

    Stevenson, David T.; Troup, Robert L.

    1985-01-01

    Disclosed is a method for the carbothermic reduction of aluminum oxide to form an aluminum alloy including producing silicon carbide by heating a first mix of carbon and silicon oxide in a combustion reactor to an elevated temperature sufficient to produce silicon carbide at an accelerated rate, the heating being provided by an in situ combustion with oxygen gas, and then admixing the silicon carbide with carbon and aluminum oxide to form a second mix and heating the second mix in a second reactor to an elevated metal-forming temperature sufficient to produce aluminum-silicon alloy. The prereduction step includes holding aluminum oxide substantially absent from the combustion reactor. The metal-forming step includes feeding silicon oxide in a preferred ratio with silicon carbide.

  15. Carbothermic reduction and prereduced charge for producing aluminum-silicon alloys

    DOEpatents

    Stevenson, D.T.; Troup, R.L.

    1985-01-01

    Disclosed is a method for the carbothermic reduction of aluminum oxide to form an aluminum alloy including producing silicon carbide by heating a first mix of carbon and silicon oxide in a combustion reactor to an elevated temperature sufficient to produce silicon carbide at an accelerated rate, the heating being provided by an in situ combustion with oxygen gas, and then admixing the silicon carbide with carbon and aluminum oxide to form a second mix and heating the second mix in a second reactor to an elevated metal-forming temperature sufficient to produce aluminum-silicon alloy. The prereduction step includes holding aluminum oxide substantially absent from the combustion reactor. The metal-forming step includes feeding silicon oxide in a preferred ratio with silicon carbide. 1 fig.

  16. Biocompatible magnetofluorescent probes: luminescent silicon quantum dots coupled with superparamagnetic iron(III) oxide.

    PubMed

    Erogbogbo, Folarin; Yong, Ken-Tye; Hu, Rui; Law, Wing-Cheung; Ding, Hong; Chang, Ching-Wen; Prasad, Paras N; Swihart, Mark T

    2010-09-28

    Luminescent silicon quantum dots (SiQDs) are gaining momentum in bioimaging applications, based on their unique combination of optical properties and biocompatibility. Here, we report the development of a multimodal probe that combines the optical properties of silicon quantum dots with the superparamagnetic properties of iron oxide nanoparticles to create biocompatible magnetofluorescent nanoprobes. Multiple nanoparticles of each type are coencapsulated within the hydrophobic core of biocompatible phospholipid-polyethyleneglycol (DSPE-PEG) micelles. The size distribution and composition of the magnetofluorescent nanoprobes were characterized by transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDS). Enhanced cellular uptake of these probes in the presence of a magnetic field was demonstrated in vitro. Their luminescence stability in a prostate cancer tumor model microenvironment was demonstrated in vivo. This paves the way for multimodal silicon quantum-dot-based nanoplatforms for a variety of imaging and delivery applications.

  17. Adaptable silicon-carbon nanocables sandwiched between reduced graphene oxide sheets as lithium ion battery anodes.

    PubMed

    Wang, Bin; Li, Xianglong; Zhang, Xianfeng; Luo, Bin; Jin, Meihua; Liang, Minghui; Dayeh, Shadi A; Picraux, S T; Zhi, Linjie

    2013-02-26

    Silicon has been touted as one of the most promising anode materials for next generation lithium ion batteries. Yet, how to build energetic silicon-based electrode architectures by addressing the structural and interfacial stability issues facing silicon anodes still remains a big challenge. Here, we develop a novel kind of self-supporting binder-free silicon-based anodes via the encapsulation of silicon nanowires (SiNWs) with dual adaptable apparels (overlapped graphene (G) sheaths and reduced graphene oxide (RGO) overcoats). In the resulted architecture (namely, SiNW@G@RGO), the overlapped graphene sheets, as adaptable but sealed sheaths, prevent the direct exposure of encapsulated silicon to the electrolyte and enable the structural and interfacial stabilization of silicon nanowires. Meanwhile, the flexible and conductive RGO overcoats accommodate the volume change of embedded SiNW@G nanocables and thus maintain the structural and electrical integrity of the SiNW@G@RGO. As a result, the SiNW@G@RGO electrodes exhibit high reversible specific capacity of 1600 mAh g⁻¹ at 2.1 A g⁻¹, 80% capacity retention after 100 cycles, and superior rate capability (500 mAh g⁻¹ at 8.4 A g⁻¹) on the basis of the total electrode weight.

  18. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  19. Analysis of multiple internal reflections in a parallel aligned liquid crystal on silicon SLM.

    PubMed

    Martínez, José Luis; Moreno, Ignacio; del Mar Sánchez-López, María; Vargas, Asticio; García-Martínez, Pascuala

    2014-10-20

    Multiple internal reflection effects on the optical modulation of a commercial reflective parallel-aligned liquid-crystal on silicon (PAL-LCoS) spatial light modulator (SLM) are analyzed. The display is illuminated with different wavelengths and different angles of incidence. Non-negligible Fabry-Perot (FP) effect is observed due to the sandwiched LC layer structure. A simplified physical model that quantitatively accounts for the observed phenomena is proposed. It is shown how the expected pure phase modulation response is substantially modified in the following aspects: 1) a coupled amplitude modulation, 2) a non-linear behavior of the phase modulation, 3) some amount of unmodulated light, and 4) a reduction of the effective phase modulation as the angle of incidence increases. Finally, it is shown that multiple reflections can be useful since the effect of a displayed diffraction grating is doubled on a beam that is reflected twice through the LC layer, thus rendering gratings with doubled phase modulation depth.

  20. Coating of tips for electrochemical scanning tunneling microscopy by means of silicon, magnesium, and tungsten oxides

    NASA Astrophysics Data System (ADS)

    Salerno, Marco

    2010-09-01

    Different combinations of metal tips and oxide coatings have been tested for possible operation in electrochemical scanning tunneling microscopy. Silicon and magnesium oxides have been thermally evaporated onto gold and platinum-iridium tips, respectively. Two different thickness values have been explored for both materials, namely, 40 and 120 nm for silicon oxide and 20 and 60 nm for magnesium oxide. Alternatively, tungsten oxide has been grown on tungsten tips via electrochemical anodization. In the latter case, to seek optimal results we have varied the pH of the anodizing electrolyte between one and four. The oxide coated tips have been first inspected by means of scanning electron microscopy equipped with microanalysis to determine the morphological results of the coating. Second, the coated tips have been electrically characterized ex situ for stability in time by means of cyclic voltammetry in 1 M aqueous KCl supporting electrolyte, both bare and supplemented with K3[Fe(CN)6] complex at 10 mM concentration in milliQ water as an analyte. Only the tungsten oxide coated tungsten tips have shown stable electrical behavior in the electrolyte. For these tips, the uncoated metal area has been estimated from the electrical current levels, and they have been successfully tested by imaging a gold grating in situ, which provided stable results for several hours. The successful tungsten oxide coating obtained at pH=4 has been assigned to the WO3 form.

  1. Coating of tips for electrochemical scanning tunneling microscopy by means of silicon, magnesium, and tungsten oxides.

    PubMed

    Salerno, Marco

    2010-09-01

    Different combinations of metal tips and oxide coatings have been tested for possible operation in electrochemical scanning tunneling microscopy. Silicon and magnesium oxides have been thermally evaporated onto gold and platinum-iridium tips, respectively. Two different thickness values have been explored for both materials, namely, 40 and 120 nm for silicon oxide and 20 and 60 nm for magnesium oxide. Alternatively, tungsten oxide has been grown on tungsten tips via electrochemical anodization. In the latter case, to seek optimal results we have varied the pH of the anodizing electrolyte between one and four. The oxide coated tips have been first inspected by means of scanning electron microscopy equipped with microanalysis to determine the morphological results of the coating. Second, the coated tips have been electrically characterized ex situ for stability in time by means of cyclic voltammetry in 1 M aqueous KCl supporting electrolyte, both bare and supplemented with K(3)[Fe(CN)(6)] complex at 10 mM concentration in milliQ water as an analyte. Only the tungsten oxide coated tungsten tips have shown stable electrical behavior in the electrolyte. For these tips, the uncoated metal area has been estimated from the electrical current levels, and they have been successfully tested by imaging a gold grating in situ, which provided stable results for several hours. The successful tungsten oxide coating obtained at pH=4 has been assigned to the WO(3) form.

  2. Production of Silicon Oxide like Thin Films by the Use of Atmospheric Plasma Torch

    NASA Astrophysics Data System (ADS)

    Ozono, E. M.; Fachini, E. R.; Silva, M. L. P.; Ruchko, L. F.; Galvão, R. M. O.

    2015-03-01

    The advantages of HMDS (hexamethyldisilazane) APT-plasma films for sensor applications were explored producing films in a three-turn copper coil APT equipment. HMDS was introduced into the argon plasma at four different conditions. Additional flux of oxygen could modulate the presence of organic components in the film, the composition varying from pure inorganic oxides to organo-silane polymers. Oxygen promoted deposition rates as high as 900 nm/min on silicon, acrylic or piezoelectric quartz crystal substrates. Films with a clustered morphology and refractive index of 1.45 were obtained, mainly due to a silicon oxide structure. Raman spectroscopy and XPS data showed the presence of CHn and amorphous carbon in the inorganic matrix. The films were sensitive to the humidity of the air. The adsorptive capabilities of outstanding films were tested in a Quartz Crystal Microbalance (QCM). The results support that those films can be a useful and simple alternative for the development of sensors.

  3. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Tuttle, Blair R.; Dhar, Sarit

    2015-06-01

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  4. Growth of High-Density Zinc Oxide Nanorods on Porous Silicon by Thermal Evaporation

    PubMed Central

    Rusli, Nurul Izni; Tanikawa, Masahiro; Mahmood, Mohamad Rusop; Yasui, Kanji; Hashim, Abdul Manaf

    2012-01-01

    The formation of high-density zinc oxide (ZnO) nanorods on porous silicon (PS) substrates at growth temperatures of 600–1000 °C by a simple thermal evaporation of zinc (Zn) powder in the presence of oxygen (O2) gas was systematically investigated. The high-density growth of ZnO nanorods with (0002) orientation over a large area was attributed to the rough surface of PS, which provides appropriate planes to promote deposition of Zn or ZnOx seeds as nucleation sites for the subsequent growth of ZnO nanorods. The geometrical morphologies of ZnO nanorods are determined by the ZnOx seed structures, i.e., cluster or layer structures. The flower-like hexagonal-faceted ZnO nanorods grown at 600 °C seem to be generated from the sparsely distributed ZnOx nanoclusters. Vertically aligned hexagonal-faceted ZnO nanorods grown at 800 °C may be inferred from the formation of dense arrays of ZnOx clusters. The formation of disordered ZnO nanorods formed at 1000 °C may due to the formation of a ZnOx seed layer. The growth mechanism involved has been described by a combination of self-catalyzed vapor-liquid-solid (VLS) and vapor-solid (VS) mechanism. The results suggest that for a more precise study on the growth of ZnO nanostructures involving the introduction of seeds, the initial seed structures must be taken into account given their significant effects.

  5. Vertically aligned silicon microwire arrays of various lengths by repeated selective vapor-liquid-solid growth of n-type silicon/n-type silicon

    NASA Astrophysics Data System (ADS)

    Ikedo, Akihito; Kawashima, Takahiro; Kawano, Takeshi; Ishida, Makoto

    2009-07-01

    Repeated vapor-liquid-solid (VLS) growth with Au and PH3-Si2H6 mixture gas as the growth catalyst and silicon source, respectively, was used to construct n-type silicon/n-type silicon wire arrays of various lengths. Silicon wires of various lengths within an array could be grown by employing second growth over the first VLS grown wire. Additionally, the junction at the interface between the first and the second wires were examined. Current-voltage measurements of the wires exhibited linear behavior with a resistance of 850 Ω, confirming nonelectrical barriers at the junction, while bending tests indicated that the mechanical properties of the wire did not change.

  6. Surface-Potential-Based Metal-Oxide-Silicon-Varactor Model for RF Applications

    NASA Astrophysics Data System (ADS)

    Miyake, Masataka; Sadachika, Norio; Navarro, Dondee; Mizukane, Yoshio; Matsumoto, Kenji; Ezaki, Tatsuya; Miura-Mattausch, Mitiko; Mattausch, Hans Juergen; Ohguro, Tatsuya; Iizuka, Takahiro; Taguchi, Masahiko; Kumashiro, Shigetaka; Miyamoto, Shunsuke

    2007-04-01

    We have developed a surface-potential-based metal-oxide-silicon (MOS)-varactor model valid for RF applications up to 200 GHz. The model enables the calculation of the MOS-varactor capacitance seamlessly from the depletion region to the accumulation region and explicitly considers the carrier-response delay causing a non-quasi-static (NQS) effect. It has been observed that capacitance reduction due to this non-quasi-static effect limits the MOS-varactor application to an RF regime.

  7. Silicon oxide based high capacity anode materials for lithium ion batteries

    DOEpatents

    Deng, Haixia; Han, Yongbong; Masarapu, Charan; Anguchamy, Yogesh Kumar; Lopez, Herman A.; Kumar, Sujeet

    2017-03-21

    Silicon oxide based materials, including composites with various electrical conductive compositions, are formulated into desirable anodes. The anodes can be effectively combined into lithium ion batteries with high capacity cathode materials. In some formulations, supplemental lithium can be used to stabilize cycling as well as to reduce effects of first cycle irreversible capacity loss. Batteries are described with surprisingly good cycling properties with good specific capacities with respect to both cathode active weights and anode active weights.

  8. Low-loss silicon-on-insulator shallow-ridge TE and TM waveguides formed using thermal oxidation.

    PubMed

    Pafchek, R; Tummidi, R; Li, J; Webster, M A; Chen, E; Koch, T L

    2009-02-10

    A thermal oxidation fabrication technique is employed to form low-loss high-index-contrast silicon shallow-ridge waveguides in silicon-on-insulator (SOI) with maximally tight vertical confinement. Drop-port responses from weakly coupled ring resonators demonstrate propagation losses below 0.36 dB/cm for TE modes. This technique is also combined with "magic width" designs mitigating severe lateral radiation leakage for TM modes to achieve propagation loss values of 0.94 dB/cm. We discuss the fabrication process utilized to form these low-loss waveguides and implications for sensor devices in particular.

  9. Silicon dioxide space coatings studied ellipsometrically

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Zhao, Yong; Hruska, Jane; Peterkin, Jane; Woollam, John A.

    1990-01-01

    Mechanisms of initial oxidation of silicon for the formation of silicon dioxide have been investigated. The oxidation of silicon in an atomic oxigen plasma environment is found to exhibit two distinct and linear oxide growth curves for each of the plasma powers used in ashing (25, 50, and 100 watts). Data obtained indicate that the exponent to the pressure in the oxide growth rate formula changes from 1.4 + or - 0.1 to 0.7 + or - 0.1 as one crosses the critical thickness. These data contradict the theory predicting that this exponent should be 1 for both regimes. The activation energy for oxidation in the zone reaction regime is found to be 0.17 eV, in contrast to the published value of 1-2 eV for thermally grown oxides.

  10. Self-aligned top-gate amorphous indium zinc oxide thin-film transistors exceeding low-temperature poly-Si transistor performance.

    PubMed

    Park, Jae Chul; Lee, Ho-Nyeon; Im, Seongil

    2013-08-14

    Thin-film transistor (TFT) is a key component of active-matrix flat-panel displays (AMFPDs). These days, the low-temperature poly silicon (LTPS) TFTs are to match with advanced AMFPDs such as the active matrix organic light-emitting diode (AMOLED) display, because of their high mobility for fast pixel switching. However, the manufacturing process of LTPS TFT is quite complicated, costly, and scale-limited. Amorphous oxide semiconductor (AOS) TFT technology is another candidate, which is as simple as that of conventioanl amorphous (a)-Si TFTs in fabrication but provides much superior device performances to those of a-Si TFTs. Hence, various AOSs have been compared with LTPS for active channel layer of the advanced TFTs, but have always been found to be relatively inferior to LTPS. In the present work, we clear the persistent inferiority, innovating the device performaces of a-IZO TFT by adopting a self-aligned coplanar top-gate structure and modifying the surface of a-IZO material. Herein, we demonstrate a high-performance simple-processed a-IZO TFT with mobility of ∼157 cm(2) V(-1) s(-1), SS of ∼190 mV dec(-1), and good bias/photostabilities, which overall surpass the performances of high-cost LTPS TFTs.

  11. Mn-silicide nanostructures aligned on massively parallel silicon nano-ribbons

    NASA Astrophysics Data System (ADS)

    De Padova, Paola; Ottaviani, Carlo; Ronci, Fabio; Colonna, Stefano; Olivieri, Bruno; Quaresima, Claudio; Cricenti, Antonio; Dávila, Maria E.; Hennies, Franz; Pietzsch, Annette; Shariati, Nina; Le Lay, Guy

    2013-01-01

    The growth of Mn nanostructures on a 1D grating of silicon nano-ribbons is investigated at atomic scale by means of scanning tunneling microscopy, low energy electron diffraction and core level photoelectron spectroscopy. The grating of silicon nano-ribbons represents an atomic scale template that can be used in a surface-driven route to control the combination of Si with Mn in the development of novel materials for spintronics devices. The Mn atoms show a preferential adsorption site on silicon atoms, forming one-dimensional nanostructures. They are parallel oriented with respect to the surface Si array, which probably predetermines the diffusion pathways of the Mn atoms during the process of nanostructure formation.

  12. Mn-silicide nanostructures aligned on massively parallel silicon nano-ribbons.

    PubMed

    De Padova, Paola; Ottaviani, Carlo; Ronci, Fabio; Colonna, Stefano; Olivieri, Bruno; Quaresima, Claudio; Cricenti, Antonio; Dávila, Maria E; Hennies, Franz; Pietzsch, Annette; Shariati, Nina; Le Lay, Guy

    2013-01-09

    The growth of Mn nanostructures on a 1D grating of silicon nano-ribbons is investigated at atomic scale by means of scanning tunneling microscopy, low energy electron diffraction and core level photoelectron spectroscopy. The grating of silicon nano-ribbons represents an atomic scale template that can be used in a surface-driven route to control the combination of Si with Mn in the development of novel materials for spintronics devices. The Mn atoms show a preferential adsorption site on silicon atoms, forming one-dimensional nanostructures. They are parallel oriented with respect to the surface Si array, which probably predetermines the diffusion pathways of the Mn atoms during the process of nanostructure formation.

  13. Organic molecules on metal and oxide semiconductor substrates: Adsorption behavior and electronic energy level alignment

    NASA Astrophysics Data System (ADS)

    Ruggieri, Charles M.

    Modern devices such as organic light emitting diodes use organic/oxide and organic/metal interfaces for crucial processes such as charge injection and charge transfer. Understanding fundamental physical processes occurring at these interfaces is essential to improving device performance. The ultimate goal of studying such interfaces is to form a predictive model of interfacial interactions, which has not yet been established. To this end, this thesis focuses on obtaining a better understanding of fundamental physical interactions governing molecular self-assembly and electronic energy level alignment at organic/metal and organic/oxide interfaces. This is accomplished by investigating both the molecular adsorption geometry using scanning tunneling microscopy, as well as the electronic structure at the interface using direct and inverse photoemission spectroscopy, and analyzing the results in the context of first principles electronic structure calculations. First, we study the adsorption geometry of zinc tetraphenylporphyrin (ZnTPP) molecules on three noble metal surfaces: Au(111), Ag(111), and Ag(100). These surfaces were chosen to systematically compare the molecular self-assembly and adsorption behavior on two metals of the same surface symmetry and two surface symmetries of one metal. From this investigation, we improve the understanding of self-assembly at organic/metal interfaces and the relative strengths of competing intermolecular and molecule-substrate interactions that influence molecular adsorption geometry. We then investigate the electronic structure of the ZnTPP/Au(111), Ag(111), and Ag(100) interfaces as examples of weakly-interacting systems. We compare these cases to ZnTPP on TiO2(110), a wide-bandgap oxide semiconductor, and explain the intermolecular and molecule-substrate interactions that determine the electronic energy level alignment at the interface. Finally we study tetracyanoquinodimethane (TCNQ), a strong electron acceptor, on TiO2

  14. Electrodeposition of molten silicon

    DOEpatents

    De Mattei, Robert C.; Elwell, Dennis; Feigelson, Robert S.

    1981-01-01

    Silicon dioxide is dissolved in a molten electrolytic bath, preferably comprising barium oxide and barium fluoride. A direct current is passed between an anode and a cathode in the bath to reduce the dissolved silicon dioxide to non-alloyed silicon in molten form, which is removed from the bath.

  15. Hot-pressed silicon nitride with various lanthanide oxides as sintering additives

    NASA Technical Reports Server (NTRS)

    Ueno, K.; Toibana, Y.

    1984-01-01

    The effects of addition of various lanthanide oxides and their mixture with Y2O3 on the sintering of Si3N4 were investigated. The addition of simple and mixed lanthanide oxides promoted the densification of Si3N4 in hot-pressing at 1800 C under 300-400kg/ centimeters squared for 60 min. The crystallization of yttrium and lanthanide-silicon oxynitrides which was observed inn the sintered body containing yttrium-lanthanide mixed oxides as additives led to the formation of a highly refractory Si3N4 ceramic having a bending strength of 82 and 84 kg/millimeters squared at room temperature and 1300 C respectively. In a Y2O3+La2O3 system, a higher molar ratio of La2O3 to Y2O3 gave a higher hardness and strength at high temperatures. It was found that 90 min was an optimum sintering time for the highest strength.

  16. Alignment of SWNTs by protein-ligand interaction of functionalized magnetic particles under low magnetic fields.

    PubMed

    Park, Tae Jung; Park, Jong Pil; Lee, Seok Jae; Jung, Dae-Hwan; Ko, Young Koan; Jung, Hee-Tae; Lee, Sang Yup

    2011-05-01

    Carbon nanotubes (CNTs) have attracted considerable attention for applications using their superior mechanical, thermal and electrical properties. A simple method to controllably align single-walled CNTs (SWNTs) by using magnetic particles embedded with superparamagnetic iron oxide as an accelerator under the magnetic field was developed. The functionalization of SWNTs using biotin, interacted with streptavidin-coupled magnetic particles (micro-to-nano in diameter), and layer-by-layer assembly were performed for the alignment of a particular direction onto the clean silicon and the gold substrate at very low magnetic forces (0.02-0.89 T) at room temperature. The successful alignment of the SWNTs with multi-layer film was observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). By changing the orientation and location of the substrates, crossed-networks of SWNTs-magnetic particle complex could easily be fabricated. We suggest that this approach, which consists of a combination of biological interaction among streptavidin-biotin and magnetite particles, should be useful for lateral orientation of individual SWNTs with controllable direction.

  17. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  18. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    NASA Astrophysics Data System (ADS)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  19. Method for one-to-one polishing of silicon nitride and silicon oxide

    NASA Technical Reports Server (NTRS)

    Babu, Suryadevara V. (Inventor); Natarajan, Anita (Inventor)

    2009-01-01

    The present invention provides a method of removing silicon nitride at about the same removal rate as silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and additives that modulate the silicon dioxide and silicon nitride removal rates such that they are about the same. In one embodiment of the invention, the additive is lysine or lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8. In another embodiment of the invention, the additive is arginine in combination with picolinic acid, which is effective at a pH of about 10.

  20. Silicon photonics and challenges for fabrication

    NASA Astrophysics Data System (ADS)

    Feilchenfeld, N. B.; Nummy, K.; Barwicz, T.; Gill, D.; Kiewra, E.; Leidy, R.; Orcutt, J. S.; Rosenberg, J.; Stricker, A. D.; Whiting, C.; Ayala, J.; Cucci, B.; Dang, D.; Doan, T.; Ghosal, M.; Khater, M.; McLean, K.; Porth, B.; Sowinski, Z.; Willets, C.; Xiong, C.; Yu, C.; Yum, S.; Giewont, K.; Green, W. M. J.

    2017-03-01

    Silicon photonics is rapidly becoming the key enabler for meeting the future data speed and volume required by the Internet of Things. A stable manufacturing process is needed to deliver cost and yield expectations to the technology marketplace. We present the key challenges and technical results from both 200mm and 300mm facilities for a silicon photonics fabrication process which includes monolithic integration with CMOS. This includes waveguide patterning, optical proximity correction for photonic devices, silicon thickness uniformity and thick material patterning for passive fiber to waveguide alignment. The device and process metrics show that the transfer of the silicon photonics process from 200mm to 300mm will provide a stable high volume manufacturing platform for silicon photonics designs.

  1. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    DOEpatents

    Tour, James M.; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2015-09-08

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the gap region between the first electrical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  2. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    DOEpatents

    Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2013-11-26

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  3. Silicon-on-Insulator Pin Diodes.

    DTIC Science & Technology

    1987-12-01

    Thin (0.5 Micron) Silicon-on-Oxidized Silicon Fig. 2.8 SEM Photographs of CVD Silicon Dioxide on Aluminum 28 After 1500 0 C Anneal in Oxygen...silicon nitride over the silicon dioxide encapsu- -9- lation layer and by depositing the silicon dioxide with a plasma CVD process which uses N20 as...relief via thermal expansion matching varies lin- -27- A B Figure 2.8: SEM Photographs of CVD Silicon Dioxide on Aluminum after 15000 C Anneal in Oxygen

  4. Control of grown-in defects and oxygen precipitates in silicon wafers with DZ-IG structure by ultrahigh-temperature rapid thermal oxidation

    NASA Astrophysics Data System (ADS)

    Maeda, Susumu; Sudo, Haruo; Okamura, Hideyuki; Nakamura, Kozo; Sueoka, Koji; Izunome, Koji

    2018-04-01

    A new control technique for achieving compatibility between crystal quality and gettering ability for heavy metal impurities was demonstrated for a nitrogen-doped Czochralski silicon wafer with a diameter of 300 mm via ultra-high temperature rapid thermal oxidation (UHT-RTO) processing. We have found that the DZ-IG structure with surface denuded zone and the wafer bulk with dense oxygen precipitates were formed by the control of vacancies in UHT-RTO process at temperature exceeding 1300 °C. It was also confirmed that most of the void defects were annihilated from the sub-surface of the wafer due to the interstitial Si atoms that were generated at the SiO2/Si interface. These results indicated that vacancies corresponded to dominant species, despite numerous interstitial silicon injections. We have explained these prominent features by the degree of super-saturation for the interstitial silicon due to oxidation and the precise thermal properties of the vacancy and interstitial silicon.

  5. Very high-cycle fatigue failure in micron-scale polycrystalline silicon films: Effects of environment and surface oxide thickness

    NASA Astrophysics Data System (ADS)

    Alsem, D. H.; Timmerman, R.; Boyce, B. L.; Stach, E. A.; De Hosson, J. Th. M.; Ritchie, R. O.

    2007-01-01

    Fatigue failure in micron-scale polycrystalline silicon structural films, a phenomenon that is not observed in bulk silicon, can severely impact the durability and reliability of microelectromechanical system devices. Despite several studies on the very high-cycle fatigue behavior of these films (up to 1012cycles), there is still an on-going debate on the precise mechanisms involved. We show here that for devices fabricated in the multiuser microelectromechanical system process (MUMPs) foundry and Sandia Ultra-planar, Multi-level MEMS Technology (SUMMiT V™) process and tested under equi-tension/compression loading at ˜40kHz in different environments, stress-lifetime data exhibit similar trends in fatigue behavior in ambient room air, shorter lifetimes in higher relative humidity environments, and no fatigue failure at all in high vacuum. The transmission electron microscopy of the surface oxides in the test samples shows a four- to sixfold thickening of the surface oxide at stress concentrations after fatigue failure, but no thickening after overload fracture in air or after fatigue cycling in vacuo. We find that such oxide thickening and premature fatigue failure (in air) occur in devices with initial oxide thicknesses of ˜4nm (SUMMiT V™) as well as in devices with much thicker initial oxides ˜20nm (MUMPs). Such results are interpreted and explained by a reaction-layer fatigue mechanism. Specifically, moisture-assisted subcritical cracking within a cyclic stress-assisted thickened oxide layer occurs until the crack reaches a critical size to cause catastrophic failure of the entire device. The entirety of the evidence presented here strongly indicates that the reaction-layer fatigue mechanism is the governing mechanism for fatigue failure in micron-scale polycrystalline silicon thin films.

  6. Roll up nanowire battery from silicon chips

    PubMed Central

    Vlad, Alexandru; Reddy, Arava Leela Mohana; Ajayan, Anakha; Singh, Neelam; Gohy, Jean-François; Melinte, Sorin; Ajayan, Pulickel M.

    2012-01-01

    Here we report an approach to roll out Li-ion battery components from silicon chips by a continuous and repeatable etch-infiltrate-peel cycle. Vertically aligned silicon nanowires etched from recycled silicon wafers are captured in a polymer matrix that operates as Li+ gel-electrolyte and electrode separator and peeled off to make multiple battery devices out of a single wafer. Porous, electrically interconnected copper nanoshells are conformally deposited around the silicon nanowires to stabilize the electrodes over extended cycles and provide efficient current collection. Using the above developed process we demonstrate an operational full cell 3.4 V lithium-polymer silicon nanowire (LIPOSIL) battery which is mechanically flexible and scalable to large dimensions. PMID:22949696

  7. Multimode Silicon Nanowire Transistors

    PubMed Central

    2014-01-01

    The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 104 is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 107 whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport. PMID:25303290

  8. Composition, process, and apparatus, for removal of water and silicon mu-oxides from chlorosilanes

    DOEpatents

    Tom, Glenn M.; McManus, James V.

    1991-10-15

    A scavenger composition having utility for removal of water and silicon mu-oxide impurities from chlorosilanes, such scavenger composition comprising: (a) a support; and (b) associated with the support, one or more compound(s) selected from the group consisting of compounds of the formula: R.sub.a-x MCl.sub.x wherein: M is a metal selected from the group consisting of the monovalent metals lithium, sodium, and potassium; the divalent metals magnesium, strontium, barium, and calcium; and the trivalent metal aluminum; R is alkyl; a is a number equal to the valency of metal M; and x is a number having a value from 0 to a, inclusive; and wherein said compound(s) of the formula R.sub.a-x MCl.sub.x have been activated for impurity-removal service by a reaction scheme selected from those of the group consisting of: (i) reaction of such compound(s) with hydrogen chloride to form a first reaction product therefrom, followed by reaction of the first reaction product with a chlorosilane of the formula: SiH.sub.4"y Cl.sub.y, wherein y is a number having a value of from 1 to 3, inclusive; and (ii) reaction of such compound(s) with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y wherein y is a number having a value of 1 to 3, inclusive. A corresponding method of making the scavenger composition, and of purifying a chlorosilane which contains oxygen and silicon mu-oxide impurities, likewise are disclosed, together with a purifier apparatus, in which a bed of the scavenger composition is disposed. The composition, purification process, and purifier apparatus of the invention have utility in purifying gaseous chlorosilanes which are employed in the semiconductor industry as silicon source reagents for forming epitaxial silicon layers.

  9. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  10. Oxidative stress in bacteria (Pseudomonas putida) exposed to nanostructures of silicon carbide.

    PubMed

    Borkowski, Andrzej; Szala, Mateusz; Kowalczyk, Paweł; Cłapa, Tomasz; Narożna, Dorota; Selwet, Marek

    2015-09-01

    Silicon carbide (SiC) nanostructures produced by combustion synthesis can cause oxidative stress in the bacterium Pseudomonas putida. The results of this study showed that SiC nanostructures damaged the cell membrane, which can lead to oxidative stress in living cells and to the loss of cell viability. As a reference, micrometric SiC was also used, which did not exhibit toxicity toward cells. Oxidative stress was studied by analyzing the activity of peroxidases, and the expression of the glucose-6-phosphate dehydrogenase gene (zwf1) using real-time PCR and northern blot techniques. Damage to nucleic acid was studied by isolating and hydrolyzing plasmids with the formamidopyrimidine [fapy]-DNA glycosylase (also known as 8-oxoguanine DNA glycosylase) (Fpg), which is able to detect damaged DNA. The level of viable microbial cells was investigated by propidium iodide and acridine orange staining. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Heat- and electron-beam-induced transport of gold particles into silicon oxide and silicon studied by in situ high-resolution transmission electron microscopy.

    PubMed

    Biskupek, Johannes; Kaiser, Ute; Falk, Fritz

    2008-06-01

    In this study, we describe the transport of gold (Au) nanoparticles from the surface into crystalline silicon (Si) covered by silicon oxide (SiO(2)) as revealed by in situ high-resolution transmission electron microscopy. Complete crystalline Au nanoparticles sink through the SiO(2) layer into the Si substrate when high-dose electron irradiation is applied and temperature is raised above 150 degrees C. Above temperatures of 250 degrees C, the Au nanoparticles finally dissolve into fragments accompanied by crystallization of the amorphized Si substrate around these fragments. The transport process is explained by a wetting process followed by Stokes motion. Modelling this process yields boundaries for the interface energies involved.

  12. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  13. Non-rigid alignment in electron tomography in materials science.

    PubMed

    Printemps, Tony; Bernier, Nicolas; Bleuet, Pierre; Mula, Guido; Hervé, Lionel

    2016-09-01

    Electron tomography is a key technique that enables the visualization of an object in three dimensions with a resolution of about a nanometre. High-quality 3D reconstruction is possible thanks to the latest compressed sensing algorithms and/or better alignment and preprocessing of the 2D projections. Rigid alignment of 2D projections is routine in electron tomography. However, it cannot correct misalignments induced by (i) deformations of the sample due to radiation damage or (ii) drifting of the sample during the acquisition of an image in scanning transmission electron microscope mode. In both cases, those misalignments can give rise to artefacts in the reconstruction. We propose a simple-to-implement non-rigid alignment technique to correct those artefacts. This technique is particularly suited for needle-shaped samples in materials science. It is initiated by a rigid alignment of the projections and it is then followed by several rigid alignments of different parts of the projections. Piecewise linear deformations are applied to each projection to force them to simultaneously satisfy the rigid alignments of the different parts. The efficiency of this technique is demonstrated on three samples, an intermetallic sample with deformation misalignments due to a high electron dose typical to spectroscopic electron tomography, a porous silicon sample with an extremely thin end particularly sensitive to electron beam and another porous silicon sample that was drifting during image acquisitions. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  14. Impact of Silicon Nanocrystal Oxidation on the Nonmetallic Growth of Carbon Nanotubes.

    PubMed

    Rocks, Conor; Mitra, Somak; Macias-Montero, Manuel; Maguire, Paul; Svrcek, Vladimir; Levchenko, Igor; Ostrikov, Kostya; Mariotti, Davide

    2016-07-27

    Carbon nanotube (CNT) growth has been demonstrated recently using a number of nonmetallic semiconducting and metal oxide nanoparticles, opening up pathways for direct CNT synthesis from a number of more desirable templates without the need for metallic catalysts. However, CNT growth mechanisms using these nonconventional catalysts has been shown to largely differ and reamins a challenging synthesis route. In this contribution we show CNT growth from partially oxidized silicon nanocrystals (Si NCs) that exhibit quantum confinement effects using a microwave plasma enhanced chemical vapor deposition (PECVD) method. On the basis of solvent and a postsynthesis frgamentation process, we show that oxidation of our Si NCs can be easily controlled. We determine experimentally and explain with theoretical simulations that the Si NCs morphology together with a necessary shell oxide of ∼1 nm is vital to allow for the nonmetallic growth of CNTs. On the basis of chemical analysis post-CNT-growth, we give insight into possible mechanisms for CNT nucleation and growth from our partially oxidized Si NCs. This contribution is of significant importance to the improvement of nonmetallic catalysts for CNT growth and the development of Si NC/CNT interfaces.

  15. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content.more » The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.« less

  16. Silicon improves seed germination and alleviates oxidative stress of bud seedlings in tomato under water deficit stress.

    PubMed

    Shi, Yu; Zhang, Yi; Yao, Hejin; Wu, Jiawen; Sun, Hao; Gong, Haijun

    2014-05-01

    The beneficial effects of silicon on plant growth and development under drought have been widely reported. However, little information is available on the effects of silicon on seed germination under drought. In this work, the effects of exogenous silicon (0.5 mM) on the seed germination and tolerance performance of tomato (Solanum lycopersicum L.) bud seedlings under water deficit stress simulated by 10% (w/v) polyethylene glycol (PEG-6000) were investigated in four cultivars ('Jinpengchaoguan', 'Zhongza No.9', 'Houpi L402' and 'Oubao318'). The results showed that the seed germination percentage was notably decreased in the four cultivars under water stress, and it was significantly improved by added silicon. Compared with the non-silicon treatment, silicon addition increased the activities of superoxide dismutase (SOD) and catalase (CAT), and decreased the production of superoxide anion (O2·) and hydrogen peroxide (H2O2) in the radicles of bud seedlings under water stress. Addition of silicon decreased the total phenol concentrations in radicles under water stress, which might contribute to the decrease of peroxidase (POD) activity, as observed in the in vivo and in vitro experiments. The decrease of POD activity might contribute to a less accumulation of hydroxyl radical (·OH) under water stress. Silicon addition also decreased the concentrations of malondialdehyde (MDA) in the radicles under stress, indicating decreased lipid peroxidation. These results suggest that exogenous silicon could improve seed germination and alleviate oxidative stress to bud seedling of tomato by enhancing antioxidant defense. The positive effects of silicon observed in a silicon-excluder also suggest the active involvement of silicon in biochemical processes in plants. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  17. Fabrication, strength and oxidation of molybdenum-silicon-boron alloys from reaction synthesis

    NASA Astrophysics Data System (ADS)

    Middlemas, Michael Robert

    Mo-Si-B alloys are a leading candidate for the next generation of jet turbine engine blades and have the potential to raise the operating temperatures by 300-400°C, which would dramatically increase power and efficiency. The alloys of interest are a three-phase mixture of the molybdenum solid solution (Moss) and two intermetallic phases, Mo3Si (A15) and Mo5SiB2 (T2). A novel powder metallurgical method was developed which uses the reaction of molybdenum, silicon nitride (Si3N4) and boron nitride (BN) powders to synthesize a fine dispersion of the intermetallic phases in a Moss matrix. The covalent nitrides are stable in oxidizing environments up to 1000ºC, allowing for fine particle processing without the formation of silicon and boron oxides. The process developed uses standard powder processing techniques to create Mo-Si-B alloys in a less complex and expensive manner than previously demonstrated. The formation of the intermetallic phases was examined by thermo-gravimetric analysis and x-ray diffraction. The start of the reactions to form the T2 and A15 phases were observed at 1140°C and 1193°C and the reactions have been demonstrated to be complete in as little as two hours at 1300°C. This powder metallurgy approach yields a fine dispersion of intermetallics in the Moss matrix, with average grain sizes of 2-4mum. Densities up to 95% of theoretical were attained from pressureless sintering at 1600°C and full theoretical density was achieved by hot-isostatic pressing (HIP). Low temperature sintering and HIPing was attempted to limit grain growth and to reduce the equilibrium silicon concentration in the Moss matrix. Sintering and HIPing at 1300°C reduced the grain sizes of all three phases by over a factor of two. Powder metallurgy provides an opportunity for microstructure control through changes in raw materials and processing parameters. Microstructure examination by electron back-scatter diffraction (EBSD) imaging was used to precisely define the

  18. Epitaxial ferromagnetic oxide thin films on silicon with atomically sharp interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coux, P. de; CEMES-CNRS, 29 rue Jeanne Marvig, BP 94347, Toulouse Cedex 4; Bachelet, R.

    A bottleneck in the integration of functional oxides with silicon, either directly grown or using a buffer, is the usual formation of an amorphous interfacial layer. Here, we demonstrate that ferromagnetic CoFe{sub 2}O{sub 4} films can be grown epitaxially on Si(111) using a Y{sub 2}O{sub 3} buffer layer, and remarkably the Y{sub 2}O{sub 3}/Si(111) interface is stable and remains atomically sharp. CoFe{sub 2}O{sub 4} films present high crystal quality and high saturation magnetization.

  19. Silicon photonics: some remaining challenges

    NASA Astrophysics Data System (ADS)

    Reed, G. T.; Topley, R.; Khokhar, A. Z.; Thompson, D. J.; Stanković, S.; Reynolds, S.; Chen, X.; Soper, N.; Mitchell, C. J.; Hu, Y.; Shen, L.; Martinez-Jimenez, G.; Healy, N.; Mailis, S.; Peacock, A. C.; Nedeljkovic, M.; Gardes, F. Y.; Soler Penades, J.; Alonso-Ramos, C.; Ortega-Monux, A.; Wanguemert-Perez, G.; Molina-Fernandez, I.; Cheben, P.; Mashanovich, G. Z.

    2016-03-01

    This paper discusses some of the remaining challenges for silicon photonics, and how we at Southampton University have approached some of them. Despite phenomenal advances in the field of Silicon Photonics, there are a number of areas that still require development. For short to medium reach applications, there is a need to improve the power consumption of photonic circuits such that inter-chip, and perhaps intra-chip applications are viable. This means that yet smaller devices are required as well as thermally stable devices, and multiple wavelength channels. In turn this demands smaller, more efficient modulators, athermal circuits, and improved wavelength division multiplexers. The debate continues as to whether on-chip lasers are necessary for all applications, but an efficient low cost laser would benefit many applications. Multi-layer photonics offers the possibility of increasing the complexity and effectiveness of a given area of chip real estate, but it is a demanding challenge. Low cost packaging (in particular, passive alignment of fibre to waveguide), and effective wafer scale testing strategies, are also essential for mass market applications. Whilst solutions to these challenges would enhance most applications, a derivative technology is emerging, that of Mid Infra-Red (MIR) silicon photonics. This field will build on existing developments, but will require key enhancements to facilitate functionality at longer wavelengths. In common with mainstream silicon photonics, significant developments have been made, but there is still much left to do. Here we summarise some of our recent work towards wafer scale testing, passive alignment, multiplexing, and MIR silicon photonics technology.

  20. Encapsulation of Au Nanoparticles on a Silicon Wafer During Thermal Oxidation

    PubMed Central

    2013-01-01

    We report the behavior of Au nanoparticles anchored onto a Si(111) substrate and the evolution of the combined structure with annealing and oxidation. Au nanoparticles, formed by annealing a Au film, appear to “float” upon a growing layer of SiO2 during oxidation at high temperature, yet they also tend to become partially encapsulated by the growing silica layers. It is proposed that this occurs largely because of the differential growth rates of the silica layer on the silicon substrate between the particles and below the particles due to limited access of oxygen to the latter. This in turn is due to a combination of blockage of oxygen adsorption by the Au and limited oxygen diffusion under the gold. We think that such behavior is likely to be seen for other metal–semiconductor systems. PMID:24163715

  1. Surface and Interface Chemistry for Gate Stacks on Silicon

    NASA Astrophysics Data System (ADS)

    Frank, M. M.; Chabal, Y. J.

    This chapter addresses the fundamental silicon surface science associated with the continued progress of nanoelectronics along the path prescribed by Moore's law. Focus is on hydrogen passivation layers and on ultrathin oxide films encountered during silicon cleaning and gate stack formation in the fabrication of metal-oxide-semiconductor field-effect transistors (MOSFETs). Three main topics are addressed. (i) First, the current practices and understanding of silicon cleaning in aqueous solutions are reviewed, including oxidizing chemistries and cleans leading to a hydrogen passivation layer. The dependence of the final surface termination and morphology/roughness on reactant choice and pH and the influence of impurities such as dissolved oxygen or metal ions are discussed. (ii) Next, the stability of hydrogen-terminated silicon in oxidizing liquid and gas phase environments is considered. In particular, the remarkable stability of hydrogen-terminated silicon surface in pure water vapor is discussed in the context of atomic layer deposition (ALD) of high-permittivity (high-k) gate dielectrics where water is often used as an oxygen precursor. Evidence is also provided for co-operative action between oxygen and water vapor that accelerates surface oxidation in humid air. (iii) Finally, the fabrication of hafnium-, zirconium- and aluminum-based high-k gate stacks is described, focusing on the continued importance of the silicon/silicon oxide interface. This includes a review of silicon surface preparation by wet or gas phase processing and its impact on high-k nucleation during ALD growth, and the consideration of gate stack capacitance and carrier mobility. In conclusion, two issues are highlighted: the impact of oxygen vacancies on the electrical characteristics of high-k MOS devices, and the way alloyed metal ions (such as Al in Hf-based gate stacks) in contact with the interfacial silicon oxide layer can be used to control flatband and threshold voltages.

  2. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    NASA Astrophysics Data System (ADS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  3. Laser-driven coating of vertically aligned carbon nanotubes with manganese oxide from metal organic precursors for energy storage.

    PubMed

    Pérez Del Pino, A; György, E; Alshaikh, I; Pantoja-Suárez, F; Andújar, J L; Pascual, E; Amade, R; Bertran-Serra, E

    2017-09-29

    Carbon nanotubes-transition metal oxide systems are intensively studied due to their excellent properties for electrochemical applications. In this work, an innovative procedure is developed for the synthesis of vertically aligned multi-walled carbon nanotubes (VACNTs) coated with transition metal oxide nanostructures. VACNTs are grown by plasma enhanced chemical vapor deposition and coated with a manganese-based metal organic precursor (MOP) film based on manganese acetate solution. Subsequent UV pulsed laser irradiation induces the effective heating-decomposition of the MOP leading to the crystallization of manganese oxide nanostructures on the VACNT surface. The study of the morphology, structure and composition of the synthesized materials shows the formation of randomly oriented MnO 2 crystals, with few nanometers in size, and to their alignment in hundreds of nm long filament-like structures, parallel to the CNT's long axis. Electrochemical measurements reveal a significant increase of the specific capacitance of the MnO 2 -VACNT system (100 F g -1 ) as compared to the initial VACNT one (21 F g -1 ).

  4. Laser-driven coating of vertically aligned carbon nanotubes with manganese oxide from metal organic precursors for energy storage

    NASA Astrophysics Data System (ADS)

    Pérez del Pino, A.; György, E.; Alshaikh, I.; Pantoja-Suárez, F.; Andújar, J. L.; Pascual, E.; Amade, R.; Bertran-Serra, E.

    2017-09-01

    Carbon nanotubes-transition metal oxide systems are intensively studied due to their excellent properties for electrochemical applications. In this work, an innovative procedure is developed for the synthesis of vertically aligned multi-walled carbon nanotubes (VACNTs) coated with transition metal oxide nanostructures. VACNTs are grown by plasma enhanced chemical vapor deposition and coated with a manganese-based metal organic precursor (MOP) film based on manganese acetate solution. Subsequent UV pulsed laser irradiation induces the effective heating-decomposition of the MOP leading to the crystallization of manganese oxide nanostructures on the VACNT surface. The study of the morphology, structure and composition of the synthesized materials shows the formation of randomly oriented MnO2 crystals, with few nanometers in size, and to their alignment in hundreds of nm long filament-like structures, parallel to the CNT’s long axis. Electrochemical measurements reveal a significant increase of the specific capacitance of the MnO2-VACNT system (100 F g-1) as compared to the initial VACNT one (21 F g-1).

  5. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  6. Spike-Timing Dependent Plasticity in Unipolar Silicon Oxide RRAM Devices

    PubMed Central

    Zarudnyi, Konstantin; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Hudziak, Stephen; Kenyon, Anthony J.

    2018-01-01

    Resistance switching, or Resistive RAM (RRAM) devices show considerable potential for application in hardware spiking neural networks (neuro-inspired computing) by mimicking some of the behavior of biological synapses, and hence enabling non-von Neumann computer architectures. Spike-timing dependent plasticity (STDP) is one such behavior, and one example of several classes of plasticity that are being examined with the aim of finding suitable algorithms for application in many computing tasks such as coincidence detection, classification and image recognition. In previous work we have demonstrated that the neuromorphic capabilities of silicon-rich silicon oxide (SiOx) resistance switching devices extend beyond plasticity to include thresholding, spiking, and integration. We previously demonstrated such behaviors in devices operated in the unipolar mode, opening up the question of whether we could add plasticity to the list of features exhibited by our devices. Here we demonstrate clear STDP in unipolar devices. Significantly, we show that the response of our devices is broadly similar to that of biological synapses. This work further reinforces the potential of simple two-terminal RRAM devices to mimic neuronal functionality in hardware spiking neural networks. PMID:29472837

  7. Micro benchtop optics by bulk silicon micromachining

    DOEpatents

    Lee, Abraham P.; Pocha, Michael D.; McConaghy, Charles F.; Deri, Robert J.

    2000-01-01

    Micromachining of bulk silicon utilizing the parallel etching characteristics of bulk silicon and integrating the parallel etch planes of silicon with silicon wafer bonding and impurity doping, enables the fabrication of on-chip optics with in situ aligned etched grooves for optical fibers, micro-lenses, photodiodes, and laser diodes. Other optical components that can be microfabricated and integrated include semi-transparent beam splitters, micro-optical scanners, pinholes, optical gratings, micro-optical filters, etc. Micromachining of bulk silicon utilizing the parallel etching characteristics thereof can be utilized to develop miniaturization of bio-instrumentation such as wavelength monitoring by fluorescence spectrometers, and other miniaturized optical systems such as Fabry-Perot interferometry for filtering of wavelengths, tunable cavity lasers, micro-holography modules, and wavelength splitters for optical communication systems.

  8. Effect of fabrication parameters on morphological and optical properties of highly doped p-porous silicon

    NASA Astrophysics Data System (ADS)

    Zare, Maryam; Shokrollahi, Abbas; Seraji, Faramarz E.

    2011-09-01

    Porous silicon (PS) layers were fabricated by anodization of low resistive (highly doped) p-type silicon in HF/ethanol solution, by varying current density, etching time and HF concentration. Atomic force microscopy (AFM) and field emission scanning electron microscope (FESEM) analyses were used to investigate the physical properties and reflection spectrum was used to investigate the optical behavior of PS layers in different fabrication conditions. Vertically aligned mesoporous morphology is observed in fabricated films and with HF concentration higher than 20%. The dependence of porosity, layer thickness and rms roughness of the PS layer on current density, etching time and composition of electrolyte is also observed in obtained results. Correlation between reflectivity and fabrication parameters was also explored. Thermal oxidation was performed on some mesoporous layers that resulted in changes of surface roughness, mean height and reflectivity of the layers.

  9. Study on ablation behavior of silicone rubber based insulation material under the condition of boron oxide particles erosion

    NASA Astrophysics Data System (ADS)

    Zha, B. L.; Shi, Y. A.; Wang, J. J.; Su, Q. D.

    2018-01-01

    Self-designed oxygen-kerosene ablation system was employed to study the ablation characteristics of silicone rubber based thermal insulation materials under the condition of boron oxide particles erosion. The ablation test was designed with a mass fraction of 1.69% boron oxide particles and particles-free, the microstructure and elemental analysis of the specimens before and after ablation were carried out by Scanning Electron Microscopy (SEM) and Energy Dispersion Spectrum (EDS). Experiment results show that the average mass ablation rate of the materials was 0.0099 g•s-1 and the average ablation rate was -0.025 mm•s-1 under the condition of pure gas phase ablation; and the average mass ablation rate of the multiphase ablation test group was 0.1775 g•s-1, whose average ablation rate was 0.437 mm•s-1 during the ablation process, the boron oxide particles would adhere a molten layer on the flame contact surface of the specimen, which covering the pores on the material surface, blocking the infiltration channel for the oxidizing component and slowing down the oxidation loss rate of the material below the surface, but because the particles erosion was the main reason for material depletion, the combined effect of the above both led to the upward material ablation rates of Silicone Rubber.

  10. Raman Spectra of High-κ Dielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide

    PubMed Central

    Borowicz, P.; Taube, A.; Rzodkiewicz, W.; Latek, M.; Gierałtowska, S.

    2013-01-01

    Three samples with dielectric layers from high-κ dielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κ dielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded for as-deposited hafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide. PMID:24072982

  11. Self-Assembled Epitaxial Au–Oxide Vertically Aligned Nanocomposites for Nanoscale Metamaterials

    DOE PAGES

    Li, Leigang; Sun, Liuyang; Gomez-Diaz, Juan Sebastian; ...

    2016-05-17

    Metamaterials made of nanoscale inclusions or artificial unit cells exhibit exotic optical properties that do not exist in natural materials. Promising applications, such as super-resolution imaging, cloaking, hyperbolic propagation, and ultrafast phase velocities have been demonstrated based on mostly micrometer-scale metamaterials and few nanoscale metamaterials. To date, most metamaterials are created using costly and tedious fabrication techniques with limited paths toward reliable large-scale fabrication. In this work, we demonstrate the one-step direct growth of self-assembled epitaxial metal–oxide nanocomposites as a drastically different approach to fabricating large-area nanostructured metamaterials. Using pulsed laser deposition, we fabricated nanocomposite films with vertically aligned goldmore » (Au) nanopillars (~20 nm in diameter) embedded in various oxide matrices with high epitaxial quality. Strong, broad absorption features in the measured absorbance spectrum are clear signatures of plasmon resonances of Au nanopillars. By tuning their densities on selected substrates, anisotropic optical properties are demonstrated via angular dependent and polarization resolved reflectivity measurements and reproduced by full-wave simulations and effective medium theory. Our model predicts exotic properties, such as zero permittivity responses and topological transitions. In conclusion, our studies suggest that these self-assembled metal–oxide nanostructures provide an exciting new material platform to control and enhance optical response at nanometer scales.« less

  12. Process for producing silicon

    DOEpatents

    Olson, J.M.; Carleton, K.L.

    1982-06-10

    A process of producing silicon includes forming an alloy of copper and silicon and positioning the alloy in a dried, molten salt electrolyte to form a solid anode structure therein. An electrically conductive cathode is placed in the electrolyte for plating silicon thereon. The electrolyte is then purified to remove dissolved oxides. Finally, an electrical potential is applied between the anode and cathode in an amount sufficient to form substantially pure silicon on the cathode in the form of substantially dense, coherent deposits.

  13. Process for producing silicon

    DOEpatents

    Olson, Jerry M.; Carleton, Karen L.

    1984-01-01

    A process for producing silicon includes forming an alloy of copper and silicon and positioning the alloy in a dried, molten salt electrolyte to form a solid anode structure therein. An electrically conductive cathode is placed in the electrolyte for plating silicon thereon. The electrolyte is then purified to remove dissolved oxides. Finally, an electrical potential is applied between the anode and cathode in an amount sufficient to form substantially pure silicon on the cathode in the form of substantially dense, coherent deposits.

  14. Alignment of the Pixel and SCT Modules for the 2004 ATLAS Combined Test Beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    ATLAS Collaboration; Ahmad, A.; Andreazza, A.

    2008-06-02

    A small set of final prototypes of the ATLAS Inner Detector silicon tracking system(Pixel Detector and SemiConductor Tracker), were used to take data during the 2004 Combined Test Beam. Data were collected from runs with beams of different flavour (electrons, pions, muons and photons) with a momentum range of 2 to 180 GeV/c. Four independent methods were used to align the silicon modules. The corrections obtained were validated using the known momenta of the beam particles and were shown to yield consistent results among the different alignment approaches. From the residual distributions, it is concluded that the precision attained inmore » the alignmentof the silicon modules is of the order of 5 mm in their most precise coordinate.« less

  15. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  16. Tension-Compression Fatigue of an Oxide/Oxide Ceramic Matrix Composite at Elevated Temperature in Air and Steam Environments

    DTIC Science & Technology

    2015-03-26

    method has been successfully used with several materials such as silicon carbide fiber - silicon carbide matrix ( SiC / SiC ) CMCs with carbon and boron...elements [14]. These advanced ceramics include oxides, nitrides and carbides of silicon , aluminum, titanium, and zirconium [12]. One of the most...oxides over silicon carbide and other non-oxide materials. In fact, it is the inherent stability of oxides in oxidizing environments which originally

  17. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    NASA Astrophysics Data System (ADS)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  18. Sun sensor boresight alignment testing for the Halogen Occultation Experiment

    NASA Technical Reports Server (NTRS)

    Moore, A. S.; Laney, V. S.; Mauldin, L. E., III

    1987-01-01

    The boresight alignment testing for the sun sensor assembly on the Halogen Occultation Experiment (HALOE) is described. The sun sensor assembly consists of three sensors that provide feedback signals for controlling dual axes gimbals. Two energy balancing silicon detectors are operated as wideband sensors in the azimuth and elevation axes. The third sensor is a silicon photodiode array operated as a narrow-band sensor in the elevation axis. These sensors are mounted on a common Invar structure which is mounted to the HALOE telescope. A blackbody was used as the stimulating source to perform the initial boresight alignment and this was checked with a heliostat solar look and a direct solar look. These tests are explained with a comparison between each source used.

  19. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down tomore » the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.« less

  20. Silicon-based microfabricated tin oxide gas sensor incorporating use of Hall effect measurement

    NASA Astrophysics Data System (ADS)

    Hammond, Joseph Wilson

    2000-10-01

    Characterization of a microfabricated sol-gel derived nano-particle tin oxide thin film on a silicon substrate, through simultaneous measurement of conductivity, Hall mobility and electron density, had not been accomplished before this study. Conductivity is a function of carrier density and Hall mobility. Therefore, a full understanding of the sensing mechanism of tin oxide requires knowledge of the sensor conductivity, electron density and Hall mobility. A tin oxide thin film (1100A thick), derived by the sol-gel method, was deposited on a Si/SiO2 substrate by means of spin coating method. The sol-gel method produces films of porous interconnected nano-sized particles and is relatively inexpensive and easy to produce compared to existing methods of tin oxide thin film deposition. A goal of this study was to determine the compatibility of sol-gel derived tin oxide thin films with silicon based microfabrication procedures. It was determined that conductivity sensitivity is strongly dependant on electron density level and shows very weak dependence on Hall mobility. Lack of Hall mobility sensitivity to H2 concentration suggests that conduction is grain control limited. In this regime, in which the grain size (D) is less than twice the characteristic Debye length (LD), a change in reducing gas concentration results in a nearly simultaneous change in carrier density throughout the entire grain, while the Hall mobility remains unchanged. The sensor calcined at 500°C and operated at 250°C showed maximum conductivity sensitivity to H2 in air. The sensor exhibited a high conductivity sensitivity of 10.6 to 100ppm H2 in air with response time of (˜1) minute and recovery time of (˜4) minutes. Images of the thin film surface, obtained by SEM, were used to study the effects of calcination temperature and operating conditions on the tin oxide structure. Sensitivity decreased as average grain size increased from 7.7nm to 14.7nm, with increasing calcination temperature from

  1. ZnO transparent conductive oxide for thin film silicon solar cells

    NASA Astrophysics Data System (ADS)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  2. Fe₃O₄⁻Silicone Mixture as Flexible Actuator.

    PubMed

    Song, Kahye; Cha, Youngsu

    2018-05-08

    In this study, we introduce Fe₃O₄-silicone flexible composite actuators fabricated by combining silicone and iron oxide particles. The actuators exploit the flexibility of silicone and the electric conductivity of iron oxide particles. These actuators are activated by electrostatic force using the properties of the metal particles. Herein, we investigate the characteristic changes in actuation performance by increasing the concentration of iron oxide from 1% to 20%. The developed flexible actuators exhibit a resonant frequency near 3 Hz and their actuation amplitudes increase with increasing input voltage. We found that the actuator can move well at metal particle concentrations >2.5%. We also studied the changes in actuation behavior, depending on the portion of the Fe₃O₄-silicone in the length. Overall, we experimentally analyzed the characteristics of the newly proposed metal particle-silicone composite actuators.

  3. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    PubMed

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  4. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  5. Destruction of monocrystalline silicon with nanosecond pulsed fiber laser accompanied by the oxidation of ablation microparticles

    NASA Astrophysics Data System (ADS)

    Veiko, V. P.; Skvortsov, A. M.; Huynh, C. T.; Petrov, A. A.

    2013-11-01

    In this work, we report an observation of process of local destruction monocrystalline silicon with a scanning beam irradiation of pulse ytterbium fiber laser with a wavelength λ= 1062 nm, accompanied by the oxidation of ablation microparticles. It is shown that depending on the power density of irradiation was observed a large scatter size of the microparticles. From a certain average power density is observed beginning oxidation particulate emitted from the surface of the irradiated area. By varying the parameters of the laser beam such as scanning speed, pulse repetition rate, overlap of laser spot, radiation dose can be achieved almost complete oxidation of all formed during the ablation of microparticles.

  6. Effect of oxygen plasma on nanomechanical silicon nitride resonators

    NASA Astrophysics Data System (ADS)

    Luhmann, Niklas; Jachimowicz, Artur; Schalko, Johannes; Sadeghi, Pedram; Sauer, Markus; Foelske-Schmitz, Annette; Schmid, Silvan

    2017-08-01

    Precise control of tensile stress and intrinsic damping is crucial for the optimal design of nanomechanical systems for sensor applications and quantum optomechanics in particular. In this letter, we study the influence of oxygen plasma on the tensile stress and intrinsic damping of nanomechanical silicon nitride resonators. Oxygen plasma treatments are common steps in micro and nanofabrication. We show that oxygen plasma for only a few minutes oxidizes the silicon nitride surface, creating several nanometer thick silicon dioxide layers with a compressive stress of 1.30(16) GPa. Such oxide layers can cause a reduction in the effective tensile stress of a 50 nm thick stoichiometric silicon nitride membrane by almost 50%. Additionally, intrinsic damping linearly increases with the silicon dioxide film thickness. An oxide layer of 1.5 nm grown in just 10 s in a 50 W oxygen plasma almost doubled the intrinsic damping. The oxide surface layer can be efficiently removed in buffered hydrofluoric acid.

  7. Determining the thickness of aliphatic alcohol monolayers covalently attached to silicon oxide surfaces using angle-resolved X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Lee, Austin W. H.; Kim, Dongho; Gates, Byron D.

    2018-04-01

    The thickness of alcohol based monolayers on silicon oxide surfaces were investigated using angle-resolved X-ray photoelectron spectroscopy (ARXPS). Advantages of using alcohols as building blocks for the formation of monolayers include their widespread availability, ease of handling, and stability against side reactions. Recent progress in microwave assisted reactions demonstrated the ease of forming uniform monolayers with alcohol based reagents. The studies shown herein provide a detailed investigation of the thickness of monolayers prepared from a series of aliphatic alcohols of different chain lengths. Monolayers of 1-butanol, 1-hexanol, 1-octanol, 1-decanol, and 1-dodecanol were each successfully formed through microwave assisted reactions and characterized by ARXPS techniques. The thickness of these monolayers consistently increased by ∼1.0 Å for every additional methylene (CH2) within the hydrocarbon chain of the reagents. Tilt angles of the molecules covalently attached to silicon oxide surfaces were estimated to be ∼35° for each type of reagent. These results were consistent with the observations reported for thiol based or silane based monolayers on either gold or silicon oxide surfaces, respectively. The results of this study also suggest that the alcohol based monolayers are uniform at a molecular level.

  8. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    PubMed

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  9. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  10. Plasmonic Properties of Silicon Nanocrystals Doped with Boron and Phosphorus.

    PubMed

    Kramer, Nicolaas J; Schramke, Katelyn S; Kortshagen, Uwe R

    2015-08-12

    Degenerately doped silicon nanocrystals are appealing plasmonic materials due to silicon's low cost and low toxicity. While surface plasmonic resonances of boron-doped and phosphorus-doped silicon nanocrystals were recently observed, there currently is poor understanding of the effect of surface conditions on their plasmonic behavior. Here, we demonstrate that phosphorus-doped silicon nanocrystals exhibit a plasmon resonance immediately after their synthesis but may lose their plasmonic response with oxidation. In contrast, boron-doped nanocrystals initially do not exhibit plasmonic response but become plasmonically active through postsynthesis oxidation or annealing. We interpret these results in terms of substitutional doping being the dominant doping mechanism for phosphorus-doped silicon nanocrystals, with oxidation-induced defects trapping free electrons. The behavior of boron-doped silicon nanocrystals is more consistent with a strong contribution of surface doping. Importantly, boron-doped silicon nanocrystals exhibit air-stable plasmonic behavior over periods of more than a year.

  11. Solar cells with gallium phosphide/silicon heterojunction

    NASA Astrophysics Data System (ADS)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  12. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  13. Anti resonant reflecting optical waveguide structure based on oxidized porous silicon for label free bio sensing applications

    NASA Astrophysics Data System (ADS)

    Haji, L.; Hiraoui, M.; Lorrain, N.; Guendouz, M.

    2012-03-01

    In this letter we report on the use of an electrochemical process for the fabrication of anti resonant reflecting optical waveguide based on oxidized porous silicon. This method is known to allow the formation of various photonic structures (Bragg mirror, microcavity), thanks to the easy and in situ modulation of the porosity and thus of the refractive index. Planar anti resonant reflecting optical waveguide structure made from porous silicon is demonstrated to be very effective for low losses as compared to conventional resonant waveguide. Optical measurements carried out for TE and TM polarizations are reported and related to optical sensing.

  14. Hexagonal Ag nanoarrays induced enhancement of blue light emission from amorphous oxidized silicon nitride via localized surface plasmon coupling.

    PubMed

    Ma, Zhongyuan; Ni, Xiaodong; Zhang, Wenping; Jiang, Xiaofan; Yang, Huafeng; Yu, Jie; Wang, Wen; Xu, Ling; Xu, Jun; Chen, Kunji; Feng, Duan

    2014-11-17

    A significant enhancement of blue light emission from amorphous oxidized silicon nitride (a-SiNx:O) films is achieved by introduction of ordered and size-controllable arrays of Ag nanoparticles between the silicon substrate and a-SiNx:O films. Using hexagonal arrays of Ag nanoparticles fabricated by nanosphere lithography, the localized surface plasmons (LSPs) resonance can effectively increase the internal quantum efficiency from 3.9% to 13.3%. Theoretical calculation confirms that the electromagnetic field-intensity enhancement is through the dipole surface plasma coupling with the excitons of a-SiNx:O films, which demonstrates a-SiNx:O films with enhanced blue emission are promising for silicon-based light-emitting applications by patterned Ag arrays.

  15. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  16. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G. (Inventor)

    2011-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  17. Assembly and evaluation of a pyroelectric detector bonded to vertically aligned multiwalled carbon nanotubes over thin silicon.

    PubMed

    Theocharous, E; Theocharous, S P; Lehman, J H

    2013-11-20

    A novel pyroelectric detector consisting of a vertically aligned nanotube array on thin silicon (VANTA/Si) bonded to a 60 μm thick crystal of LiTaO₃ has been fabricated. The performance of the VANTA/Si-coated pyroelectric detector was evaluated using National Physical Laboratory's (NPL's) detector-characterization facilities. The relative spectral responsivity of the detector was found to be spectrally flat in the 0.8-24 μm wavelength range, in agreement with directional-hemispherical reflectance measurements of witness samples of the VANTA. The spatial uniformity of response of the test detector exhibited good uniformity, although the nonuniformity increased with increasing modulation frequency. The nonuniformity may be assigned either to the dimensions of the VANTA or the continuity of the bond between the VANTA/Si coating and the pyroelectric crystal substrate. The test detector exhibited a small superlinear response, which is similar to that of pyroelectric detectors coated with good quality gold-black coatings.

  18. Tribology study of reduced graphene oxide sheets on silicon substrate synthesized via covalent assembly.

    PubMed

    Ou, Junfei; Wang, Jinqing; Liu, Sheng; Mu, Bo; Ren, Junfang; Wang, Honggang; Yang, Shengrong

    2010-10-19

    Reduced graphene oxide (RGO) sheets were covalently assembled onto silicon wafers via a multistep route based on the chemical adsorption and thermal reduction of graphene oxide (GO). The formation and microstructure of RGO were analyzed by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, Raman spectroscopy, and water contact angle (WCA) measurements. Characterization by atomic force microscopy (AFM) was performed to evaluate the morphology and microtribological behaviors of the samples. Macrotribological performance was tested on a ball-on-plate tribometer. Results show that the assembled RGO possesses good friction reduction and antiwear ability, properties ascribed to its intrinsic structure, that is, the covalent bonding to the substrate and self-lubricating property of RGO.

  19. Protective coating for alumina-silicon carbide whisker composites

    DOEpatents

    Tiegs, Terry N.

    1989-01-01

    Ceramic composites formed of an alumina matrix reinforced with silicon carbide whiskers homogenously dispersed therein are provided with a protective coating for preventing fracture strength degradation of the composite by oxidation during exposure to high temperatures in oxygen-containing atmospheres. The coating prevents oxidation of the silicon carbide whiskers within the matrix by sealing off the exterior of the matrix so as to prevent oxygen transport into the interior of the matrix. The coating is formed of mullite or mullite plus silicon oxide and alumina and is formed in place by heating the composite in air to a temperature greater than 1200.degree. C. This coating is less than about 100 microns thick and adequately protects the underlying composite from fracture strength degradation due to oxidation.

  20. Silicon induced stability and mobility of indium zinc oxide based bilayer thin film transistors

    NASA Astrophysics Data System (ADS)

    Chauhan, Ram Narayan; Tiwari, Nidhi; Liu, Po-Tsun; Shieh, Han-Ping D.; Kumar, Jitendra

    2016-11-01

    Indium zinc oxide (IZO), silicon containing IZO, and IZO/IZO:Si bilayer thin films have been prepared by dual radio frequency magnetron sputtering on glass and SiO2/Si substrates for studying their chemical compositions and electrical characteristics in order to ascertain reliability for thin film transistor (TFT) applications. An attempt is therefore made here to fabricate single IZO and IZO/IZO:Si bilayer TFTs to study the effect of film thickness, silicon incorporation, and bilayer active channel on device performance and negative bias illumination stress (NBIS) stability. TFTs with increasing single active IZO layer thickness exhibit decrease in carrier mobility but steady improvement in NBIS; the best values being μFE ˜ 27.0, 22.0 cm2/Vs and ΔVth ˜ -13.00, -6.75 V for a channel thickness of 7 and 27 nm, respectively. While silicon incorporation is shown to reduce the mobility somewhat, it raises the stability markedly (ΔVth ˜ -1.20 V). Further, IZO (7 nm)/IZO:Si (27 nm) bilayer based TFTs display useful characteristics (field effect mobility, μFE = 15.3 cm2/Vs and NBIS value, ΔVth =-0.75 V) for their application in transparent electronics.

  1. Indium-tin-oxide nanowhiskers crystalline silicon photovoltaics combining micro- and nano-scale surface textures

    NASA Astrophysics Data System (ADS)

    Chang, C. H.; Hsu, M. H.; Chang, W. L.; Sun, W. C.; Yu, Peichen

    2011-02-01

    In this work, we present a solution that employs combined micro- and nano-scale surface textures to increase light harvesting in the near infrared for crystalline silicon photovoltaics, and discuss the associated antireflection and scattering mechanisms. The combined surface textures are achieved by uniformly depositing a layer of indium-tin-oxide nanowhiskers on passivated, micro-grooved silicon solar cells using electron-beam evaporation. The nanowhiskers facilitate optical transmission in the near-infrared, which is optically equivalent to a stack of two dielectric thin-films with step- and graded- refractive index profiles. The ITO nanowhiskers provide broadband anti-reflective properties (R<5%) in the wavelength range of 350-1100nm. In comparison with conventional Si solar cell, the combined surface texture solar cell shows higher external quantum efficiency (EQE) in the range of 700-1100nm. Moreover, the ITO nano-whisker coating Si solar cell shows a high total efficiency increase of 1.1% (from 16.08% to17.18%). Furthermore, the nano-whiskers also provide strong forward scattering for ultraviolet and visible light, favorable in thin-wafer silicon photovoltaics to increase the optical absorption path.

  2. Ternary Aligned Nanofibers of RGD Peptide-Displaying M13 Bacteriophage/PLGA/Graphene Oxide for Facilitated Myogenesis

    PubMed Central

    Shin, Yong Cheol; Kim, Chuntae; Song, Su-Jin; Jun, Seungwon; Kim, Chang-Seok; Hong, Suck Won; Hyon, Suong-Hyu; Han, Dong-Wook; Oh, Jin-Woo

    2018-01-01

    Recently, there have been tremendous efforts to develop the biofunctional scaffolds by incorporating various biochemical factors. In the present study, we fabricated poly(lactic-co-glycolic acid) (PLGA) nanofiber sheets decorated with graphene oxide (GO) and RGD peptide. The decoration of GO and RGD peptide was readily achieved by using RGD peptide-displaying M13 bacteriophage (RGD-M13 phage) and electrospinning. Furthermore, the aligned GO-decorated PLGA/RGD peptide (GO-PLGA/RGD) ternary nanofiber sheets were prepared by magnetic field-assisted electrospinning, and their potentials as bifunctional scaffolds for facilitating myogenesis were explored. We characterized the physicochemical and mechanical properties of the sheets by scanning electron microscopy, Raman spectroscopy, contact angle measurement, and tensile test. In addition, the C2C12 skeletal myoblasts were cultured on the aligned GO-PLGA/RGD nanofiber sheets, and their cellular behaviors, including initial attachment, proliferation and myogenic differentiation, were evaluated. Our results revealed that the GO-PLGA/RGD nanofiber sheets had suitable physicochemical and mechanical properties for supporting cell growth, and could significantly promote the spontaneous myogenic differentiation of C2C12 skeletal myoblasts. Moreover, it was revealed that the myogenic differentiation was further accelerated on the aligned GO-PLGA/RGD nanofiber sheets due to the synergistic effects of RGD peptide, GO and aligned nanofiber structure. Therefore, , it is suggested that the aligned GO-PLGA/RGD ternary nanofiber sheets are one of the most promising approaches for facilitating myogenesis and promoting skeletal tissue regeneration. PMID:29577018

  3. Ternary Aligned Nanofibers of RGD Peptide-Displaying M13 Bacteriophage/PLGA/Graphene Oxide for Facilitated Myogenesis.

    PubMed

    Shin, Yong Cheol; Kim, Chuntae; Song, Su-Jin; Jun, Seungwon; Kim, Chang-Seok; Hong, Suck Won; Hyon, Suong-Hyu; Han, Dong-Wook; Oh, Jin-Woo

    2018-01-01

    Recently, there have been tremendous efforts to develop the biofunctional scaffolds by incorporating various biochemical factors. In the present study, we fabricated poly(lactic- co -glycolic acid) (PLGA) nanofiber sheets decorated with graphene oxide (GO) and RGD peptide. The decoration of GO and RGD peptide was readily achieved by using RGD peptide-displaying M13 bacteriophage (RGD-M13 phage) and electrospinning. Furthermore, the aligned GO-decorated PLGA/RGD peptide (GO-PLGA/RGD) ternary nanofiber sheets were prepared by magnetic field-assisted electrospinning, and their potentials as bifunctional scaffolds for facilitating myogenesis were explored. We characterized the physicochemical and mechanical properties of the sheets by scanning electron microscopy, Raman spectroscopy, contact angle measurement, and tensile test. In addition, the C2C12 skeletal myoblasts were cultured on the aligned GO-PLGA/RGD nanofiber sheets, and their cellular behaviors, including initial attachment, proliferation and myogenic differentiation, were evaluated. Our results revealed that the GO-PLGA/RGD nanofiber sheets had suitable physicochemical and mechanical properties for supporting cell growth, and could significantly promote the spontaneous myogenic differentiation of C2C12 skeletal myoblasts. Moreover, it was revealed that the myogenic differentiation was further accelerated on the aligned GO-PLGA/RGD nanofiber sheets due to the synergistic effects of RGD peptide, GO and aligned nanofiber structure. Therefore, , it is suggested that the aligned GO-PLGA/RGD ternary nanofiber sheets are one of the most promising approaches for facilitating myogenesis and promoting skeletal tissue regeneration.

  4. Low loss poly-silicon for high performance capacitive silicon modulators.

    PubMed

    Douix, Maurin; Baudot, Charles; Marris-Morini, Delphine; Valéry, Alexia; Fowler, Daivid; Acosta-Alba, Pablo; Kerdilès, Sébastien; Euvrard, Catherine; Blanc, Romuald; Beneyton, Rémi; Souhaité, Aurélie; Crémer, Sébastien; Vulliet, Nathalie; Vivien, Laurent; Boeuf, Frédéric

    2018-03-05

    Optical properties of poly-silicon material are investigated to be integrated in new silicon photonics devices, such as capacitive modulators. Test structure fabrication is done on 300 mm wafer using LPCVD deposition: 300 nm thick amorphous silicon layers are deposited on thermal oxide, followed by solid phase crystallization anneal. Rib waveguides are fabricated and optical propagation losses measured at 1.31 µm. Physical analysis (TEM ASTAR, AFM and SIMS) are used to assess the origin of losses. Optimal deposition and annealing conditions have been defined, resulting in 400 nm-wide rib waveguides with only 9.2-10 dB/cm losses.

  5. One-step Melt Synthesis of Water Soluble, Photoluminescent, Surface-Oxidized Silicon Nanoparticles for Cellular Imaging Applications

    PubMed Central

    Manhat, Beth A.; Brown, Anna L.; Black, Labe A.; Ross, J.B. Alexander; Fichter, Katye; Vu, Tania; Richman, Erik

    2012-01-01

    We have developed a versatile, one-step melt synthesis of water-soluble, highly emissive silicon nanoparticles using bi-functional, low-melting solids (such as glutaric acid) as reaction media. Characterization through transmission electron microscopy, selected area electron diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy shows that the one-step melt synthesis produces nanoscale Si cores surrounded by a silicon oxide shell. Analysis of the nanoparticle surface using FT-IR, zeta potential, and gel electrophoresis indicates that the bi-functional ligand used in the one-step synthesis is grafted onto the nanoparticle, which allows for tuning of the particle surface charge, solubility, and functionality. Photoluminescence spectra of the as-prepared glutaric acid-synthesized silicon nanoparticles show an intense blue-green emission with a short (ns) lifetime suitable for biological imaging. These nanoparticles are found to be stable in biological media and have been used to examine cellular uptake and distribution in live N2a cells. PMID:23139440

  6. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  7. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    DOEpatents

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  8. Molybdenum disilicide composites reinforced with zirconia and silicon carbide

    DOEpatents

    Petrovic, John J.

    1995-01-01

    Compositions consisting essentially of molybdenum disilicide, silicon carbide, and a zirconium oxide component. The silicon carbide used in the compositions is in whisker or powder form. The zirconium oxide component is pure zirconia or partially stabilized zirconia or fully stabilized zirconia.

  9. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  10. Improved toughness of silicon carbide

    NASA Technical Reports Server (NTRS)

    Palm, J. A.

    1976-01-01

    Impact energy absorbing layers (EALs) comprised of partially densified silicon carbide were formed in situ on fully sinterable silicon carbide substrates. After final sintering, duplex silicon carbide structures resulted which were comprised of a fully sintered, high density silicon carbide substrate or core, overlayed with an EAL of partially sintered silicon carbide integrally bonded to its core member. Thermal cycling tests proved such structures to be moderately resistant to oxidation and highly resistant to thermal shock stresses. The strength of the developed structures in some cases exceeded but essentially it remained the same as the fully sintered silicon carbide without the EAL. Ballistic impact tests indicated that substantial improvements in the toughness of sintered silicon carbide were achieved by the use of the partially densified silicon carbide EALs.

  11. Direct measurement of AC electrokinetics properties and capture frequencies of silicon and silicon-germanium nanowires

    NASA Astrophysics Data System (ADS)

    Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.

    2018-01-01

    The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.

  12. Molybdenum disilicide composites reinforced with zirconia and silicon carbide

    DOEpatents

    Petrovic, J.J.

    1995-01-17

    Compositions are disclosed consisting essentially of molybdenum disilicide, silicon carbide, and a zirconium oxide component. The silicon carbide used in the compositions is in whisker or powder form. The zirconium oxide component is pure zirconia or partially stabilized zirconia or fully stabilized zirconia.

  13. Nanostructured Indium Oxide Coated Silicon Nanowire Arrays: A Hybrid Photothermal/Photochemical Approach to Solar Fuels.

    PubMed

    Hoch, Laura B; O'Brien, Paul G; Jelle, Abdinoor; Sandhel, Amit; Perovic, Douglas D; Mims, Charles A; Ozin, Geoffrey A

    2016-09-27

    The field of solar fuels seeks to harness abundant solar energy by driving useful molecular transformations. Of particular interest is the photodriven conversion of greenhouse gas CO2 into carbon-based fuels and chemical feedstocks, with the ultimate goal of providing a sustainable alternative to traditional fossil fuels. Nonstoichiometric, hydroxylated indium oxide nanoparticles, denoted In2O3-x(OH)y, have been shown to function as active photocatalysts for CO2 reduction to CO via the reverse water gas shift reaction under simulated solar irradiation. However, the relatively wide band gap (2.9 eV) of indium oxide restricts the portion of the solar irradiance that can be utilized to ∼9%, and the elevated reaction temperatures required (150-190 °C) reduce the overall energy efficiency of the process. Herein we report a hybrid catalyst consisting of a vertically aligned silicon nanowire (SiNW) support evenly coated by In2O3-x(OH)y nanoparticles that utilizes the vast majority of the solar irradiance to simultaneously produce both the photogenerated charge carriers and heat required to reduce CO2 to CO at a rate of 22.0 μmol·gcat(-1)·h(-1). Further, improved light harvesting efficiency of the In2O3-x(OH)y/SiNW films due to minimized reflection losses and enhanced light trapping within the SiNW support results in a ∼6-fold increase in photocatalytic conversion rates over identical In2O3-x(OH)y films prepared on roughened glass substrates. The ability of this In2O3-x(OH)y/SiNW hybrid catalyst to perform the dual function of utilizing both light and heat energy provided by the broad-band solar irradiance to drive CO2 reduction reactions represents a general advance that is applicable to a wide range of catalysts in the field of solar fuels.

  14. Synergistic toughening of composite fibres by self-alignment of reduced graphene oxide and carbon nanotubes

    PubMed Central

    Shin, Min Kyoon; Lee, Bommy; Kim, Shi Hyeong; Lee, Jae Ah; Spinks, Geoffrey M.; Gambhir, Sanjeev; Wallace, Gordon G.; Kozlov, Mikhail E.; Baughman, Ray H.; Kim, Seon Jeong

    2012-01-01

    The extraordinary properties of graphene and carbon nanotubes motivate the development of methods for their use in producing continuous, strong, tough fibres. Previous work has shown that the toughness of the carbon nanotube-reinforced polymer fibres exceeds that of previously known materials. Here we show that further increased toughness results from combining carbon nanotubes and reduced graphene oxide flakes in solution-spun polymer fibres. The gravimetric toughness approaches 1,000 J g−1, far exceeding spider dragline silk (165 J g−1) and Kevlar (78 J g−1). This toughness enhancement is consistent with the observed formation of an interconnected network of partially aligned reduced graphene oxide flakes and carbon nanotubes during solution spinning, which act to deflect cracks and allow energy-consuming polymer deformation. Toughness is sensitive to the volume ratio of the reduced graphene oxide flakes to the carbon nanotubes in the spinning solution and the degree of graphene oxidation. The hybrid fibres were sewable and weavable, and could be shaped into high-modulus helical springs. PMID:22337128

  15. Synergistic toughening of composite fibres by self-alignment of reduced graphene oxide and carbon nanotubes.

    PubMed

    Shin, Min Kyoon; Lee, Bommy; Kim, Shi Hyeong; Lee, Jae Ah; Spinks, Geoffrey M; Gambhir, Sanjeev; Wallace, Gordon G; Kozlov, Mikhail E; Baughman, Ray H; Kim, Seon Jeong

    2012-01-31

    The extraordinary properties of graphene and carbon nanotubes motivate the development of methods for their use in producing continuous, strong, tough fibres. Previous work has shown that the toughness of the carbon nanotube-reinforced polymer fibres exceeds that of previously known materials. Here we show that further increased toughness results from combining carbon nanotubes and reduced graphene oxide flakes in solution-spun polymer fibres. The gravimetric toughness approaches 1,000 J g(-1), far exceeding spider dragline silk (165 J g(-1)) and Kevlar (78 J g(-1)). This toughness enhancement is consistent with the observed formation of an interconnected network of partially aligned reduced graphene oxide flakes and carbon nanotubes during solution spinning, which act to deflect cracks and allow energy-consuming polymer deformation. Toughness is sensitive to the volume ratio of the reduced graphene oxide flakes to the carbon nanotubes in the spinning solution and the degree of graphene oxidation. The hybrid fibres were sewable and weavable, and could be shaped into high-modulus helical springs.

  16. Self-limiting and complete oxidation of silicon nanostructures produced by laser ablation in water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaccaro, L.; Messina, F.; Camarda, P.

    2016-07-14

    Oxidized Silicon nanomaterials produced by 1064 nm pulsed laser ablation in deionized water are investigated. High-resolution transmission electron microscopy coupled with energy dispersive X-ray spectroscopy allows to characterize the structural and chemical properties at a sub-nanometric scale. This analysis clarifies that laser ablation induces both self-limiting and complete oxidation processes which produce polycrystalline Si surrounded by a layer of SiO{sub 2} and amorphous fully oxidized SiO{sub 2}, respectively. These nanostructures exhibit a composite luminescence spectrum which is investigated by time-resolved spectroscopy with a tunable laser excitation. The origin of the observed luminescence bands agrees with the two structural typologies: Si nanocrystalsmore » emit a μs-decaying red band; defects of SiO{sub 2} give rise to a ns-decaying UV band and two overlapping blue bands with lifetime in the ns and ms timescale.« less

  17. Sensor assembly method using silicon interposer with trenches for three-dimensional binocular range sensors

    NASA Astrophysics Data System (ADS)

    Nakajima, Kazuhiro; Yamamoto, Yuji; Arima, Yutaka

    2018-04-01

    To easily assemble a three-dimensional binocular range sensor, we devised an alignment method for two image sensors using a silicon interposer with trenches. The trenches were formed using deep reactive ion etching (RIE) equipment. We produced a three-dimensional (3D) range sensor using the method and experimentally confirmed that sufficient alignment accuracy was realized. It was confirmed that the alignment accuracy of the two image sensors when using the proposed method is more than twice that of the alignment assembly method on a conventional board. In addition, as a result of evaluating the deterioration of the detection performance caused by the alignment accuracy, it was confirmed that the vertical deviation between the corresponding pixels in the two image sensors is substantially proportional to the decrease in detection performance. Therefore, we confirmed that the proposed method can realize more than twice the detection performance of the conventional method. Through these evaluations, the effectiveness of the 3D binocular range sensor aligned by the silicon interposer with the trenches was confirmed.

  18. JAGUAR Procedures for Detonation Behavior of Silicon Containing Explosives

    NASA Astrophysics Data System (ADS)

    Stiel, Leonard; Baker, Ernest; Capellos, Christos; Poulos, William; Pincay, Jack

    2007-06-01

    Improved relationships for the thermodynamic properties of solid and liquid silicon and silicon oxide for use with JAGUAR thermo-chemical equation of state routines were developed in this study. Analyses of experimental melting temperature curves for silicon and silicon oxide indicated complex phase behavior and that improved coefficients were required for solid and liquid thermodynamic properties. Advanced optimization routines were utilized in conjunction with the experimental melting point data to establish volumetric coefficients for these substances. The new property libraries resulted in agreement with available experimental values, including Hugoniot data at elevated pressures. Detonation properties were calculated with JAGUAR using the revised property libraries for silicon containing explosives. Constants of the JWLB equation of state were established for varying extent of silicon reaction. Supporting thermal heat transfer analyses were conducted for varying silicon particle sizes to establish characteristic times for melting and silicon reaction.

  19. Consequences of Atomic Oxygen Interaction With Silicone and Silicone Contamination on Surfaces in Low Earth Orbit

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; deGroh, Kim K.; Rutledge, Sharon K.; Haytas, Christy A.

    1999-01-01

    The exposure of silicones to atomic oxygen in low Earth orbit causes oxidation of the surface, resulting in conversion of silicone to silica. This chemical conversion increases the elastic modulus of the surface and initiates the development of a tensile strain. Ultimately, with sufficient exposure, tensile strain leads to cracking of the surface enabling the underlying unexposed silicone to be converted to silica resulting in additional depth and extent of cracking. The use of silicone coatings for the protection of materials from atomic oxygen attack is limited because of the eventual exposure of underlying unprotected polymeric material due to deep tensile stress cracking of the oxidized silicone. The use of moderate to high volatility silicones in low Earth orbit has resulted in a silicone contamination arrival at surfaces which are simultaneously being bombarded with atomic oxygen, thus leading to conversion of the silicone contaminant to silica. As a result of these processes, a gradual accumulation of contamination occurs leading to deposits which at times have been up to several microns thick (as in the case of a Mir solar array after 10 years in space). The contamination species typically consist of silicon, oxygen and carbon. which in the synergistic environment of atomic oxygen and UV radiation leads to increased solar absorptance and reduced solar transmittance. A comparison of the results of atomic oxygen interaction with silicones and silicone contamination will be presented based on the LDEF, EOIM-111, Offeq-3 spacecraft and Mir solar array in-space results. The design of a contamination pin-hole camera space experiment which uses atomic oxygen to produce an image of the sources of silicone contamination will also be presented.

  20. Develop Silicone Encapsulation Systems for Terrestrial Silicon Solar Arrays

    NASA Technical Reports Server (NTRS)

    1979-01-01

    A cost effective encapsulant system was identified and a silicone acrylic cover material containing a durable ultraviolet screening agent was prepared. The effectiveness of the cover material in protecting photo-oxidatively sensitive polymers was demonstrated.

  1. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  2. Limits on silicon nanoelectronics for terascale integration.

    PubMed

    Meindl, J D; Chen, Q; Davis, J A

    2001-09-14

    Throughout the past four decades, silicon semiconductor technology has advanced at exponential rates in both performance and productivity. Concerns have been raised, however, that the limits of silicon technology may soon be reached. Analysis of fundamental, material, device, circuit, and system limits reveals that silicon technology has an enormous remaining potential to achieve terascale integration (TSI) of more than 1 trillion transistors per chip. Such massive-scale integration is feasible assuming the development and economical mass production of double-gate metal-oxide-semiconductor field effect transistors with gate oxide thickness of about 1 nanometer, silicon channel thickness of about 3 nanometers, and channel length of about 10 nanometers. The development of interconnecting wires for these transistors presents a major challenge to the achievement of nanoelectronics for TSI.

  3. Properties of silicon carbide fiber-reinforced silicon nitride matrix composites

    NASA Technical Reports Server (NTRS)

    Bhatt, Ramakrishna T.

    1988-01-01

    The mechanical properties of NASA Lewis developed SiC/RBSN composites and their thermal and environmental stability havd been studied. The composites consist of nearly 30 vol pct of aligned 142 micron diameter chemically vapor-deposited SiC fibers in a relatively porous silicon nitride matrix. In the as-fabricated condition, the unidirectional and 2-D composites exhibited metal-like stress-strain behavior, graceful failure, and showed improved properties when compared with unreinforced matrix of comparable density. Furthermore, the measured room temperature tensile properties were relativley independent of tested volume and were unaffected by artifical notches normal to the loading direction or by thermal shocking from temperatures up to 800 C. The four-point bend strength data measured as a function of temperature to 1400 C in air showed that as-fabricated strength was maintained to 1200 C. At 1400 C, however, nearly 15 pct loss in strength was observed. Measurement of room temperature tensile strength after 100 hr exposure at temperatures to 1400 C in a nitrogen environment indicated no loss from the as-fabricated composite strength. On the other hand, after 100 hr exposure in flowing oxygen at 1200 and 1400 C, the composites showed approximately 40 pct loss from their as-fabricated ultimate tensile strength. Those exposed between 400 to 1200 C showed nearly 60 pct strength loss. Oxidation of the fiber/matrix interface as well as internal oxidation of the porous Si3N4 matrix are likely mechanisms for strength degradation. The excellent strength reproducibility, notch insensitivity, and high temperature strength of the composite makes it an ideal candidate for advanced heat engine applications provided coating or densification methods are developed to avoid internal oxidation attack.

  4. Silicon oxide nanoparticles doped PQ-PMMA for volume holographic imaging filters.

    PubMed

    Luo, Yuan; Russo, Juan M; Kostuk, Raymond K; Barbastathis, George

    2010-04-15

    Holographic imaging filters are required to have high Bragg selectivity, namely, narrow angular and spectral bandwidth, to obtain spatial-spectral information within a three-dimensional object. In this Letter, we present the design of holographic imaging filters formed using silicon oxide nanoparticles (nano-SiO(2)) in phenanthrenquinone-poly(methyl methacrylate) (PQ-PMMA) polymer recording material. This combination offers greater Bragg selectivity and increases the diffraction efficiency of holographic filters. The holographic filters with optimized ratio of nano-SiO(2) in PQ-PMMA can significantly improve the performance of Bragg selectivity and diffraction efficiency by 53% and 16%, respectively. We present experimental results and data analysis demonstrating this technique in use for holographic spatial-spectral imaging filters.

  5. Fe3O4–Silicone Mixture as Flexible Actuator

    PubMed Central

    Song, Kahye

    2018-01-01

    In this study, we introduce Fe3O4-silicone flexible composite actuators fabricated by combining silicone and iron oxide particles. The actuators exploit the flexibility of silicone and the electric conductivity of iron oxide particles. These actuators are activated by electrostatic force using the properties of the metal particles. Herein, we investigate the characteristic changes in actuation performance by increasing the concentration of iron oxide from 1% to 20%. The developed flexible actuators exhibit a resonant frequency near 3 Hz and their actuation amplitudes increase with increasing input voltage. We found that the actuator can move well at metal particle concentrations >2.5%. We also studied the changes in actuation behavior, depending on the portion of the Fe3O4-silicone in the length. Overall, we experimentally analyzed the characteristics of the newly proposed metal particle-silicone composite actuators. PMID:29738466

  6. Batchwise growth of silica cone patterns via self-assembly of aligned nanowires.

    PubMed

    Luo, Shudong; Zhou, Weiya; Chu, Weiguo; Shen, Jun; Zhang, Zengxing; Liu, Lifeng; Liu, Dongfang; Xiang, Yanjuan; Ma, Wenjun; Xie, Sishen

    2007-03-01

    Silica-cone patterns self-assembled from well-aligned nanowires are synthesized using gallium droplets as the catalyst and silicon wafers as the silicon source. The cones form a triangular pattern array radially on almost the whole surface of the molten Ga ball. Detailed field-emission scanning electron microscopy (SEM) analysis shows that the cone-pattern pieces frequently slide off and are detached from the molten Ga ball surface, which leads to the exposure of the catalyst surface and the growth of a new batch of silicon oxide nanowires as well as the cone patterns. The processes of growth and detachment alternate, giving rise to the formation of a volcano-like or a flower-like structure with bulk-quantity pieces of cone patterns piled up around the Ga ball. Consequently, the cone-patterned layer grows batch by batch until the reaction is terminated. Different to the conventional metal-catalyzed growth model, the batch-by-batch growth of the triangular cone patterns proceeds on the molten Ga balls via alternate growth on and detachment from the catalyst surface of the patterns; the Ga droplet can be used continuously and circularly as an effective catalyst for the growth of amorphous SiO(x) nanowires during the whole growth period. The intriguing batchwise growth phenomena may enrich our understanding of the vapour-liquid-solid (VLS) growth mechanism for the catalyst growth of nanowires or other nanostructures and may offer a different way of self-assembling novel silica nanostructures.

  7. Porous silicon nanocrystals in a silica aerogel matrix

    PubMed Central

    2012-01-01

    Silicon nanoparticles of three types (oxide-terminated silicon nanospheres, micron-sized hydrogen-terminated porous silicon grains and micron-size oxide-terminated porous silicon grains) were incorporated into silica aerogels at the gel preparation stage. Samples with a wide range of concentrations were prepared, resulting in aerogels that were translucent (but weakly coloured) through to completely opaque for visible light over sample thicknesses of several millimetres. The photoluminescence of these composite materials and of silica aerogel without silicon inclusions was studied in vacuum and in the presence of molecular oxygen in order to determine whether there is any evidence for non-radiative energy transfer from the silicon triplet exciton state to molecular oxygen adsorbed at the silicon surface. No sensitivity to oxygen was observed from the nanoparticles which had partially H-terminated surfaces before incorporation, and so we conclude that the silicon surface has become substantially oxidised. Finally, the FTIR and Raman scattering spectra of the composites were studied in order to establish the presence of crystalline silicon; by taking the ratio of intensities of the silicon and aerogel Raman bands, we were able to obtain a quantitative measure of the silicon nanoparticle concentration independent of the degree of optical attenuation. PMID:22805684

  8. Porous silicon nanocrystals in a silica aerogel matrix.

    PubMed

    Amonkosolpan, Jamaree; Wolverson, Daniel; Goller, Bernhard; Polisski, Sergej; Kovalev, Dmitry; Rollings, Matthew; Grogan, Michael D W; Birks, Timothy A

    2012-07-17

    Silicon nanoparticles of three types (oxide-terminated silicon nanospheres, micron-sized hydrogen-terminated porous silicon grains and micron-size oxide-terminated porous silicon grains) were incorporated into silica aerogels at the gel preparation stage. Samples with a wide range of concentrations were prepared, resulting in aerogels that were translucent (but weakly coloured) through to completely opaque for visible light over sample thicknesses of several millimetres. The photoluminescence of these composite materials and of silica aerogel without silicon inclusions was studied in vacuum and in the presence of molecular oxygen in order to determine whether there is any evidence for non-radiative energy transfer from the silicon triplet exciton state to molecular oxygen adsorbed at the silicon surface. No sensitivity to oxygen was observed from the nanoparticles which had partially H-terminated surfaces before incorporation, and so we conclude that the silicon surface has become substantially oxidised. Finally, the FTIR and Raman scattering spectra of the composites were studied in order to establish the presence of crystalline silicon; by taking the ratio of intensities of the silicon and aerogel Raman bands, we were able to obtain a quantitative measure of the silicon nanoparticle concentration independent of the degree of optical attenuation.

  9. Purification process for vertically aligned carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Nguyen, Cattien V.; Delziet, Lance; Matthews, Kristopher; Chen, Bin; Meyyappan, M.

    2003-01-01

    Individual, free-standing, vertically aligned multiwall carbon nanotubes or nanofibers are ideal for sensor and electrode applications. Our plasma-enhanced chemical vapor deposition techniques for producing free-standing and vertically aligned carbon nanofibers use catalyst particles at the tip of the fiber. Here we present a simple purification process for the removal of iron catalyst particles at the tip of vertically aligned carbon nanofibers derived by plasma-enhanced chemical vapor deposition. The first step involves thermal oxidation in air, at temperatures of 200-400 degrees C, resulting in the physical swelling of the iron particles from the formation of iron oxide. Subsequently, the complete removal of the iron oxide particles is achieved with diluted acid (12% HCl). The purification process appears to be very efficient at removing all of the iron catalyst particles. Electron microscopy images and Raman spectroscopy data indicate that the purification process does not damage the graphitic structure of the nanotubes.

  10. Organosilicon compounds. XVIII - Silicon-containing dianhydrides

    NASA Technical Reports Server (NTRS)

    Pratt, J. R.; Thames, S. F.

    1973-01-01

    Description of four new silicon-containing dianhydrides synthetized in an attempt to provide useful silicon-containing polyimide precursors. They were prepared by aqueous potassium permanganate-pyridine oxidations of corresponding tetramethyl intermediates to form tetracarboxylic acids, which were dehydrated to the dianhydrides.

  11. Strong and reversible modulation of carbon nanotube-silicon heterojunction solar cells by an interfacial oxide layer.

    PubMed

    Jia, Yi; Cao, Anyuan; Kang, Feiyu; Li, Peixu; Gui, Xuchun; Zhang, Luhui; Shi, Enzheng; Wei, Jinquan; Wang, Kunlin; Zhu, Hongwei; Wu, Dehai

    2012-06-21

    Deposition of nanostructures such as carbon nanotubes on Si wafers to make heterojunction structures is a promising route toward high efficiency solar cells with reduced cost. Here, we show a significant enhancement in the cell characteristics and power conversion efficiency by growing a silicon oxide layer at the interface between the nanotube film and Si substrate. The cell efficiency increases steadily from 0.5% without interfacial oxide to 8.8% with an optimal oxide thickness of about 1 nm. This systematic study reveals that formation of an oxide layer switches charge transport from thermionic emission to a mixture of thermionic emission and tunneling and improves overall diode properties, which are critical factors for tailoring the cell behavior. By controlled formation and removal of interfacial oxide, we demonstrate oscillation of the cell parameters between two extreme states, where the cell efficiency can be reversibly altered by a factor of 500. Our results suggest that the oxide layer plays an important role in Si-based photovoltaics, and it might be utilized to tune the cell performance in various nanostructure-Si heterojunction structures.

  12. Intracellular trafficking of silicon particles and logic-embedded vectors

    NASA Astrophysics Data System (ADS)

    Ferrati, Silvia; Mack, Aaron; Chiappini, Ciro; Liu, Xuewu; Bean, Andrew J.; Ferrari, Mauro; Serda, Rita E.

    2010-08-01

    Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon particles to be optimized for specific applications such as vascular targeting and avoidance of biological barriers commonly found between the site of drug injection and the final destination. In this study, the intracellular trafficking of unloaded carrier silicon particles and carrier particles loaded with secondary iron oxide nanoparticles was investigated. Following cellular uptake, membrane-encapsulated silicon particles migrated to the perinuclear region of the cell by a microtubule-driven mechanism. Surface charge, shape (spherical and hemispherical) and size (1.6 and 3.2 μm) of the particle did not alter the rate of migration. Maturation of the phagosome was associated with an increase in acidity and acquisition of markers of late endosomes and lysosomes. Cellular uptake of iron oxide nanoparticle-loaded silicon particles resulted in sorting of the particles and trafficking to unique destinations. The silicon carriers remained localized in phagosomes, while the second stage iron oxide nanoparticles were sorted into multi-vesicular bodies that dissociated from the phagosome into novel membrane-bound compartments. Release of iron from the cells may represent exocytosis of iron oxide nanoparticle-loaded vesicles. These results reinforce the concept of multi-functional nanocarriers, in which different particles are able to perform specific tasks, in order to deliver single- or multi-component payloads to specific sub-cellular compartments.Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon

  13. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800 C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800 C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700 C. at a low cost

  14. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    PubMed Central

    Ashok, Akarapu; Pal, Prem

    2014-01-01

    Silicon dioxide (SiO2) thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs) and microelectromechanical systems (MEMS). Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics. PMID:24672287

  15. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    NASA Astrophysics Data System (ADS)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  16. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  17. High concentration effects of neutral-potential-well interface traps on recombination dc current-voltage lineshape in metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Chen, Zuhui; Jie, Bin B.; Sah, Chih-Tang

    2008-11-01

    Steady-state Shockley-Read-Hall kinetics is employed to explore the high concentration effect of neutral-potential-well interface traps on the electron-hole recombination direct-current current-voltage (R-DCIV) properties in metal-oxide-silicon field-effect transistors. Extensive calculations include device parameter variations in neutral-trapping-potential-well electron interface-trap density NET (charge states 0 and -1), dopant impurity concentration PIM, oxide thickness Xox, forward source/drain junction bias VPN, and transistor temperature T. It shows significant distortion of the R-DCIV lineshape by the high concentrations of the interface traps. The result suggests that the lineshape distortion observed in past experiments, previously attributed to spatial variation in surface impurity concentration and energy distribution of interface traps in the silicon energy gap, can also arise from interface-trap concentration along surface channel region.

  18. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  19. Characterization of hybrid cobalt-porous silicon systems: protective effect of the Matrix in the metal oxidation

    PubMed Central

    2012-01-01

    In the present work, the characterization of cobalt-porous silicon (Co-PSi) hybrid systems is performed by a combination of magnetic, spectroscopic, and structural techniques. The Co-PSi structures are composed by a columnar matrix of PSi with Co nanoparticles embedded inside, as determined by Transmission Electron Microscopy (TEM). The oxidation state, crystalline structure, and magnetic behavior are determined by X-Ray Absorption Spectroscopy (XAS) and Alternating Gradient Field Magnetometry (AGFM). Additionally, the Co concentration profile inside the matrix has been studied by Rutherford Backscattering Spectroscopy (RBS). It is concluded that the PSi matrix can be tailored to provide the Co nanoparticles with extra protection against oxidation. PMID:22938050

  20. Process for forming silicon carbide films and microcomponents

    DOEpatents

    Hamza, A.V.; Balooch, M.; Moalem, M.

    1999-01-19

    Silicon carbide films and microcomponents are grown on silicon substrates at surface temperatures between 900 K and 1700 K via C{sub 60} precursors in a hydrogen-free environment. Selective crystalline silicon carbide growth can be achieved on patterned silicon-silicon oxide samples. Patterned SiC films are produced by making use of the high reaction probability of C{sub 60} with silicon at surface temperatures greater than 900 K and the negligible reaction probability for C{sub 60} on silicon dioxide at surface temperatures less than 1250 K. 5 figs.

  1. Process for forming silicon carbide films and microcomponents

    DOEpatents

    Hamza, Alex V.; Balooch, Mehdi; Moalem, Mehran

    1999-01-01

    Silicon carbide films and microcomponents are grown on silicon substrates at surface temperatures between 900 K and 1700 K via C.sub.60 precursors in a hydrogen-free environment. Selective crystalline silicon carbide growth can be achieved on patterned silicon-silicon oxide samples. Patterned SiC films are produced by making use of the high reaction probability of C.sub.60 with silicon at surface temperatures greater than 900 K and the negligible reaction probability for C.sub.60 on silicon dioxide at surface temperatures less than 1250 K.

  2. Single-molecule tracking studies of flow-induced microdomain alignment in cylinder-forming polystyrene-poly(ethylene oxide) diblock copolymer films.

    PubMed

    Tran-Ba, Khanh-Hoa; Higgins, Daniel A; Ito, Takashi

    2014-09-25

    Flow-based approaches are promising routes to preparation of aligned block copolymer microdomains within confined spaces. An in-depth characterization of such nanoscale morphologies within macroscopically nonuniform materials under ambient conditions is, however, often challenging. In this study, single-molecule tracking (SMT) methods were employed to probe the flow-induced alignment of cylindrical microdomains (ca. 22 nm in diameter) in polystyrene-poly(ethylene oxide) diblock copolymer (PS-b-PEO) films. Films of micrometer-scale thicknesses were prepared by overlaying a benzene solution droplet on a glass coverslip with a rectangular glass plate, followed by solvent evaporation under a nitrogen atmosphere. The microdomain alignment was quantitatively assessed from SMT data exhibiting the diffusional motions of individual sulforhodamine B fluorescent probes that preferentially partitioned into cylindrical PEO microdomains. Better overall microdomain orientation along the flow direction was observed near the substrate interface in films prepared at a higher flow rate, suggesting that the microdomain alignment was primarily induced by shear flow. The SMT data also revealed the presence of micrometer-scale grains consisting of highly ordered microdomains with coherent orientation. The results of this study provide insights into shear-based preparation of aligned cylindrical microdomains in block copolymer films from solutions within confined spaces.

  3. Feasibility study of silicon nitride regenerators

    NASA Technical Reports Server (NTRS)

    Fucinari, C. A.; Rao, V. D. N.

    1979-01-01

    The feasibility of silicon nitride as a regenerator matrix material for applications requiring inlet temperatures above 1000 C is examined. The present generation oxide ceramics are used as a reference to examine silicon nitride from a material characteristics, manufacturing, thermal stress and aerothermodynamic viewpoint.

  4. Silicon carbide-free graphene growth on silicon for lithium-ion battery with high volumetric energy density

    PubMed Central

    Son, In Hyuk; Hwan Park, Jong; Kwon, Soonchul; Park, Seongyong; Rümmeli, Mark H.; Bachmatiuk, Alicja; Song, Hyun Jae; Ku, Junhwan; Choi, Jang Wook; Choi, Jae-man; Doo, Seok-Gwang; Chang, Hyuk

    2015-01-01

    Silicon is receiving discernable attention as an active material for next generation lithium-ion battery anodes because of its unparalleled gravimetric capacity. However, the large volume change of silicon over charge–discharge cycles weakens its competitiveness in the volumetric energy density and cycle life. Here we report direct graphene growth over silicon nanoparticles without silicon carbide formation. The graphene layers anchored onto the silicon surface accommodate the volume expansion of silicon via a sliding process between adjacent graphene layers. When paired with a commercial lithium cobalt oxide cathode, the silicon carbide-free graphene coating allows the full cell to reach volumetric energy densities of 972 and 700 Wh l−1 at first and 200th cycle, respectively, 1.8 and 1.5 times higher than those of current commercial lithium-ion batteries. This observation suggests that two-dimensional layered structure of graphene and its silicon carbide-free integration with silicon can serve as a prototype in advancing silicon anodes to commercially viable technology. PMID:26109057

  5. Hot Electron Injection into Uniaxially Strained Silicon

    NASA Astrophysics Data System (ADS)

    Kim, Hyun Soo

    In semiconductor spintronics, silicon attracts great attention due to the long electron spin lifetime. Silicon is also one of the most commonly used semiconductor in microelectronics industry. The spin relaxation process of diamond crystal structure such as silicon is dominant by Elliot-Yafet mechanism. Yafet shows that intravalley scattering process is dominant. The conduction electron spin lifetime measured by electron spin resonance measurement and electronic measurement using ballistic hot electron method well agrees with Yafet's theory. However, the recent theory predicts a strong contribution of intervalley scattering process such as f-process in silicon. The conduction band minimum is close the Brillouin zone edge, X point which causes strong spin mixing at the conduction band. A recent experiment of electric field-induced hot electron spin relaxation also shows the strong effect of f-process in silicon. In uniaxially strained silicon along crystal axis [100], the suppression of f-process is predicted which leads to enhance electron spin lifetime. By inducing a change in crystal structure due to uniaxial strain, the six fold degeneracy becomes two fold degeneracy, which is valley splitting. As the valley splitting increases, intervalley scattering is reduced. A recent theory predicts 4 times longer electron spin lifetime in 0.5% uniaxially strained silicon. In this thesis, we demonstrate ballistic hot electron injection into silicon under various uniaxial strain. Spin polarized hot electron injection under strain is experimentally one of the most challenging part to measure conduction electron spin lifetime in silicon. Hot electron injection adopts tunnel junction which is a thin oxide layer between two conducting materials. Tunnel barrier, which is an oxide layer, is only 4 ˜ 5 nm thick. Also, two conducting materials are only tens of nanometer. Therefore, under high pressure to apply 0.5% strain on silicon, thin films on silicon substrate can be easily

  6. Silicon Cations Intermixed Indium Zinc Oxide Interface for High-Performance Thin-Film Transistors Using a Solution Process.

    PubMed

    Na, Jae Won; Rim, You Seung; Kim, Hee Jun; Lee, Jin Hyeok; Hong, Seonghwan; Kim, Hyun Jae

    2017-09-06

    Solution-processed amorphous metal-oxide thin-film transistors (TFTs) utilizing an intermixed interface between a metal-oxide semiconductor and a dielectric layer are proposed. In-depth physical characterizations are carried out to verify the existence of the intermixed interface that is inevitably formed by interdiffusion of cations originated from a thermal process. In particular, when indium zinc oxide (IZO) semiconductor and silicon dioxide (SiO 2 ) dielectric layer are in contact and thermally processed, a Si 4+ intermixed IZO (Si/IZO) interface is created. On the basis of this concept, a high-performance Si/IZO TFT having both a field-effect mobility exceeding 10 cm 2 V -1 s -1 and a on/off current ratio over 10 7 is successfully demonstrated.

  7. Process for strengthening silicon based ceramics

    DOEpatents

    Kim, Hyoun-Ee; Moorhead, A. J.

    1993-01-01

    A process for strengthening silicon based ceramic monolithic materials and omposite materials that contain silicon based ceramic reinforcing phases that requires that the ceramic be exposed to a wet hydrogen atmosphere at about 1400.degree. C. The process results in a dense, tightly adherent silicon containing oxide layer that heals, blunts , or otherwise negates the detrimental effect of strength limiting flaws on the surface of the ceramic body.

  8. Process for strengthening silicon based ceramics

    DOEpatents

    Kim, Hyoun-Ee; Moorhead, A. J.

    1993-04-06

    A process for strengthening silicon based ceramic monolithic materials and omposite materials that contain silicon based ceramic reinforcing phases that requires that the ceramic be exposed to a wet hydrogen atmosphere at about 1400.degree. C. The process results in a dense, tightly adherent silicon containing oxide layer that heals, blunts , or otherwise negates the detrimental effect of strength limiting flaws on the surface of the ceramic body.

  9. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800.degree. C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800.degree. C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700.degree. C. at a low cost

  10. Oriented conductive oxide electrodes on SiO2/Si and glass

    DOEpatents

    Jia, Quanxi; Arendt, Paul N.

    2001-01-01

    A thin film structure is provided including a silicon substrate with a layer of silicon dioxide on a surface thereof, and a layer of cubic oxide material deposited upon the layer of silicon dioxide by ion-beam-assisted-deposition, said layer of cubic oxide material characterized as biaxially oriented. Preferably, the cubic oxide material is yttria-stabilized zirconia. Additional thin layers of biaxially oriented ruthenium oxide or lanthanum strontium cobalt oxide are deposited upon the layer of yttria-stabilized zirconia. An intermediate layer of cerium oxide is employed between the yttria-stabilized zirconia layer and the lanthanum strontium cobalt oxide layer. Also, a layer of barium strontium titanium oxide can be upon the layer of biaxially oriented ruthenium oxide or lanthanum strontium cobalt oxide. Also, a method of forming such thin film structures, including a low temperature deposition of a layer of a biaxially oriented cubic oxide material upon the silicon dioxide surface of a silicon dioxide/silicon substrate is provided.

  11. The suitability of silicon carbide for photocatalytic water oxidation

    NASA Astrophysics Data System (ADS)

    Aslam, M.; Qamar, M. T.; Ahmed, Ikram; Rehman, Ateeq Ur; Ali, Shahid; Ismail, I. M. I.; Hameed, Abdul

    2018-04-01

    Silicon carbide (SiC), owing to its extraordinary chemical stability and refractory properties, is widely used in the manufacturing industry. Despite the semiconducting nature and morphology-tuned band gap, its efficacy as photocatalysts has not been thoroughly investigated. The current study reports the synthesis, characterization and the evaluation of the capability of silicon carbide for hydrogen generation from water splitting. The optical characterization of the as-synthesized powder exposed the formation of multi-wavelength absorbing entities in synthetic process. The structural analysis by XRD and the fine microstructure analysis by HRTEM revealed the cubic 3C-SiC (β-SiC) and hexagonal α-polymorphs (2H-SiC and 6H-SiC) as major and minor phases, respectively. The Mott-Schottky analysis verified the n-type nature of the material with the flat band potential of - 0.7 V. In the electrochemical evaluation, the sharp increase in the peak currents in various potential ranges, under illumination, revealed the plausible potential of the material for the oxidation of water and generation of hydrogen. The generation of hydrogen and oxygen, as a consequence of water splitting in the actual photocatalytic experiments, was observed and measured. A significant increase in the yield of hydrogen was noticed in the presence of methanol as h + scavenger, whereas a retarding effect was offered by the Fe3+ entities that served as e - scavengers. The combined effect of both methanol and Fe3+ ions in the photocatalytic process was also investigated. Besides hydrogen gas, the other evolved gasses such as methane and carbon monoxide were also measured to estimate the mechanism of the process.

  12. Silicon-graphene photonic devices

    NASA Astrophysics Data System (ADS)

    Yin, Yanlong; Li, Jiang; Xu, Yang; Tsang, Hon Ki; Dai, Daoxin

    2018-06-01

    Silicon photonics has attracted much attention because of the advantages of CMOS (complementary-metal-oxide-semiconductor) compatibility, ultra-high integrated density, etc. Great progress has been achieved in the past decades. However, it is still not easy to realize active silicon photonic devices and circuits by utilizing the material system of pure silicon due to the limitation of the intrinsic properties of silicon. Graphene has been regarded as a promising material for optoelectronics due to its unique properties and thus provides a potential option for realizing active photonic integrated devices on silicon. In this paper, we present a review on recent progress of some silicon-graphene photonic devices for photodetection, all-optical modulation, as well as thermal-tuning. Project supported by the National Major Research and Development Program (No. 2016YFB0402502), the National Natural Science Foundation of China (Nos. 11374263, 61422510, 61431166001, 61474099, 61674127), and the National Key Research and Development Program (No. 2016YFA0200200).

  13. Low resistance Ohmic contact to p-type crystalline silicon via nitrogen-doped copper oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xinyu, E-mail: xinyu.zhang@anu.edu.au; Wan, Yimao; Bullock, James

    2016-08-01

    This work explores the application of transparent nitrogen doped copper oxide (CuO{sub x}:N) films deposited by reactive sputtering to create hole-selective contacts for p-type crystalline silicon (c-Si) solar cells. It is found that CuO{sub x}:N sputtered directly onto crystalline silicon is able to form an Ohmic contact. X-ray photoelectron spectroscopy and Raman spectroscopy measurements are used to characterise the structural and physical properties of the CuO{sub x}:N films. Both the oxygen flow rate and the substrate temperature during deposition have a significant impact on the film composition, as well as on the resulting contact resistivity. After optimization, a low contactmore » resistivity of ∼10 mΩ cm{sup 2} has been established. This result offers significant advantages over conventional contact structures in terms of carrier transport and device fabrication.« less

  14. Plasmaless cleaning process of silicon surface using chlorine trifluoride

    NASA Astrophysics Data System (ADS)

    Saito, Yoji; Yamaoka, Osamu; Yoshida, Akira

    1990-03-01

    Plasmaless etching using ClF3 gas around room temperature has been investigated for the silicon substrates with the various thicknesses of native oxide. The native oxide can be removed with ClF3 gas. A specular surface is obtained by ultraviolet light irradiation which remarkably accelerates the removal of the native oxide without changing the etch rate of silicon. The etched surface is analyzed with Auger electron measurement, indicating the existence of Cl atoms on it.

  15. Infrared photocurrent management in monolithic perovskite/silicon heterojunction tandem solar cells by using a nanocrystalline silicon oxide interlayer.

    PubMed

    Mazzarella, Luana; Werth, Matteo; Jäger, Klaus; Jošt, Marko; Korte, Lars; Albrecht, Steve; Schlatmann, Rutger; Stannowski, Bernd

    2018-05-14

    We performed optical simulations using hydrogenated nanocrystalline silicon oxide (nc-SiO x :H) as n-doped interlayer in monolithic perovskite/c-Si heterojunction tandem solar cells. Depending on the adjustable value of its refractive index (2.0 - 2.7) and thickness, nc-SiO x :H allows to optically manage the infrared light absorption in the c-Si bottom cell minimizing reflection losses. We give guidelines for nc-SiO x :H optimization in tandem devices in combination with a systematic investigation of the effect of the surface morphology (flat or textured) on the photocurrent density. For full-flat and rear textured devices, we found matched photocurrents higher than 19 and 20 mA/cm 2 , respectively, using a 90 nm nc-SiO x :H interlayer with a refractive index of 2.7.

  16. Carrier Selective, Passivated Contacts for High Efficiency Silicon Solar Cells based on Transparent Conducting Oxides

    DOE PAGES

    Young, David L.; Nemeth, William; Grover, Sachit; ...

    2014-01-01

    We describe the design, fabrication and results of passivated contacts to n-type silicon utilizing thin SiO 2 and transparent conducting oxide layers. High temperature silicon dioxide is grown on both surfaces of an n-type wafer to a thickness <50 Å, followed by deposition of tin-doped indium oxide (ITO) and a patterned metal contacting layer. As deposited, the thin-film stack has a very high J0, contact, and a non-ohmic, high contact resistance. However, after a forming gas anneal, the passivation quality and the contact resistivity improve significantly. The contacts are characterized by measuring the recombination parameter of the contact (J0, contact)more » and the specific contact resistivity (ρ contact) using a TLM pattern. The best ITO/SiO 2 passivated contact in this study has J 0,contact = 92.5 fA/cm 2 and ρ contact = 11.5 mOhm-cm 2. These values are placed in context with other passivating contacts using an analysis that determines the ultimate efficiency and the optimal area fraction for contacts for a given set of (J0, contact, ρ contact) values. The ITO/SiO 2 contacts are found to have a higher J0, contact, but a similar ρ contact compared to the best reported passivated contacts.« less

  17. Shadow-casted ultrathin surface coatings of titanium and titanium/silicon oxide sol particles via ultrasound-assisted deposition.

    PubMed

    Karahan, H Enis; Birer, Özgür; Karakuş, Kerem; Yıldırım, Cansu

    2016-07-01

    Ultrasound-assisted deposition (USAD) of sol nanoparticles enables the formation of uniform and inherently stable thin films. However, the technique still suffers in coating hard substrates and the use of fast-reacting sol-gel precursors still remains challenging. Here, we report on the deposition of ultrathin titanium and titanium/silicon hybrid oxide coatings using hydroxylated silicon wafers as a model hard substrate. We use acetic acid as the catalyst which also suppresses the reactivity of titanium tetraisopropoxide while increasing the reactivity of tetraethyl orthosilicate through chemical modifications. Taking the advantage of this peculiar behavior, we successfully prepared titanium and titanium/silicon hybrid oxide coatings by USAD. Varying the amount of acetic acid in the reaction media, we managed to modulate thickness and surface roughness of the coatings in nanoscale. Field-emission scanning electron microscopy and atomic force microscopy studies showed the formation of conformal coatings having nanoroughness. Quantitative chemical state maps obtained by x-ray photoelectron spectroscopy (XPS) suggested the formation of ultrathin (<10nm) coatings and thickness measurements by rotating analyzer ellipsometry supported this observation. For the first time, XPS chemical maps revealed the transport effect of ultrasonic waves since coatings were directly cast on rectangular substrates as circular shadows of the horn with clear thickness gradient from the center to the edges. In addition to the progress made in coating hard substrates, employing fast-reacting precursors and achieving hybrid coatings; this report provides the first visual evidence on previously suggested "acceleration and smashing" mechanism as the main driving force of USAD. Copyright © 2016 Elsevier B.V. All rights reserved.

  18. Method for making circular tubular channels with two silicon wafers

    DOEpatents

    Yu, C.M.; Hui, W.C.

    1996-11-19

    A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si{sub 3}N{sub 4}) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO{sub 3}/CH{sub 3}COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary. 11 figs.

  19. Method for making circular tubular channels with two silicon wafers

    DOEpatents

    Yu, Conrad M.; Hui, Wing C.

    1996-01-01

    A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si.sub.3 N.sub.4) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO.sub.3 /CH.sub.3 COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary.

  20. Aligned carbon nanotube/zinc oxide nanowire hybrids as high performance electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Al-Asadi, Ahmed S.; Henley, Luke Alexander; Wasala, Milinda; Muchharla, Baleeswaraiah; Perea-Lopez, Nestor; Carozo, Victor; Lin, Zhong; Terrones, Mauricio; Mondal, Kanchan; Kordas, Krisztian; Talapatra, Saikat

    2017-03-01

    Carbon nanotube/metal oxide based hybrids are envisioned as high performance electrochemical energy storage electrodes since these systems can provide improved performances utilizing an electric double layer coupled with fast faradaic pseudocapacitive charge storage mechanisms. In this work, we show that high performance supercapacitor electrodes with a specific capacitance of ˜192 F/g along with a maximum energy density of ˜3.8 W h/kg and a power density of ˜ 28 kW/kg can be achieved by synthesizing zinc oxide nanowires (ZnO NWs) directly on top of aligned multi-walled carbon nanotubes (MWCNTs). In comparison to pristine MWCNTs, these constitute a 12-fold of increase in specific capacitance as well as corresponding power and energy density values. These electrodes also possess high cycling stability and were able to retain ˜99% of their specific capacitance value over 2000 charging discharging cycles. These findings indicate potential use of a MWCNT/ZnO NW hybrid material for future electrochemical energy storage applications.

  1. Silicon nanocluster-sensitized emission from erbium: The role of stress in the formation of silicon nanoclusters

    NASA Astrophysics Data System (ADS)

    Ahmad, I.; Temple, M. P.; Kallis, A.; Wojdak, M.; Oton, C. J.; Barbier, D.; Saleh, H.; Kenyon, A. J.; Loh, W. H.

    2008-12-01

    Erbium-doped silicon-rich silicon oxide films deposited by plasma enhanced chemical vapor deposition suffer from compressive stress as deposited, which converts to a large tensile stress on annealing due to the release of hydrogen. Although the cracking that results from this stress can be avoided by patterning the films into ridges, significant stress remains along the ridge axis. Measurements of erbium photoluminescence sensitized by silicon nanoclusters in stressed and relaxed films suggest an important role for internal film stresses in promoting the phase separation of excess silicon into nanoclusters, which has previously been thought of as a thermally driven process.

  2. Transparent electrodes in silicon heterojunction solar cells: Influence on contact passivation

    DOE PAGES

    Tomasi, Andrea; Sahli, Florent; Seif, Johannes Peter; ...

    2015-10-26

    Charge carrier collection in silicon heterojunction solar cells occurs via intrinsic/doped hydrogenated amorphous silicon layer stacks deposited on the crystalline silicon wafer surfaces. Usually, both the electron and hole collecting stacks are externally capped by an n-type transparent conductive oxide, which is primarily needed for carrier extraction. Earlier, it has been demonstrated that the mere presence of such oxides can affect the carrier recombination in the crystalline silicon absorber. Here, we present a detailed investigation of the impact of this phenomenon on both the electron and hole collecting sides, including its consequences for the operating voltages of silicon heterojunction solarmore » cells. As a result, we define guiding principles for improved passivating contact design for high-efficiency silicon solar cells.« less

  3. Transparent electrodes in silicon heterojunction solar cells: Influence on contact passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomasi, Andrea; Sahli, Florent; Seif, Johannes Peter

    Charge carrier collection in silicon heterojunction solar cells occurs via intrinsic/doped hydrogenated amorphous silicon layer stacks deposited on the crystalline silicon wafer surfaces. Usually, both the electron and hole collecting stacks are externally capped by an n-type transparent conductive oxide, which is primarily needed for carrier extraction. Earlier, it has been demonstrated that the mere presence of such oxides can affect the carrier recombination in the crystalline silicon absorber. Here, we present a detailed investigation of the impact of this phenomenon on both the electron and hole collecting sides, including its consequences for the operating voltages of silicon heterojunction solarmore » cells. As a result, we define guiding principles for improved passivating contact design for high-efficiency silicon solar cells.« less

  4. Antireflection/Passivation Step For Silicon Cell

    NASA Technical Reports Server (NTRS)

    Crotty, Gerald T.; Kachare, Akaram H.; Daud, Taher

    1988-01-01

    New process excludes usual silicon oxide passivation. Changes in principal electrical parameters during two kinds of processing suggest antireflection treatment almost as effective as oxide treatment in passivating cells. Does so without disadvantages of SiOx passivation.

  5. Electron tunnelling into amorphous germanium and silicon.

    NASA Technical Reports Server (NTRS)

    Smith, C. W.; Clark, A. H.

    1972-01-01

    Measurements of tunnel conductance versus bias, capacitance versus bias, and internal photoemission were made in the systems aluminum-oxide-amorphous germanium and aluminium-oxide-amorphous silicon. A function was extracted which expresses the deviation of these systems from the aluminium-oxide-aluminium system.

  6. Effect of gamma irradiation on the photoluminescence of porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M.; Goryachev, D. N.

    The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a changemore » in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.« less

  7. Graphene oxide as a p-dopant and an anti-reflection coating layer, in graphene/silicon solar cells

    NASA Astrophysics Data System (ADS)

    Yavuz, S.; Kuru, C.; Choi, D.; Kargar, A.; Jin, S.; Bandaru, P. R.

    2016-03-01

    It is shown that coating graphene-silicon (Gr/Si) Schottky junction based solar cells with graphene oxide (GO) improves the power conversion efficiency (PCE) of the cells, while demonstrating unprecedented device stability. The PCE has been shown to be increased to 10.6% (at incident radiation of 100 mW cm-2) for the Gr/Si solar cell with an optimal GO coating thickness compared to 3.6% for a bare/uncoated Gr/Si solar cell. The p-doping of graphene by the GO, which also serves as an antireflection coating (ARC) has been shown to be a main contributing factor to the enhanced PCE. A simple spin coating process has been used to apply GO with thickness commensurate with an anti-refection coating (ARC) and indicates the suitability of the developed methodology for large-scale solar cell assembly.It is shown that coating graphene-silicon (Gr/Si) Schottky junction based solar cells with graphene oxide (GO) improves the power conversion efficiency (PCE) of the cells, while demonstrating unprecedented device stability. The PCE has been shown to be increased to 10.6% (at incident radiation of 100 mW cm-2) for the Gr/Si solar cell with an optimal GO coating thickness compared to 3.6% for a bare/uncoated Gr/Si solar cell. The p-doping of graphene by the GO, which also serves as an antireflection coating (ARC) has been shown to be a main contributing factor to the enhanced PCE. A simple spin coating process has been used to apply GO with thickness commensurate with an anti-refection coating (ARC) and indicates the suitability of the developed methodology for large-scale solar cell assembly. Electronic supplementary information (ESI) available: (i) Experimental methods, (ii) optical images of devices with and without graphene oxide (GO), (iii) comparison of the power conversion efficiency (PCE) due to the GO coating and nitric acid doping, (iv) specular and diffuse reflectance measurements, (v) stability data of pristine graphene/silicon (Gr/Si) solar cells. See DOI: 10.1039/c5

  8. Bis(tri-n-hexylsilyl oxide) silicon phthalocyanine: a unique additive in ternary bulk heterojunction organic photovoltaic devices.

    PubMed

    Lessard, Benoît H; Dang, Jeremy D; Grant, Trevor M; Gao, Dong; Seferos, Dwight S; Bender, Timothy P

    2014-09-10

    Previous studies have shown that the use of bis(tri-n-hexylsilyl oxide) silicon phthalocyanine ((3HS)2-SiPc) as an additive in a P3HT:PC61BM cascade ternary bulk heterojunction organic photovoltaic (BHJ OPV) device results in an increase in the short circuit current (J(SC)) and efficiency (η(eff)) of up to 25% and 20%, respectively. The previous studies have attributed the increase in performance to the presence of (3HS)2-SiPc at the BHJ interface. In this study, we explored the molecular characteristics of (3HS)2-SiPc which makes it so effective in increasing the OPV device J(SC) and η(eff. Initially, we synthesized phthalocyanine-based additives using different core elements such as germanium and boron instead of silicon, each having similar frontier orbital energies compared to (3HS)2-SiPc and tested their effect on BHJ OPV device performance. We observed that addition of bis(tri-n-hexylsilyl oxide) germanium phthalocyanine ((3HS)2-GePc) or tri-n-hexylsilyl oxide boron subphthalocyanine (3HS-BsubPc) resulted in a nonstatistically significant increase in JSC and η(eff). Secondly, we kept the silicon phthalocyanine core and substituted the tri-n-hexylsilyl solubilizing groups with pentadecyl phenoxy groups and tested the resulting dye in a BHJ OPV. While an increase in JSC and η(eff) was observed at low (PDP)2-SiPc loadings, the increase was not as significant as (3HS)2-SiPc; therefore, (3HS)2-SiPc is a unique additive. During our study, we observed that (3HS)2-SiPc had an extraordinary tendency to crystallize compared to the other compounds in this study and our general experience. On the basis of this observation, we have offered a hypothesis that when (3HS)2-SiPc migrates to the P3HT:PC61BM interface the reason for its unique performance is not solely due to its frontier orbital energies but also might be due to a high driving force for crystallization.

  9. Comparative cytotoxicity and genotoxicity of cobalt (II, III) oxide, iron (III) oxide, silicon dioxide, and aluminum oxide nanoparticles on human lymphocytes in vitro.

    PubMed

    Rajiv, S; Jerobin, J; Saranya, V; Nainawat, M; Sharma, A; Makwana, P; Gayathri, C; Bharath, L; Singh, M; Kumar, M; Mukherjee, A; Chandrasekaran, N

    2016-02-01

    Despite the extensive use of nanoparticles (NPs) in various fields, adequate knowledge of human health risk and potential toxicity is still lacking. The human lymphocytes play a major role in the immune system, and it can alter the antioxidant level when exposed to NPs. Identification of the hazardous NPs was done using in vitro toxicity tests and this study mainly focuses on the comparative in vitro cytotoxicity and genotoxicity of four different NPs including cobalt (II, III) oxide (Co3O4), iron (III) oxide (Fe2O3), silicon dioxide (SiO2), and aluminum oxide (Al2O3) on human lymphocytes. The Co3O4 NPs showed decrease in cellular viability and increase in cell membrane damage followed by Fe2O3, SiO2, and Al2O3 NPs in a dose-dependent manner after 24 h of exposure to human lymphocytes. The oxidative stress was evidenced in human lymphocytes by the induction of reactive oxygen species, lipid peroxidation, and depletion of catalase, reduced glutathione, and superoxide dismutase. The Al2O3 NPs showed the least DNA damage when compared with all the other NPs. Chromosomal aberration was observed at 100 µg/ml when exposed to Co3O4 NPs and Fe2O3 NPs. The alteration in the level of antioxidant caused DNA damage and chromosomal aberration in human lymphocytes. © The Author(s) 2015.

  10. Porous silicon carbide (SIC) semiconductor device

    NASA Technical Reports Server (NTRS)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  11. Surface acoustic wave/silicon monolithic sensor/processor

    NASA Technical Reports Server (NTRS)

    Kowel, S. T.; Kornreich, P. G.; Nouhi, A.; Kilmer, R.; Fathimulla, M. A.; Mehter, E.

    1983-01-01

    A new technique for sputter deposition of piezoelectric zinc oxide (ZnO) is described. An argon-ion milling system was converted to sputter zinc oxide films in an oxygen atmosphere using a pure zinc oxide target. Piezoelectric films were grown on silicon dioxide and silicon dioxide overlayed with gold. The sputtered films were evaluated using surface acoustic wave measurements, X-ray diffraction, scanning electron microscopy, Auger electron spectroscopy, and resistivity measurements. The effect of the sputtering conditions on the film quality and the result of post-deposition annealing are discussed. The application of these films to the generation of surface acoustic waves is also discussed.

  12. Plasma Enabled Fabrication of Silicon Carbide Nanostructures

    NASA Astrophysics Data System (ADS)

    Fang, Jinghua; Levchenko, Igor; Aramesh, Morteza; Rider, Amanda E.; Prawer, Steven; Ostrikov, Kostya (Ken)

    Silicon carbide is one of the promising materials for the fabrication of various one- and two-dimensional nanostructures. In this chapter, we discuss experimental and theoretical studies of the plasma-enabled fabrication of silicon carbide quantum dots, nanowires, and nanorods. The discussed fabrication methods include plasma-assisted growth with and without anodic aluminium oxide membranes and with or without silane as a source of silicon. In the silane-free experiments, quartz was used as a source of silicon to synthesize the silicon carbide nanostructures in an environmentally friendly process. The mechanism of the formation of nanowires and nanorods is also discussed.

  13. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  14. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    PubMed

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  15. The reactive element effect of yttrium and yttrium silicon on high temperature oxidation of NiCrAl coating

    NASA Astrophysics Data System (ADS)

    Ramandhany, S.; Sugiarti, E.; Desiati, R. D.; Martides, E.; Junianto, E.; Prawara, B.; Sukarto, A.; Tjahjono, A.

    2018-03-01

    The microstructure formed on the bond coat affects the oxidation resistance, particularly the formation of a protective oxide layer. The adhesion of bond coat and TGO increased significantly by addition of reactive element. In the present work, the effect of yttrium and yttrium silicon as reactive element (RE) on NiCrAl coating was investigated. The NiCrAl (without RE) and NiCrAlX (X:Y or YSi) bond coating were deposited on Hastelloy C-276 substrate by High Velocity Oxygen Fuel (HVOF) method. Isothermal oxidation was carried out at 1000 °C for 100 hours. The results showed that the addition of RE could prevent the breakaway oxidation. Therefore, the coating with reactive element were more protective against high temperature oxidation. Furthermore, the oxidation rate of NiCrAlY coating was lower than NiCrAlYSi coating with the total mass change was ±2.394 mg/cm2 after 100 hours of oxidation. The thickness of oxide scale was approximately 1.18 μm consisting of duplex oxide scale of spinel NiCr2O4 in outer scale and protective α-Al2O3 in inner scale.

  16. Silicon heterojunction solar cell with passivated hole selective MoOx contact

    NASA Astrophysics Data System (ADS)

    Battaglia, Corsin; de Nicolás, Silvia Martín; De Wolf, Stefaan; Yin, Xingtian; Zheng, Maxwell; Ballif, Christophe; Javey, Ali

    2014-03-01

    We explore substoichiometric molybdenum trioxide (MoOx, x < 3) as a dopant-free, hole-selective contact for silicon solar cells. Using an intrinsic hydrogenated amorphous silicon passivation layer between the oxide and the silicon absorber, we demonstrate a high open-circuit voltage of 711 mV and power conversion efficiency of 18.8%. Due to the wide band gap of MoOx, we observe a substantial gain in photocurrent of 1.9 mA/cm2 in the ultraviolet and visible part of the solar spectrum, when compared to a p-type amorphous silicon emitter of a traditional silicon heterojunction cell. Our results emphasize the strong potential for oxides as carrier selective heterojunction partners to inorganic semiconductors.

  17. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    PubMed

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  18. Intracellular Trafficking of Silicon Particles and Logic-Embedded Vectors

    PubMed Central

    Ferrati, Silvia; Mack, Aaron; Chiappini, Ciro; Liu, Xuewu; Bean, Andrew J.; Ferrari, Mauro; Serda, Rita E.

    2010-01-01

    Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon particles to be optimized for specific applications such as vascular targeting and avoidance of biological barriers commonly found between the site of drug injection and the final destination. In this study, the intracellular trafficking of unloaded carrier silicon particles and carrier particles loaded with secondary iron oxide nanoparticles was investigated. Following cellular uptake, membrane-encapsulated silicon particles migrated to the perinuclear region of the cell by a microtubule-driven mechanism. Surface charge, shape (spherical and hemispherical) and size (1.6 and 3.2 μm) of the particle did not alter the rate of migration. Maturation of the phagosome was associated with an increase in acidity and acquisition of markers of late endosomes and lysosomes. Cellular uptake of iron oxide nanoparticle-loaded silicon particles resulted in sorting of the particles and trafficking to unique destinations. The silicon carriers remained localized in phagosomes, while the second stage iron oxide nanoparticles were sorted into multi-vesicular bodies that dissociated from the phagosome into novel membrane-bound compartments. Release of iron from the cells may represent exocytosis of iron oxide nanoparticle-loaded vesicles. These results reinforce the concept of multi-functional nanocarriers, in which different particles are able to perform specific tasks, in order to deliver single- or multi-component payloads to specific sub-cellular compartments. PMID:20820744

  19. Synthesis of silicon nanotubes with cobalt silicide ends using anodized aluminum oxide template.

    PubMed

    Zhang, Zhang; Liu, Lifeng; Shimizu, Tomohiro; Senz, Stephan; Gösele, Ulrich

    2010-02-05

    Silicon nanotubes (SiNTs) are compatible with Si-based semiconductor technology. In particular, the small diameters and controllable structure of such nanotubes are remaining challenges. Here we describe a method to fabricate SiNTs intrinsically connected with cobalt silicide ends based on highly ordered anodic aluminum oxide (AAO) templates. Size and growth direction of the SiNTs can be well controlled via the templates. The growth of SiNTs is catalyzed by the Co nanoparticles reduced on the pore walls of the AAO after annealing, with a controllable thickness at a given growth temperature and time. Simultaneously, cobalt silicide forms on the bottom side of the SiNTs.

  20. Effect of the temperature and dew point of the decarburization process on the oxide subscale of a 3% silicon steel

    NASA Astrophysics Data System (ADS)

    Cesar, Maria das Graças M. M.; Mantel, Marc J.

    2003-01-01

    The oxide subscale formed on the decarburization annealing of 3% Si-Fe was investigated using microscopy and spectroscopy techniques. It was found that the morphology as well as the molecular structure of the subscale are affected by temperature and dew point. The results suggest that there is an optimum level of internal oxidation and an optimum fayalite/silica ratio in the subscale to achieve a oriented grain silicon steel having a continuous and smooth ceramic film and low core loss.

  1. Silicone azide fireproof material

    NASA Technical Reports Server (NTRS)

    1978-01-01

    Finely powdered titanium oxide was added to silicone azide as the sintering agent to produce a nonflammable material. Mixing proportions, physical properties, and chemical composition of the fireproofing material are included.

  2. A silicon metal-oxide-semiconductor electron spin-orbit qubit.

    PubMed

    Jock, Ryan M; Jacobson, N Tobias; Harvey-Collard, Patrick; Mounce, Andrew M; Srinivasa, Vanita; Ward, Dan R; Anderson, John; Manginell, Ron; Wendt, Joel R; Rudolph, Martin; Pluym, Tammy; Gamble, John King; Baczewski, Andrew D; Witzel, Wayne M; Carroll, Malcolm S

    2018-05-02

    The silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin-orbit (SO) effects. Here we advantageously use interface-SO coupling for a critical control axis in a double-quantum-dot singlet-triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface-SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, [Formula: see text], of 1.6 μs is consistent with 99.95% 28 Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.

  3. Silicone nanocomposite coatings for fabrics

    NASA Technical Reports Server (NTRS)

    Lee, Stein S. (Inventor); Ou, Runqing (Inventor); Eberts, Kenneth (Inventor); Singhal, Amit (Inventor)

    2011-01-01

    A silicone based coating for fabrics utilizing dual nanocomposite fillers providing enhanced mechanical and thermal properties to the silicone base. The first filler includes nanoclusters of polydimethylsiloxane (PDMS) and a metal oxide and a second filler of exfoliated clay nanoparticles. The coating is particularly suitable for inflatable fabrics used in several space, military, and consumer applications, including airbags, parachutes, rafts, boat sails, and inflatable shelters.

  4. Integrated on-chip solid state capacitor based on vertically aligned carbon nanofibers, grown using a CMOS temperature compatible process

    NASA Astrophysics Data System (ADS)

    Saleem, Amin M.; Andersson, Rickard; Desmaris, Vincent; Enoksson, Peter

    2018-01-01

    Complete miniaturized on-chip integrated solid-state capacitors have been fabricated based on conformal coating of vertically aligned carbon nanofibers (VACNFs), using a CMOS temperature compatible microfabrication processes. The 5 μm long VACNFs, operating as electrode, are grown on a silicon substrate and conformally coated by aluminum oxide dielectric using atomic layer deposition (ALD) technique. The areal (footprint) capacitance density value of 11-15 nF/mm2 is realized with high reproducibility. The CMOS temperature compatible microfabrication, ultra-low profile (less than 7 μm thickness) and high capacitance density would enables direct integration of micro energy storage devices on the active CMOS chip, multi-chip package and passives on silicon or glass interposer. A model is developed to calculate the surface area of VACNFs and the effective capacitance from the devices. It is thereby shown that 71% of surface area of the VACNFs has contributed to the measured capacitance, and by using the entire area the capacitance can potentially be increased.

  5. Efficient Flame Detection and Early Warning Sensors on Combustible Materials Using Hierarchical Graphene Oxide/Silicone Coatings.

    PubMed

    Wu, Qian; Gong, Li-Xiu; Li, Yang; Cao, Cheng-Fei; Tang, Long-Cheng; Wu, Lianbin; Zhao, Li; Zhang, Guo-Dong; Li, Shi-Neng; Gao, Jiefeng; Li, Yongjin; Mai, Yiu-Wing

    2018-01-23

    Design and development of smart sensors for rapid flame detection in postcombustion and early fire warning in precombustion situations are critically needed to improve the fire safety of combustible materials in many applications. Herein, we describe the fabrication of hierarchical coatings created by assembling a multilayered graphene oxide (GO)/silicone structure onto different combustible substrate materials. The resulting coatings exhibit distinct temperature-responsive electrical resistance change as efficient early warning sensors for detecting abnormal high environmental temperature, thus enabling fire prevention below the ignition temperature of combustible materials. After encountering a flame attack, we demonstrate extremely rapid flame detection response in 2-3 s and excellent flame self-extinguishing retardancy for the multilayered GO/silicone structure that can be synergistically transformed to a multiscale graphene/nanosilica protection layer. The hierarchical coatings developed are promising for fire prevention and protection applications in various critical fire risk and related perilous circumstances.

  6. Optical and x-ray alignment approaches for off-plane reflection gratings

    NASA Astrophysics Data System (ADS)

    Allured, Ryan; Donovan, Benjamin D.; DeRoo, Casey T.; Marlowe, Hannah R.; McEntaffer, Randall L.; Tutt, James H.; Cheimets, Peter N.; Hertz, Edward; Smith, Randall K.; Burwitz, Vadim; Hartner, Gisela; Menz, Benedikt

    2015-09-01

    Off-plane reflection gratings offer the potential for high-resolution, high-throughput X-ray spectroscopy on future missions. Typically, the gratings are placed in the path of a converging beam from an X-ray telescope. In the off-plane reflection grating case, these gratings must be co-aligned such that their diffracted spectra overlap at the focal plane. Misalignments degrade spectral resolution and effective area. In-situ X-ray alignment of a pair of off-plane reflection gratings in the path of a silicon pore optics module has been performed at the MPE PANTER beamline in Germany. However, in-situ X-ray alignment may not be feasible when assembling all of the gratings required for a satellite mission. In that event, optical methods must be developed to achieve spectral alignment. We have developed an alignment approach utilizing a Shack-Hartmann wavefront sensor and diffraction of an ultraviolet laser. We are fabricating the necessary hardware, and will be taking a prototype grating module to an X-ray beamline for performance testing following assembly and alignment.

  7. Silicon oxide: a non-innocent surface for molecular electronics and nanoelectronics studies.

    PubMed

    Yao, Jun; Zhong, Lin; Natelson, Douglas; Tour, James M

    2011-02-02

    Silicon oxide (SiO(x)) has been widely used in many electronic systems as a supportive and insulating medium. Here, we demonstrate various electrical phenomena such as resistive switching and related nonlinear conduction, current hysteresis, and negative differential resistance intrinsic to a thin layer of SiO(x). These behaviors can largely mimic numerous electrical phenomena observed in molecules and other nanomaterials, suggesting that substantial caution should be paid when studying conduction in electronic systems with SiO(x) as a component. The actual electrical phenomena can be the result of conduction from SiO(x) at a post soft-breakdown state and not the presumed molecular or nanomaterial component. These electrical properties and the underlying mechanisms are discussed in detail.

  8. Interdigitated back contact solar cells with polycrystalline silicon on oxide passivating contacts for both polarities

    NASA Astrophysics Data System (ADS)

    Haase, Felix; Kiefer, Fabian; Schäfer, Sören; Kruse, Christian; Krügener, Jan; Brendel, Rolf; Peibst, Robby

    2017-08-01

    We demonstrate an independently confirmed 25.0%-efficient interdigitated back contact silicon solar cell with passivating polycrystalline silicon (poly-Si) on oxide (POLO) contacts that enable a high open circuit voltage of 723 mV. We use n-type POLO contacts with a measured saturation current density of J 0n = 4 fA cm-2 and p-type POLO contacts with J 0p = 10 fA cm-2. The textured front side and the gaps between the POLO contacts on the rear are passivated by aluminum oxide (AlO x ) with J 0AlO x = 6 fA cm-2 as measured after deposition. We analyze the recombination characteristics of our solar cells at different process steps using spatially resolved injection-dependent carrier lifetimes measured by infrared lifetime mapping. The implied pseudo-efficiency of the unmasked cell, i.e., cell and perimeter region are illuminated during measurement, is 26.2% before contact opening, 26.0% after contact opening and 25.7% for the finished cell. This reduction is due to an increase in the saturation current density of the AlO x passivation during chemical etching of the contact openings and of the rear side metallization. The difference between the implied pseudo-efficiency and the actual efficiency of 25.0% as determined by designated-area light current-voltage (I-V) measurements is due to series resistance and diffusion of excess carriers into the non-illuminated perimeter region.

  9. Generation of reactive oxygen species from porous silicon microparticles in cell culture medium.

    PubMed

    Low, Suet Peng; Williams, Keryn A; Canham, Leigh T; Voelcker, Nicolas H

    2010-06-01

    Nanostructured (porous) silicon is a promising biodegradable biomaterial, which is being intensively researched as a tissue engineering scaffold and drug-delivery vehicle. Here, we tested the biocompatibility of non-treated and thermally-oxidized porous silicon particles using an indirect cell viability assay. Initial direct cell culture on porous silicon determined that human lens epithelial cells only poorly adhered to non-treated porous silicon. Using an indirect cell culture assay, we found that non-treated microparticles caused complete cell death, indicating that these particles generated a toxic product in cell culture medium. In contrast, thermally-oxidized microparticles did not reduce cell viability significantly. We found evidence for the generation of reactive oxygen species (ROS) by means of the fluorescent probe 2',7'-dichlorofluorescin. Our results suggest that non-treated porous silicon microparticles produced ROS, which interacted with the components of the cell culture medium, leading to the formation of cytotoxic species. Oxidation of porous silicon microparticles not only mitigated, but also abolished the toxic effects.

  10. Studies of implanted iron in silicon by channeling and Rutherford backscattering

    NASA Technical Reports Server (NTRS)

    Wang, P. W.; Cheng, H. S.; Gibson, W. M.; Corbett, J. W.

    1986-01-01

    Different amounts of 100-keV iron ions have been implanted into high-resistivity p-type FZ-silicon samples. The implantation damage, recovery of damage during various annealing periods and temperatures, movement of iron atoms under annealing and oxidation, and the kinds of defects created after implantation, annealing, or oxidation are all investigated by channeling and backscattering measurements. It is found that the critical fluence of 100-keV iron implanted into silicon at room temperature is about 2.5 x 10 to the 14th Fe/sq cm, and that iron atoms are gettered by silicon oxidation. In this supersaturated region, iron atoms diffuse slightly towards bulk silicon during high-temperature annealing (greater than or equal to 1100 C) but not at all during low-temperature annealing (less than or equal to 1000 C) in dry nitrogen ambient.

  11. Process for the deposition of high temperature stress and oxidation resistant coatings on silicon-based substrates

    DOEpatents

    Sarin, V.K.

    1991-07-30

    A process is disclosed for depositing a high temperature stress and oxidation resistant coating on a silicon nitride- or silicon carbide-based substrate body. A gas mixture is passed over the substrate at about 900--1500 C and about 1 torr to about ambient pressure. The gas mixture includes one or more halide vapors with other suitable reactant gases. The partial pressure ratios, flow rates, and process times are sufficient to deposit a continuous, fully dense, adherent coating. The halide and other reactant gases are gradually varied during deposition so that the coating is a graded coating of at least two layers. Each layer is a graded layer changing in composition from the material over which it is deposited to the material of the layer and further to the material, if any, deposited thereon, so that no clearly defined compositional interfaces exist. The gases and their partial pressures are varied according to a predetermined time schedule and the halide and other reactant gases are selected so that the layers include (a) an adherent, continuous intermediate layer about 0.5-20 microns thick of an aluminum nitride or an aluminum oxynitride material, over and chemically bonded to the substrate body, and (b) an adherent, continuous first outer layer about 0.5-900 microns thick including an oxide of aluminum or zirconium over and chemically bonded to the intermediate layer.

  12. Process for the deposition of high temperature stress and oxidation resistant coatings on silicon-based substrates

    DOEpatents

    Sarin, Vinod K.

    1991-01-01

    A process for depositing a high temperature stress and oxidation resistant coating on a silicon nitride- or silicon carbide-based substrate body. A gas mixture is passed over the substrate at about 900.degree.-1500.degree. C. and about 1 torr to about ambient pressure. The gas mixture includes one or more halide vapors with other suitable reactant gases. The partial pressure ratios, flow rates, and process times are sufficient to deposit a continuous, fully dense, adherent coating. The halide and other reactant gases are gradually varied during deposition so that the coating is a graded coating of at least two layers. Each layer is a graded layer changing in composition from the material over which it is deposited to the material of the layer and further to the material, if any, deposited thereon, so that no clearly defined compositional interfaces exist. The gases and their partial pressures are varied according to a predetermined time schedule and the halide and other reactant gases are selected so that the layers include (a) an adherent, continuous intermediate layer about 0.5-20 microns thick of an aluminum nitride or an aluminum oxynitride material, over and chemically bonded to the substrate body, and (b) an adherent, continuous first outer layer about 0.5-900 microns thick including an oxide of aluminum or zirconium over and chemically bonded to the intermediate layer.

  13. Rapid Grafting of Azido-labeled Oligo(ethylene glycol)s onto an Alkynyl-terminated Monolayer on Non-oxidized Silicon via Microwave-assisted “Click” Reaction

    PubMed Central

    Li, Yan; Wang, Jun; Cai, Chengzhi

    2011-01-01

    Microwave (MW) irradiation was used for the grafting of azido-labeled oligo(ethylene oxide) (OEG) on alkynyl-terminated non-oxidized silicon substrates via copper-catalyzed “click” reaction. The “clickable” monolayers were prepared by photografting of an α,ω-alkynene, where the alkynyl terminus was protected by a trimethylgermanyl (TMG) group, onto hydrogen-terminated Si(111) surfaces. X-ray photoelectron spectroscopy (XPS) was primarily employed to characterize the monolayers, and the data obtained were utilized to calculate the surface density of the TMG-alkynyl-functionalized substrate. MW-assisted one-pot deprotection/click reaction was optimized on the surfaces using azido-tagged OEG derivatives. Using MW instead of conventional heating led to a substantial improvement on the rate of the reaction while suppressing the oxidation of the silicon interface and OEG degradation. The antifouling property of the resulting substrates was evaluated using fibrinogen as a model protein. Results show that the OEG-modification reduced the protein adsorption by >90%. PMID:21306165

  14. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    NASA Astrophysics Data System (ADS)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  15. Achievement of a high-mobility FET with a cloud-aligned composite oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Yamazaki, Shunpei; Shima, Yukinori; Hosaka, Yasuharu; Okazaki, Kenichi; Koezuka, Junichi

    2016-11-01

    We have recently discovered that films of a widely used In-Ga-Zn oxide (IGZO) with \\text{In}:\\text{Ga}:\\text{Zn} = 1:1:1 have different material composition states when sputter-deposited under different conditions using the same polycrystalline IGZO target. Significant improvements in on-state current and mobility (as high as 40 cm2·V-1·s-1) are obtained. The results of local composition analysis indicate that the deposited film is not composed of any known homogeneous IGZO compound and that the components of this film are separated into two types of nanoparticle regions: one type is composed mainly of GaO x and GaZnO x , which contribute to on/off (switching) characteristics, and the other is composed mainly of InO x and InZnO x , which contribute to on-state characteristics. These regions constitute a new type of oxide semiconductor (OS) film. The nanoparticles with a blurry boundary extend like a cloud, probably complementing one another. We consider that this OS film has a novel composition, which can be described as a “cloud-aligned composite OS” (CAC-OS).

  16. The Relationship of the Silicon Surface Roughness and Gate Oxide Integrity in NH4OH/H2O2 Mixtures

    NASA Astrophysics Data System (ADS)

    Meuris, M.; Verhaverbeke, S.; Mertens, P. W.; Heyns, M. M.; Hellemans, L.; Bruynseraede, Y.; Philipossian, A.

    1992-11-01

    In this study some recent findings on the cleaning action of the NH4OH/H2O2 (SC1) step in a pre-gate oxidation cleaning (RCA cleaning) are given. An important parameter in this mixture is the NH4OH/H2O2 ratio. The Fe contamination on the silicon surface after this cleaning step is found to increase upon decreasing the NH4OH/H2O2 ratio. This can be attributed to the incorporation of Fe in the chemical oxide, grown by the hydrogen peroxide. The particle removal efficiency of the cleaning step is found to decrease upon decreasing the NH4OH/H2O2 ratio. On the other hand, using a lower NH4OH concentration results in a less severe silicon surface roughening. It is demonstrated in this study that the NH4OH/H2O2 ratio during the SC1 step of the cleaning is the determining parameter for the breakdown properties of a gate oxide. A (0.25/1/5) NH4OH/H2O2/H2O mixture at 75°C in our experimental conditions is suggested to be the best compromise between particle removal and surface roughness during the SC1 step.

  17. One-Step Formation of Silicon-Graphene Composites from Silicon Sludge Waste and Graphene Oxide via Aerosol Process for Lithium Ion Batteries

    PubMed Central

    Kim, Sun Kyung; Kim, Hyekyoung; Chang, Hankwon; Cho, Bong-Gyoo; Huang, Jiaxing; Yoo, Hyundong; Kim, Hansu; Jang, Hee Dong

    2016-01-01

    Over 40% of high-purity silicon (Si) is consumed as sludge waste consisting of Si, silicon carbide (SiC) particles and metal impurities from the fragments of cutting wire mixed in ethylene glycol based cutting fluid during Si wafer slicing in semiconductor fabrication. Recovery of Si from the waste Si sludge has been a great concern because Si particles are promising high-capacity anode materials for Li ion batteries. In this study, we report a novel one-step aerosol process that not only extracts Si particles but also generates Si-graphene (GR) composites from the colloidal mixture of waste Si sludge and graphene oxide (GO) at the same time by ultrasonic atomization-assisted spray pyrolysis. This process supports many advantages such as eco-friendly, low-energy, rapid, and simple method for forming Si-GR composite. The morphology of the as-formed Si-GR composites looked like a crumpled paper ball and the average size of the composites varied from 0.6 to 0.8 μm with variation of the process variables. The electrochemical performance was then conducted with the Si-GR composites for Lithium Ion Batteries (LIBs). The Si-GR composites exhibited very high performance as Li ion battery anodes in terms of capacity, cycling stability, and Coulombic efficiency. PMID:27646853

  18. Switching Hole and Electron Transports of Molecules on Metal Oxides by Energy Level Alignment Tuning.

    PubMed

    Bao, Zhong-Min; Xu, Rui-Peng; Li, Chi; Xie, Zhong-Zhi; Zhao, Xin-Dong; Zhang, Yi-Bo; Li, Yan-Qing; Tang, Jian-Xin

    2016-08-31

    Charge transport at organic/inorganic hybrid contacts significantly affects the performance of organic optoelectronic devices because the unfavorable energy level offsets at these interfaces can hinder charge injection or extraction due to large barrier heights. Herein, we report a technologically relevant method to functionalize a traditional hole-transport layer of solution-processed nickel oxide (NiOx) with various interlayers. The photoemission spectroscopy measurements reveal the continuous tuning of the NiOx substrate work function ranging from 2.5 to 6.6 eV, enabling the alignment transition of energy levels between the Schottky-Mott limit and Fermi level pinning at the organic/composite NiOx interface. As a result, switching hole and electron transport for the active organic material on the composite NiOx layer is achieved due to the controlled carrier injection/extraction barriers. The experimental findings indicate that tuning the work function of metal oxides with optimum energy level offsets can facilitate the charge transport at organic/electrode contacts.

  19. Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic Monte-Carlo modeling versus experiments.

    PubMed

    Hennes, M; Schuler, V; Weng, X; Buchwald, J; Demaille, D; Zheng, Y; Vidal, F

    2018-04-26

    We employ kinetic Monte-Carlo simulations to study the growth process of metal-oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni-SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a single effective STO pseudo-atom species. With this ansatz, we scrutinize the kinetics of the sequential synthesis process, governed by alternating deposition and relaxation steps, and analyze the self-organization propensity of Ni atoms into straight vertically aligned nanowires embedded in the surrounding STO matrix. We finally compare the predictions of our binary toy model with experiments and demonstrate that our computational approach captures fundamental aspects of self-assembled nanowire synthesis. Despite its simplicity, our modeling strategy successfully describes the impact of relevant parameters like the concentration or laser frequency on the final nanoarchitecture of metal-oxide thin films grown via pulsed laser deposition.

  20. Direct synthesis of graphene on silicon oxide by low temperature plasma enhanced chemical vapor deposition.

    PubMed

    Muñoz, Roberto; Martínez, Lidia; López-Elvira, Elena; Munuera, Carmen; Huttel, Yves; García-Hernández, Mar

    2018-06-27

    Direct graphene growth on silicon with a native oxide using plasma enhanced chemical vapour deposition at low temperatures [550 °C-650 °C] is demonstrated for the first time. It is shown that the fine-tuning of a two-step synthesis with gas mixtures C2H2/H2 yields monolayer and few layer graphene films with a controllable domain size from 50 nm to more than 300 nm and the sheet resistance ranging from 8 kΩ sq-1 to less than 1.8 kΩ sq-1. Differences are understood in terms of the interaction of the plasma species - chiefly atomic H - with the deposited graphene and the native oxide layer. The proposed low temperature direct synthesis on an insulating substrate does not require any transfer processes and improves the compatibility with the current industrial processes.

  1. Direct exchange between silicon nanocrystals and tunnel oxide traps under illumination on single electron photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatbouri, S., E-mail: Samir.chatbouri@yahoo.com; Troudi, M.; Sghaier, N.

    2016-09-15

    In this paper we present the trapping of photogenerated charge carriers for 300 s resulted by their direct exchange under illumination between a few silicon nanocrystals (ncs-Si) embedded in an oxide tunnel layer (SiO{sub x} = 1.5) and the tunnel oxide traps levels for a single electron photodetector (photo-SET or nanopixel). At first place, the presence of a photocurrent limited in the inversion zone under illumination in the I–V curves confirms the creation of a pair electron/hole (e–h) at high energy. This photogenerated charge carriers can be trapped in the oxide. Using the capacitance-voltage under illumination (the photo-CV measurements) wemore » show a hysteresis chargement limited in the inversion area, indicating that the photo-generated charge carriers are stored at traps levels at the interface and within ncs-Si. The direct exchange of the photogenerated charge carriers between the interface traps levels and the ncs-Si contributed on the photomemory effect for 300 s for our nanopixel at room temperature.« less

  2. Parallel alignment of bacteria using near-field optical force array for cell sorting

    NASA Astrophysics Data System (ADS)

    Zhao, H. T.; Zhang, Y.; Chin, L. K.; Yap, P. H.; Wang, K.; Ser, W.; Liu, A. Q.

    2017-08-01

    This paper presents a near-field approach to align multiple rod-shaped bacteria based on the interference pattern in silicon nano-waveguide arrays. The bacteria in the optical field will be first trapped by the gradient force and then rotated by the scattering force to the equilibrium position. In the experiment, the Shigella bacteria is rotated 90 deg and aligned to horizontal direction in 9.4 s. Meanwhile, 150 Shigella is trapped on the surface in 5 min and 86% is aligned with angle < 5 deg. This method is a promising toolbox for the research of parallel single-cell biophysical characterization, cell-cell interaction, etc.

  3. Cobalt germanide nanostructure formation and memory characteristic enhancement in silicon oxide films

    NASA Astrophysics Data System (ADS)

    Joo, Beom Soo; Kim, Hyunseung; Jang, Seunghun; Han, Dongwoo; Han, Moonsup

    2018-08-01

    We investigated nano-floating gate memory having a charge trap layer (CTL) composed of cobalt germanide nanostructure (ns-CoGe). A tunneling oxide layer; a CTL containing Co, Ge, and Si; and a blocking oxide layer were sequentially deposited on a p-type silicon substrate by RF magnetron sputtering and low-pressure chemical vapor deposition. We optimized the CTL formation conditions by rapid thermal annealing at a somewhat low temperature (about 830 °C) by considering the differences in Gibbs free energy and chemical enthalpy among the components. To characterize the charge storage properties, capacitance-voltage (C-V) measurements were performed. Further, we used X-ray photoelectron spectroscopy for chemical analysis of the CTL. In this work, we not only report that the C-V measurement shows a remarkable opening of the memory window for the ns-CoGe compared with those of nanostructures composed of Co or Ge alone, but also clarify that the improvement in the memory characteristics originates in the nanostructure formation, which consists mainly of Co-Ge bonds. We expect ns-CoGe to be a strong candidate for fabrication of next-generation memory devices.

  4. Varying potential silicon carbide gas sensor

    NASA Technical Reports Server (NTRS)

    Shields, Virgil B. (Inventor); Ryan, Margaret A. (Inventor); Williams, Roger M. (Inventor)

    1997-01-01

    A hydrocarbon gas detection device operates by dissociating or electro-chemically oxidizing hydrocarbons adsorbed to a silicon carbide detection layer. Dissociation or oxidation are driven by a varying potential applied to the detection layer. Different hydrocarbon species undergo reaction at different applied potentials so that the device is able to discriminate among various hydrocarbon species. The device can operate at temperatures between 100.degree. C. and at least 650.degree. C., allowing hydrocarbon detection in hot exhaust gases. The dissociation reaction is detected either as a change in a capacitor or, preferably, as a change of current flow through an FET which incorporates the silicon carbide detection layers. The silicon carbide detection layer can be augmented with a pad of catalytic material which provides a signal without an applied potential. Comparisons between the catalytically produced signal and the varying potential produced signal may further help identify the hydrocarbon present.

  5. Oxidation behaviour of silicon-free tungsten alloys for use as the first wall material

    NASA Astrophysics Data System (ADS)

    Koch, F.; Brinkmann, J.; Lindig, S.; Mishra, T. P.; Linsmeier, Ch

    2011-12-01

    The use of self-passivating tungsten alloys as armour material of the first wall of a fusion power reactor may be advantageous concerning safety issues. In earlier studies good performance of the system W-Cr-Si was demonstrated. Thin films of such alloys showed a strongly reduced oxidation rate compared to pure tungsten. However, the formation of brittle tungsten silicides may be disadvantageous for the powder metallurgical production of bulk W-Cr-Si alloys if a good workability is needed. This paper shows the results of screening tests to identify suitable silicon-free alloys with distinguished self-passivation and a potentially good workability. Of all the tested systems W-Cr-Ti alloys showed the most promising results. The oxidation rate was even lower than the one of W-Cr-Si alloys, the reduction factor was about four orders of magnitude compared to pure tungsten. This performance could be conserved even if the content of alloying elements was reduced.

  6. Silicon carbide sintered body manufactured from silicon carbide powder containing boron, silicon and carbonaceous additive

    NASA Technical Reports Server (NTRS)

    Tanaka, Hidehiko

    1987-01-01

    A silicon carbide powder of a 5-micron grain size is mixed with 0.15 to 0.60 wt% mixture of a boron compound, i.e., boric acid, boron carbide (B4C), silicon boride (SiB4 or SiB6), aluminum boride, etc., and an aluminum compound, i.e., aluminum, aluminum oxide, aluminum hydroxide, aluminum carbide, etc., or aluminum boride (AlB2) alone, in such a proportion that the boron/aluminum atomic ratio in the sintered body becomes 0.05 to 0.25 wt% and 0.05 to 0.40 wt%, respectively, together with a carbonaceous additive to supply enough carbon to convert oxygen accompanying raw materials and additives into carbon monoxide.

  7. High-Current-Density Vertical-Tunneling Transistors from Graphene/Highly Doped Silicon Heterostructures.

    PubMed

    Liu, Yuan; Sheng, Jiming; Wu, Hao; He, Qiyuan; Cheng, Hung-Chieh; Shakir, Muhammad Imran; Huang, Yu; Duan, Xiangfeng

    2016-06-01

    Scalable fabrication of vertical-tunneling transistors is presented based on heterostructures formed between graphene, highly doped silicon, and its native oxide. Benefiting from the large density of states of highly doped silicon, the tunneling transistors can deliver a current density over 20 A cm(-2) . This study demonstrates that the interfacial native oxide plays a crucial role in governing the carrier transport in graphene-silicon heterostructures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Performance testing of an off-plane reflection grating and silicon pore optic spectrograph at PANTER

    NASA Astrophysics Data System (ADS)

    Marlowe, Hannah; McEntaffer, Randall L.; Allured, Ryan; DeRoo, Casey T.; Donovan, Benjamin D.; Miles, Drew M.; Tutt, James H.; Burwitz, Vadim; Menz, Benedikt; Hartner, Gisela D.; Smith, Randall K.; Cheimets, Peter; Hertz, Edward; Bookbinder, Jay A.; Günther, Ramses; Yanson, Alex; Vacanti, Giuseppe; Ackermann, Marcelo

    2015-10-01

    An x-ray spectrograph consisting of aligned, radially ruled off-plane reflection gratings and silicon pore optics (SPO) was tested at the Max Planck Institute for Extraterrestrial Physics PANTER x-ray test facility. SPO is a test module for the proposed Arcus mission, which will also feature aligned off-plane reflection gratings. This test is the first time two off-plane gratings were actively aligned to each other and with an SPO to produce an overlapped spectrum. We report the performance of the complete spectrograph utilizing the aligned gratings module and plans for future development.

  9. Liquid phase sintering of silicon carbide

    DOEpatents

    Cutler, R.A.; Virkar, A.V.; Hurford, A.C.

    1989-05-09

    Liquid phase sintering is used to densify silicon carbide based ceramics using a compound comprising a rare earth oxide and aluminum oxide to form liquids at temperatures in excess of 1,600 C. The resulting sintered ceramic body has a density greater than 95% of its theoretical density and hardness in excess of 23 GPa. Boron and carbon are not needed to promote densification and silicon carbide powder with an average particle size of greater than one micron can be densified via the liquid phase process. The sintered ceramic bodies made by the present invention are fine grained and have secondary phases resulting from the liquid phase. 4 figs.

  10. Liquid phase sintering of silicon carbide

    DOEpatents

    Cutler, Raymond A.; Virkar, Anil V.; Hurford, Andrew C.

    1989-01-01

    Liquid phase sintering is used to densify silicon carbide based ceramics using a compound comprising a rare earth oxide and aluminum oxide to form liquids at temperatures in excess of 1600.degree. C. The resulting sintered ceramic body has a density greater than 95% of its theoretical density and hardness in excess of 23 GPa. Boron and carbon are not needed to promote densification and silicon carbide powder with an average particle size of greater than one micron can be densified via the liquid phase process. The sintered ceramic bodies made by the present invention are fine grained and have secondary phases resulting from the liquid phase.

  11. Silicon Qubits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ladd, Thaddeus D.; Carroll, Malcolm S.

    2018-02-28

    Silicon is a promising material candidate for qubits due to the combination of worldwide infrastructure in silicon microelectronics fabrication and the capability to drastically reduce decohering noise channels via chemical purification and isotopic enhancement. However, a variety of challenges in fabrication, control, and measurement leaves unclear the best strategy for fully realizing this material’s future potential. In this article, we survey three basic qubit types: those based on substitutional donors, on metal-oxide-semiconductor (MOS) structures, and on Si/SiGe heterostructures. We also discuss the multiple schema used to define and control Si qubits, which may exploit the manipulation and detection of amore » single electron charge, the state of a single electron spin, or the collective states of multiple spins. Far from being comprehensive, this article provides a brief orientation to the rapidly evolving field of silicon qubit technology and is intended as an approachable entry point for a researcher new to this field.« less

  12. Dispersion toughened silicon carbon ceramics

    DOEpatents

    Wei, G.C.

    1984-01-01

    Fracture resistant silicon carbide ceramics are provided by incorporating therein a particulate dispersoid selected from the group consisting of (a) a mixture of boron, carbon and tungsten, (b) a mixture of boron, carbon and molybdenum, (c) a mixture of boron, carbon and titanium carbide, (d) a mixture of aluminum oxide and zirconium oxide, and (e) boron nitride. 4 figures.

  13. Silicon (100)/SiO2 by XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jensen, David S.; Kanyal, Supriya S.; Madaan, Nitesh

    2013-09-25

    Silicon (100) wafers are ubiquitous in microfabrication and, accordingly, their surface characteristics are important. Herein, we report the analysis of Si (100) via X-ray photoelectron spectroscopy (XPS) using monochromatic Al K radiation. Survey scans show that the material is primarily silicon and oxygen, and the Si 2p region shows two peaks that correspond to elemental silicon and silicon dioxide. Using these peaks the thickness of the native oxide (SiO2) was estimated using the equation of Strohmeier.1 The oxygen peak is symmetric. The material shows small amounts of carbon, fluorine, and nitrogen contamination. These silicon wafers are used as the basemore » material for subsequent growth of templated carbon nanotubes.« less

  14. RF sputtered silicon and hafnium nitrides as applied to 440C steel

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1984-01-01

    Silicon nitride and hafnium nitride coatings were deposited on oxidized and unoxidized 440C stainless steel substrates. Sputtering was done in mixtures of argon and nitrogen gases from pressed powder silicon nitride and from hafnium metal targets. The coatings and the interface between the coating and substrate were investigated by X-ray diffractometry, scanning electron microscopy, energy dispersive X-ray analysis and Auger electron spectroscopy. Oxide was found at all interfaces with an interface width of at least 600 A for the oxidized substrates and at least 300 A for the unoxidized substrates. Scratch test results demonstrate that the adhesion of hafnium nitride to both oxidized and unoxidized 440C is superior to that of silicon nitride. Oxidized 440C is found to have increased adhesion, to both nitrides, over that of unoxidized 440C. Coatings of both nitrides deposited at 8 mtorr were found to have increased adhesion to both oxidized and unoxidized 440C over those deposited at 20 mtorr.

  15. Enhancement of oxidation resistance of graphite foams by polymer derived-silicon carbide coating for concentrated solar power applications

    DOE PAGES

    Kim, T.; Singh, D.; Singh, M.

    2015-05-01

    Graphite foam with extremely high thermal conductivity has been investigated to enhance heat transfer of latent heat thermal energy storage (LHTES) systems. However, the use of graphite foam for elevated temperature applications (>600 °C) is limited due to poor oxidation resistance of graphite. In the present study, oxidation resistance of graphite foam coated with silicon carbide (SiC) was investigated. A pre-ceramic polymer derived coating (PDC) method was used to form a SiC coating on the graphite foams. Post coating deposition, the samples were analyzed by scanning electron microscopy and energy dispersive spectroscopy. The oxidation resistance of PDC-SiC coating was quantifiedmore » by measuring the weight of the samples at several measuring points. The experiments were conducted under static argon atmosphere in a furnace. After the experiments, oxidation rates (%/hour) were calculated to predict the lifetime of the graphite foams. The experimental results showed that the PDC-SiC coating could prevent the oxidation of graphite foam under static argon atmosphere up to 900 °C.« less

  16. Porous silicon carbide and aluminum oxide with unidirectional open porosity as model target materials for radioisotope beam production

    NASA Astrophysics Data System (ADS)

    Czapski, M.; Stora, T.; Tardivat, C.; Deville, S.; Santos Augusto, R.; Leloup, J.; Bouville, F.; Fernandes Luis, R.

    2013-12-01

    New silicon carbide (SiC) and aluminum oxide (Al2O3) of a tailor-made microstructure were produced using the ice-templating technique, which permits controlled pore formation conditions within the material. These prototypes will serve to verify aging of the new advanced target materials under irradiation with proton beams. Before this, the evaluation of their mechanical integrity was made based on the energy deposition spectra produced by FLUKA codes.

  17. Paralinear Oxidation of Silicon Nitride in a Water Vapor/Oxygen Environment

    NASA Technical Reports Server (NTRS)

    Fox, Dennis S.; Opila, Elizabeth J.; Nguyen, QuynhGiao; Humphrey, Donald L.; Lewton, Susan M.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Three silicon nitride materials were exposed to dry oxygen flowing at 0.44 cm/s at temperatures between 1200 and 1400 C. Reaction kinetics were measured with a continuously recording microbalance. Parabolic kinetics were observed. When the same materials were exposed to a 50% H2O - 50% O2 gas mixture flowing at 4.4 cm/s, all three types exhibited paralinear kinetics. The material is oxidized by water vapor to form solid silica. The protective silica is in turn volatilized by water vapor to form primarily gaseous Si(OH)4. Nonlinear least squares analysis and a paralinear kinetic model were used to determine both parabolic and linear rate constants from the kinetic data. Volatilization of the protective silica scale can result in accelerated consumption of Si3N4. Recession rates under conditions more representative of actual combustors are compared to the furnace data.

  18. Thromboresistance Characterization of Extruded Nitric Oxide-Releasing Silicone Catheters

    PubMed Central

    Amoako, Kagya A.; Archangeli, Christopher; Handa, Hitesh; Major, Terry; Meyerhoff, Mark E.; Annich, Gail M.; Bartlett, Robert H.

    2013-01-01

    Intravascular catheters used in clinical practice can activate platelets, leading to thrombus formation and stagnation of blood flow. Nitric oxide (NO)-releasing polymers have been shown previously to reduce clot formation on a number of blood contacting devices. In this work, trilaminar NO-releasing silicone catheters were fabricated and tested for their thrombogenicity. All catheters had specifications of L = 6 cm, inner diameter = 21 gauge (0.0723 cm), outer diameter = 12 gauge (0.2052 cm), and NO-releasing layer thickness = 200 ± 11 μm. Control and NO-releasing catheters were characterized in vitro for their NO flux and NO release duration by gas phase chemiluminescence measurements. The catheters were then implanted in the right and left internal jugular veins of (N = 6 and average weight = 3 kg) adult male rabbits for 4 hours thrombogenicity testing. Platelet counts and function, methemoglobin (metHb), hemoglobin (Hb), and white cell counts and functional time (defined as patency time of catheter) were monitored as measured outcomes. Nitric oxide-releasing catheters (N = 6) maintained an average flux above (2 ± 0.5) × 10−10 mol/min/cm2 for more than 24 hours, whereas controls showed no NO release. Methemoglobin, Hb, white cell, and platelet counts and platelet function at 4 hours were not significantly different from baseline (α = 0.05). However, clots on controls were visibly larger and prevented blood draws at a significantly (p < 0.05) earlier time (2.3 ± 0.7 hours) into the experiment, whereas all NO-releasing catheters survived the entire 4 hours test period. Results indicate that catheter NO flux levels attenuated thrombus formation in a short-term animal model. PMID:22395119

  19. Oxidized Porous Silicon Particles Covalently Grafted with Daunorubicin as a Sustained Intraocular Drug Delivery System

    PubMed Central

    Chhablani, Jay; Nieto, Alejandra; Hou, Huiyuan; Wu, Elizabeth C.; Freeman, William R.; Sailor, Michael J.; Cheng, Lingyun

    2013-01-01

    Purpose. To test the feasibility of covalent loading of daunorubicin into oxidized porous silicon (OPS) and to evaluate the ocular properties of sustained delivery of daunorubicin in this system. Methods. Porous silicon was heat oxidized and chemically functionalized so that the functional linker on the surface was covalently bonded with daunorubicin. The drug loading rate was determined by thermogravimetric analysis. Release of daunorubicin was confirmed in PBS and excised rabbit vitreous by mass spectrometry. Daunorubicin-loaded OPS particles (3 mg) were intravitreally injected into six rabbits, and ocular properties were evaluated through ophthalmic examinations and histology during a 3-month study. The same OPS was loaded with daunorubicin using physical adsorption and was evaluated similarly as a control for the covalent loading. Results. In the case of covalent loading, 67 ± 10 μg daunorubicin was loaded into each milligram of the particles while 27 ± 10 μg/mg particles were loaded by physical adsorption. Rapid release of daunorubicin was observed in both PBS and excised vitreous (∼75% and ∼18%) from the physical adsorption loading, while less than 1% was released from the covalently loaded particles. Following intravitreal injection, the covalently loaded particles demonstrated a sustained degradation of OPS with drug release for 3 months without evidence of toxicity; physical adsorption loading revealed a complete release within 2 weeks and localized retinal toxicity due to high daunorubicin concentration. Conclusions. OPS with covalently loaded daunorubicin demonstrated sustained intravitreal drug release without ocular toxicity, which may be useful to inhibit unwanted intraocular proliferation. PMID:23322571

  20. Effects of a capping oxide layer on polycrystalline-silicon thin-film transistors fabricated by continuous-wave laser crystallization

    NASA Astrophysics Data System (ADS)

    Li, Yi-Shao; Wu, Chun-Yi; Chou, Chia-Hsin; Liao, Chan-Yu; Chuang, Kai-Chi; Luo, Jun-Dao; Li, Wei-Shuo; Cheng, Huang-Chung

    2018-06-01

    A tetraethyl-orthosilicate (TEOS) capping oxide was deposited by low-pressure chemical vapor deposition (LPCVD) on a 200-nm-thick amorphous Si (a-Si) film as a heat reservoir to improve the crystallinity and surface roughness of polycrystalline silicon (poly-Si) formed by continuous-wave laser crystallization (CLC). The effects of four thicknesses of the capping oxide layer to satisfy an antireflection condition, namely, 90, 270, 450, and 630 nm, were investigated. The largest poly-Si grain size of 2.5 × 20 µm2 could be achieved using a capping oxide layer with an optimal thickness of 450 nm. Moreover, poly-Si nanorod (NR) thin-film transistors (TFTs) fabricated using the aforementioned technique exhibited a superior electron field-effect mobility of 1093.3 cm2 V‑1 s‑1 and an on/off current ratio of 2.53 × 109.

  1. Oxygen absorption in free-standing porous silicon: a structural, optical and kinetic analysis.

    PubMed

    Cisneros, Rodolfo; Pfeiffer, Heriberto; Wang, Chumin

    2010-01-16

    Porous silicon (PSi) is a nanostructured material possessing a huge surface area per unit volume. In consequence, the adsorption and diffusion of oxygen in PSi are particularly important phenomena and frequently cause significant changes in its properties. In this paper, we study the thermal oxidation of p+-type free-standing PSi fabricated by anodic electrochemical etching. These free-standing samples were characterized by nitrogen adsorption, thermogravimetry, atomic force microscopy and powder X-ray diffraction. The results show a structural phase transition from crystalline silicon to a combination of cristobalite and quartz, passing through amorphous silicon and amorphous silicon-oxide structures, when the thermal oxidation temperature increases from 400 to 900 °C. Moreover, we observe some evidence of a sinterization at 400 °C and an optimal oxygen-absorption temperature about 700 °C. Finally, the UV/Visible spectrophotometry reveals a red and a blue shift of the optical transmittance spectra for samples with oxidation temperatures lower and higher than 700 °C, respectively.

  2. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  3. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE PAGES

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick; ...

    2018-05-02

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  4. Ocular silicon distribution and clearance following intravitreal injection of porous silicon microparticles

    PubMed Central

    Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J.; Freeman, William R.; Cheng, Lingyun

    2013-01-01

    Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. PMID:24036388

  5. Applications of the silicon wafer direct-bonding technique to electron devices

    NASA Astrophysics Data System (ADS)

    Furukawa, K.; Nakagawa, A.

    1990-01-01

    A silicon wafer direct-bonding (SDB) technique has been developed. A pair of bare silicon wafers, as well as an oxidized wafer pair, are bonded throughout the wafer surfaces without any bonding material. Conventional semiconductor device processes can be used for the bonded wafers, since the bonded interface is stable thermally, chemically, mechanically and electrically. Therefore, the SDB technique is very attractive, and has been applied to several kinds of electron devices. Bare silicon to bare silicon bonding is an alternative for epitaxial growth. A thick, high quality and high resistivity layer on a low resistivity substrate was obtained without autodoping. 1800 V insulated gate bipolar transistors were developed using these SDB wafers. No electrical resistance was observed at the bonded bare silicon interfaces. If oxidized wafers are bonded, the two wafers are electrically isolated, providing silicon on insulator (SOI) wafers. Dielectrically isolated photodiode arrays were fabricated on the SOI wafers and 500 V power IC's are now being developed.

  6. Towards substrate engineering of graphene-silicon Schottky diode photodetectors.

    PubMed

    Selvi, Hakan; Unsuree, Nawapong; Whittaker, Eric; Halsall, Matthew P; Hill, Ernie W; Thomas, Andrew; Parkinson, Patrick; Echtermeyer, Tim J

    2018-02-15

    Graphene-silicon Schottky diode photodetectors possess beneficial properties such as high responsivities and detectivities, broad spectral wavelength operation and high operating speeds. Various routes and architectures have been employed in the past to fabricate devices. Devices are commonly based on the removal of the silicon-oxide layer on the surface of silicon by wet-etching before deposition of graphene on top of silicon to form the graphene-silicon Schottky junction. In this work, we systematically investigate the influence of the interfacial oxide layer, the fabrication technique employed and the silicon substrate on the light detection capabilities of graphene-silicon Schottky diode photodetectors. The properties of devices are investigated over a broad wavelength range from near-UV to short-/mid-infrared radiation, radiation intensities covering over five orders of magnitude as well as the suitability of devices for high speed operation. Results show that the interfacial layer, depending on the required application, is in fact beneficial to enhance the photodetection properties of such devices. Further, we demonstrate the influence of the silicon substrate on the spectral response and operating speed. Fabricated devices operate over a broad spectral wavelength range from the near-UV to the short-/mid-infrared (thermal) wavelength regime, exhibit high photovoltage responses approaching 10 6 V W -1 and short rise- and fall-times of tens of nanoseconds.

  7. An Investigation of the Wear on Silicon Surface at High Humidity.

    PubMed

    Wang, Xiaodong; Guo, Jian; Xu, Lin; Cheng, Guanggui; Qian, Linmao

    2018-06-16

    Using an atomic force microscope (AFM), the wear of monocrystalline silicon (covered by a native oxide layer) at high humidity was investigated. The experimental results indicated that tribochemistry played an important role in the wear of the silicon at different relative humidity levels (RH = 60%, 90%). Since the tribochemical reactions were facilitated at 60% RH, the wear of silicon was serious and the friction force was around 1.58 μN under the given conditions. However, the tribochemical reactions were restrained when the wear pair was conducted at high humidity. As a result, the wear of silicon was very slight and the friction force decreased to 0.85 μN at 90% RH. The slight wear of silicon at high humidity was characterized by etching tests. It was demonstrated that the silicon sample surface was partly damaged and the native oxide layer on silicon sample surface had not been totally removed during the wear process. These results may help us optimize the tribological design of dynamic microelectromechanical systems working in humid conditions.

  8. Template-Assisted Hydrothermal Growth of Aligned Zinc Oxide Nanowires for Piezoelectric Energy Harvesting Applications

    PubMed Central

    2016-01-01

    A flexible and robust piezoelectric nanogenerator (NG) based on a polymer-ceramic nanocomposite structure has been successfully fabricated via a cost-effective and scalable template-assisted hydrothermal synthesis method. Vertically aligned arrays of dense and uniform zinc oxide (ZnO) nanowires (NWs) with high aspect ratio (diameter ∼250 nm, length ∼12 μm) were grown within nanoporous polycarbonate (PC) templates. The energy conversion efficiency was found to be ∼4.2%, which is comparable to previously reported values for ZnO NWs. The resulting NG is found to have excellent fatigue performance, being relatively immune to detrimental environmental factors and mechanical failure, as the constituent ZnO NWs remain embedded and protected inside the polymer matrix. PMID:27172933

  9. EFFECT OF PRE-ANNEALING TEMPERATURE ON THE GROWTH OF ALIGNED α-Fe2O3 NANOWIRES VIA A TWO-STEP THERMAL OXIDATION

    NASA Astrophysics Data System (ADS)

    Rashid, Norhana Mohamed; Kishi, Naoki; Soga, Tetsuo

    2016-03-01

    Pre-annealing as part of a two-step thermal oxidation process has a significant effect on the growth of hematite (α-Fe2O3) nanowires on Fe foil. High-density aligned nanowires were obtained on iron foils pre-annealed at 300∘C under a dry air flow for 30min. The X-ray diffraction (XRD) patterns indicate that the nanowires are transformed from the small α-Fe2O3 grains and uniquely grow in the (110) direction. The formation of a high-density of small grains by pre-annealing improved the alignment and density of the α-Fe2O3 nanowires.

  10. Gamma Irradiation and Oxidative Degradation of a Silica-Filled Silicone Elastomer

    DOE PAGES

    Labouriau, Andrea; Cady, Carl Mcelhinney; Gill, John T.; ...

    2015-03-21

    The radiation oxidative degradation of a commonly used silica-filled silicone elastomer DC745 was investigated by a series of experimental techniques. This elastomer is known to be chemically and thermally stable, but insufficient data exist on the radiation resistance. In the present work, gamma doses up to 200 kGy were applied under air at RT and 1Gy/s. Radiation chemical changes were investigated by NMR, FT-IR, Raman, and mass spectroscopy. DSC and TGA experiments probed thermal transitions and thermal stability changes with exposure dose. SEM probed variations on the surface of the elastomer, and solvent swelling methods were used to investigate changesmore » in the polymer network properties. Electron paramagnetic resonance was employed to detect and identify free radicals. Uniaxial compression load tests at variable temperatures were performed to assess changes in the material’s mechanical response as a function of radiation dose. Results demonstrate that, with increasing exposure, DC745 undergoes changes in chemistry that lead to an increase in thermal stability and cross-link density, formation of free radical species, decrease in heat of fusion and increase in stiffness at low temperatures. Taken together, these results indicate that oxidative cross-linking is the dominant radiolysis mechanism that occurs when this material is exposed to gamma irradiation in air at high dose rates.« less

  11. Gamma Irradiation and Oxidative Degradation of a Silica-Filled Silicone Elastomer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Labouriau, Andrea; Cady, Carl Mcelhinney; Gill, John T.

    The radiation oxidative degradation of a commonly used silica-filled silicone elastomer DC745 was investigated by a series of experimental techniques. This elastomer is known to be chemically and thermally stable, but insufficient data exist on the radiation resistance. In the present work, gamma doses up to 200 kGy were applied under air at RT and 1Gy/s. Radiation chemical changes were investigated by NMR, FT-IR, Raman, and mass spectroscopy. DSC and TGA experiments probed thermal transitions and thermal stability changes with exposure dose. SEM probed variations on the surface of the elastomer, and solvent swelling methods were used to investigate changesmore » in the polymer network properties. Electron paramagnetic resonance was employed to detect and identify free radicals. Uniaxial compression load tests at variable temperatures were performed to assess changes in the material’s mechanical response as a function of radiation dose. Results demonstrate that, with increasing exposure, DC745 undergoes changes in chemistry that lead to an increase in thermal stability and cross-link density, formation of free radical species, decrease in heat of fusion and increase in stiffness at low temperatures. Taken together, these results indicate that oxidative cross-linking is the dominant radiolysis mechanism that occurs when this material is exposed to gamma irradiation in air at high dose rates.« less

  12. Laterally inherently thin amorphous-crystalline silicon heterojunction photovoltaic cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Zahidur R., E-mail: zr.chowdhury@utoronto.ca; Kherani, Nazir P., E-mail: kherani@ecf.utoronto.ca

    2014-12-29

    This article reports on an amorphous-crystalline silicon heterojunction photovoltaic cell concept wherein the heterojunction regions are laterally narrow and distributed amidst a backdrop of well-passivated crystalline silicon surface. The localized amorphous-crystalline silicon heterojunctions consisting of the laterally thin emitter and back-surface field regions are precisely aligned under the metal grid-lines and bus-bars while the remaining crystalline silicon surface is passivated using the recently proposed facile grown native oxide–plasma enhanced chemical vapour deposited silicon nitride passivation scheme. The proposed cell concept mitigates parasitic optical absorption losses by relegating amorphous silicon to beneath the shadowed metallized regions and by using optically transparentmore » passivation layer. A photovoltaic conversion efficiency of 13.6% is obtained for an untextured proof-of-concept cell illuminated under AM 1.5 global spectrum; the specific cell performance parameters are V{sub OC} of 666 mV, J{sub SC} of 29.5 mA-cm{sup −2}, and fill-factor of 69.3%. Reduced parasitic absorption, predominantly in the shorter wavelength range, is confirmed with external quantum efficiency measurement.« less

  13. Neutron diffraction from aligned stacks of lipid bilayers using the WAND instrument

    DOE PAGES

    Marquardt, Drew; Frontzek, Matthias D.; Zhao, Yu; ...

    2018-02-06

    Neutron diffraction from aligned stacks of lipid bilayers is examined using the Wide-Angle Neutron Diffractometer (WAND), located at the High Flux Isotope Reactor, Oak Ridge, Tennessee, USA. Data were collected at different levels of hydration and neutron contrast by varying the relative humidity (RH) and H 2O/D 2O ratio from multi-bilayers of dioleoylphosphatidylcholine and sunflower phosphatidylcholine extract aligned on single-crystal silicon substrates. This work highlights the capabilites of a newly fabricated sample hydration cell, which allows the lipid bilayers to be hydrated with varying H/D ratios from the RH generated by saturated salt solutions, and also demonstrates WAND's capability asmore » an instrument suitable for the study of aligned lipid multi-bilayers.« less

  14. Neutron diffraction from aligned stacks of lipid bilayers using the WAND instrument

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marquardt, Drew; Frontzek, Matthias D.; Zhao, Yu

    Neutron diffraction from aligned stacks of lipid bilayers is examined using the Wide-Angle Neutron Diffractometer (WAND), located at the High Flux Isotope Reactor, Oak Ridge, Tennessee, USA. Data were collected at different levels of hydration and neutron contrast by varying the relative humidity (RH) and H 2O/D 2O ratio from multi-bilayers of dioleoylphosphatidylcholine and sunflower phosphatidylcholine extract aligned on single-crystal silicon substrates. This work highlights the capabilites of a newly fabricated sample hydration cell, which allows the lipid bilayers to be hydrated with varying H/D ratios from the RH generated by saturated salt solutions, and also demonstrates WAND's capability asmore » an instrument suitable for the study of aligned lipid multi-bilayers.« less

  15. Electrochemical thinning of silicon

    DOEpatents

    Medernach, J.W.

    1994-01-11

    Porous semiconducting material, e.g. silicon, is formed by electrochemical treatment of a specimen in hydrofluoric acid, using the specimen as anode. Before the treatment, the specimen can be masked. The porous material is then etched with a caustic solution or is oxidized, depending of the kind of structure desired, e.g. a thinned specimen, a specimen, a patterned thinned specimen, a specimen with insulated electrical conduits, and so on. Thinned silicon specimen can be subjected to tests, such as measurement of interstitial oxygen by Fourier transform infra-red spectroscopy (FTIR). 14 figures.

  16. Electrochemical thinning of silicon

    DOEpatents

    Medernach, John W.

    1994-01-01

    Porous semiconducting material, e.g. silicon, is formed by electrochemical treatment of a specimen in hydrofluoric acid, using the specimen as anode. Before the treatment, the specimen can be masked. The porous material is then etched with a caustic solution or is oxidized, depending of the kind of structure desired, e.g. a thinned specimen, a specimen, a patterned thinned specimen, a specimen with insulated electrical conduits, and so on. Thinned silicon specimen can be subjected to tests, such as measurement of interstitial oxygen by Fourier transform infra-red spectroscopy (FTIR).

  17. Silicon nitride ceramic having high fatigue life and high toughness

    DOEpatents

    Yeckley, Russell L.

    1996-01-01

    A sintered silicon nitride ceramic comprising between about 0.6 mol % and about 3.2 mol % rare earth as rare earth oxide, and between about 85 w/o and about 95 w/o beta silicon nitride grains, wherein at least about 20% of the beta silicon nitride grains have a thickness of greater than about 1 micron.

  18. Low cost solar array project 1: Silicon material

    NASA Technical Reports Server (NTRS)

    Jewett, D. N.; Bates, H. E.; Hill, D. M.

    1980-01-01

    The low cost production of silicon by deposition of silicon from a hydrogen/chlorosilane mixture is described. Reactor design, reaction vessel support systems (physical support, power control and heaters, and temperature monitoring systems) and operation of the system are reviewed. Testing of four silicon deposition reactors is described, and test data and consequently derived data are given. An 18% conversion of trichlorosilane to silicon was achieved, but average conversion rates were lower than predicted due to incomplete removal of byproduct gases for recycling and silicon oxide/silicon polymer plugging of the gas outlet. Increasing the number of baffles inside the reaction vessel improved the conversion rate. Plans for further design and process improvements to correct the problems encountered are outlined.

  19. Comparative study of initial stages of copper immersion deposition on bulk and porous silicon

    NASA Astrophysics Data System (ADS)

    Bandarenka, Hanna; Prischepa, Sergey L.; Fittipaldi, Rosalba; Vecchione, Antonio; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2013-02-01

    Initial stages of Cu immersion deposition in the presence of hydrofluoric acid on bulk and porous silicon were studied. Cu was found to deposit both on bulk and porous silicon as a layer of nanoparticles which grew according to the Volmer-Weber mechanism. It was revealed that at the initial stages of immersion deposition, Cu nanoparticles consisted of crystals with a maximum size of 10 nm and inherited the orientation of the original silicon substrate. Deposited Cu nanoparticles were found to be partially oxidized to Cu2O while CuO was not detected for all samples. In contrast to porous silicon, the crystal orientation of the original silicon substrate significantly affected the sizes, density, and oxidation level of Cu nanoparticles deposited on bulk silicon.

  20. Sintering silicon nitride

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P. (Inventor); Levine, Stanley R. (Inventor); Sanders, William A. (Inventor)

    1993-01-01

    Oxides having a composition of (Ba(1-x)Sr(x))O-Al2O3-2SiO2 are used as sintering aids for producing an improved silicon nitride ceramic material. The x must be greater than 0 to insure the formation of the stable monoclinic celsian glass phase.

  1. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  2. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    NASA Astrophysics Data System (ADS)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  3. Doping profile measurements in silicon using terahertz time domain spectroscopy (THz-TDS) via electrochemical anodic oxidation

    NASA Astrophysics Data System (ADS)

    Tulsyan, Gaurav

    Doping profiles are engineered to manipulate device properties and to determine electrical performances of microelectronic devices frequently. To support engineering studies afterward, essential information is usually required from physically characterized doping profiles. Secondary Ion Mass Spectrometry (SIMS), Spreading Resistance Profiling (SRP) and Electrochemical Capacitance Voltage (ECV) profiling are standard techniques for now to map profile. SIMS yields a chemical doping profile via ion sputtering process and owns a better resolution, whereas ECV and SRP produce an electrical doping profile detecting free carriers in microelectronic devices. The major difference between electrical and chemical doping profiles is at heavily doped regions greater than 1020 atoms/cm3. At the profile region over the solubility limit, inactive dopants induce a flat plateau and detected by electrical measurements only. Destructive techniques are usually designed as stand-alone systems to study impurities. For an in-situ process control purpose, non-contact methods, such as ellipsometry and non-contact capacitance voltage (CV) techniques are current under development. In this theses work, terahertz time domain spectroscopy (THz-TDS) is utilized to achieve electrical doping profile in both destructive and non-contact manners. In recent years the Terahertz group at Rochester Institute Technology developed several techniques that use terahertz pulses to non-destructively map doping profiles. In this thesis, we study a destructive but potentially higher resolution version of the terahertz based approach to map the profile of activated dopants and augment the non-destructive approaches already developed. The basic idea of the profile mapping approach developed in this MS thesis is to anodize, and thus oxidize to silicon dioxide, thin layers (down to below 10 nm) of the wafer with the doping profile to be mapped. Since the dopants atoms and any free carriers in the silicon oxide thin

  4. A three-dimensional architecture of vertically aligned multilayer graphene facilitates heat dissipation across joint solid surfaces

    NASA Astrophysics Data System (ADS)

    Liang, Qizhen; Yao, Xuxia; Wang, Wei; Wong, C. P.

    2012-02-01

    Low operation temperature and efficient heat dissipation are important for device life and speed in current electronic and photonic technologies. Being ultra-high thermally conductive, graphene is a promising material candidate for heat dissipation improvement in devices. In the application, graphene is expected to be vertically stacked between contact solid surfaces in order to facilitate efficient heat dissipation and reduced interfacial thermal resistance across contact solid surfaces. However, as an ultra-thin membrane-like material, graphene is susceptible to Van der Waals forces and usually tends to be recumbent on substrates. Thereby, direct growth of vertically aligned free-standing graphene on solid substrates in large scale is difficult and rarely available in current studies, bringing significant barriers in graphene's application as thermal conductive media between joint solid surfaces. In this work, a three-dimensional vertically aligned multi-layer graphene architecture is constructed between contacted Silicon/Silicon surfaces with pure Indium as a metallic medium. Significantly higher equivalent thermal conductivity and lower contact thermal resistance of vertically aligned multilayer graphene are obtained, compared with those of their recumbent counterpart. This finding provides knowledge of vertically aligned graphene architectures, which may not only facilitate current demanding thermal management but also promote graphene's widespread applications such as electrodes for energy storage devices, polymeric anisotropic conductive adhesives, etc.

  5. Method of fabricating porous silicon carbide (SiC)

    NASA Technical Reports Server (NTRS)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  6. Alternative method for steam generation for thermal oxidation of silicon

    NASA Astrophysics Data System (ADS)

    Spiegelman, Jeffrey J.

    2010-02-01

    Thermal oxidation of silicon is an important process step in MEMS device fabrication. Thicker oxide layers are often used as structural components and can take days or weeks to grow, causing high gas costs, maintenance issues, and a process bottleneck. Pyrolytic steam, which is generated from hydrogen and oxygen combustion, was the default process, but has serious drawbacks: cost, safety, particles, permitting, reduced growth rate, rapid hydrogen consumption, component breakdown and limited steam flow rates. Results from data collected over a 24 month period by a MEMS manufacturer supports replacement of pyrolytic torches with RASIRC Steamer technology to reduce process cycle time and enable expansion previously limited by local hydrogen permitting. Data was gathered to determine whether Steamers can meet or exceed pyrolytic torch performance. The RASIRC Steamer uses de-ionized water as its steam source, eliminating dependence on hydrogen and oxygen. A non-porous hydrophilic membrane selectively allows water vapor to pass. All other molecules are greatly restricted, so contaminants in water such as dissolved gases, ions, total organic compounds (TOC), particles, and metals can be removed in the steam phase. The MEMS manufacturer improved growth rate by 7% over the growth range from 1μm to 3.5μm. Over a four month period, wafer uniformity, refractive index, wafer stress, and etch rate were tracked with no significant difference found. The elimination of hydrogen generated a four-month return on investment (ROI). Mean time between failure (MTBF) was increased from 3 weeks to 32 weeks based on three Steamers operating over eight months.

  7. High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor

    NASA Technical Reports Server (NTRS)

    Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.

  8. Interface Engineering to Create a Strong Spin Filter Contact to Silicon

    NASA Astrophysics Data System (ADS)

    Caspers, C.; Gloskovskii, A.; Gorgoi, M.; Besson, C.; Luysberg, M.; Rushchanskii, K. Z.; Ležaić, M.; Fadley, C. S.; Drube, W.; Müller, M.

    2016-03-01

    Integrating epitaxial and ferromagnetic Europium Oxide (EuO) directly on silicon is a perfect route to enrich silicon nanotechnology with spin filter functionality. To date, the inherent chemical reactivity between EuO and Si has prevented a heteroepitaxial integration without significant contaminations of the interface with Eu silicides and Si oxides. We present a solution to this long-standing problem by applying two complementary passivation techniques for the reactive EuO/Si interface: (i) an in situ hydrogen-Si (001) passivation and (ii) the application of oxygen-protective Eu monolayers-without using any additional buffer layers. By careful chemical depth profiling of the oxide-semiconductor interface via hard x-ray photoemission spectroscopy, we show how to systematically minimize both Eu silicide and Si oxide formation to the sub-monolayer regime-and how to ultimately interface-engineer chemically clean, heteroepitaxial and ferromagnetic EuO/Si (001) in order to create a strong spin filter contact to silicon.

  9. Interface Engineering to Create a Strong Spin Filter Contact to Silicon

    PubMed Central

    Caspers, C.; Gloskovskii, A.; Gorgoi, M.; Besson, C.; Luysberg, M.; Rushchanskii, K. Z.; Ležaić, M.; Fadley, C. S.; Drube, W.; Müller, M.

    2016-01-01

    Integrating epitaxial and ferromagnetic Europium Oxide (EuO) directly on silicon is a perfect route to enrich silicon nanotechnology with spin filter functionality. To date, the inherent chemical reactivity between EuO and Si has prevented a heteroepitaxial integration without significant contaminations of the interface with Eu silicides and Si oxides. We present a solution to this long-standing problem by applying two complementary passivation techniques for the reactive EuO/Si interface: (i) an in situ hydrogen-Si (001) passivation and (ii) the application of oxygen-protective Eu monolayers–without using any additional buffer layers. By careful chemical depth profiling of the oxide-semiconductor interface via hard x-ray photoemission spectroscopy, we show how to systematically minimize both Eu silicide and Si oxide formation to the sub-monolayer regime–and how to ultimately interface-engineer chemically clean, heteroepitaxial and ferromagnetic EuO/Si (001) in order to create a strong spin filter contact to silicon. PMID:26975515

  10. Nested potassium hydroxide etching and protective coatings for silicon-based microreactors

    NASA Astrophysics Data System (ADS)

    de Mas, Nuria; Schmidt, Martin A.; Jensen, Klavs F.

    2014-03-01

    We have developed a multilayer, multichannel silicon-based microreactor that uses elemental fluorine as a reagent and generates hydrogen fluoride as a byproduct. Nested potassium hydroxide etching (using silicon nitride and silicon oxide as masking materials) was developed to create a large number of channels (60 reaction channels connected to individual gas and liquid distributors) of significantly different depths (50-650 µm) with sloped walls (54.7° with respect to the (1 0 0) wafer surface) and precise control over their geometry. The wetted areas were coated with thermally grown silicon oxide and electron-beam evaporated nickel films to protect them from the corrosive fluorination environment. Up to four Pyrex layers were anodically bonded to three silicon layers in a total of six bonding steps to cap the microchannels and stack the reaction layers. The average pinhole density in as-evaporated films was 3 holes cm-2. Heating during anodic bonding (up to 350 °C for 4 min) did not significantly alter the film composition. Upon fluorine exposure, nickel films (160 nm thick) deposited on an adhesion layer of Cr (10 nm) over an oxidized silicon substrate (up to 500 nm thick SiO2) led to the formation of a nickel fluoride passivation layer. This microreactor was used to investigate direct fluorinations at room temperature over several hours without visible signs of film erosion.

  11. Membrane on a Chip: A Functional Tethered Lipid Bilayer Membrane on Silicon Oxide Surfaces

    PubMed Central

    Atanasov, Vladimir; Knorr, Nikolaus; Duran, Randolph S.; Ingebrandt, Sven; Offenhäusser, Andreas; Knoll, Wolfgang; Köper, Ingo

    2005-01-01

    Tethered membranes have been proven during recent years to be a powerful and flexible biomimetic platform. We reported in a previous article on the design of a new architecture based on the self-assembly of a thiolipid on ultrasmooth gold substrates, which shows extremely good electrical sealing properties as well as functionality of a bilayer membrane. Here, we describe the synthesis of lipids for a more modular design and the adaptation of the linker part to silane chemistry. We were able to form a functional tethered bilayer lipid membrane with good electrical sealing properties covering a silicon oxide surface. We demonstrate the functional incorporation of the ion carrier valinomycin and of the ion channel gramicidin. PMID:16127170

  12. Immobilization of functional oxide nanoparticles on silicon surfaces via Si-C bonded polymer brushes.

    PubMed

    Xu, F J; Wuang, S C; Zong, B Y; Kang, E T; Neoh, K G

    2006-05-01

    A method for immobilizing and mediating the spatial distribution of functional oxide (such as SiO2 and Fe3O4) nanoparticles (NPs) on (100)-oriented single crystal silicon surface, via Si-C bonded poly(3-(trimethoxysilyl)propyl methacrylate) (P(TMSPM)) brushes from surface-initiated atom transfer radical polymerization (ATRP) of (3-(trimethoxysilyl)propyl methacrylate) (TMSPM), was described. The ATRP initiator was covalently immobilized via UV-induced hydrosilylation of 4-vinylbenzyl chloride (VBC) with the hydrogen-terminated Si(100) surface (Si-H surface). The surface-immobilized Fe3O4 NPs retained their superparamagnetic characteristics and their magnetization intensity could be mediated by adjusting the thickness of the P(TMSPM) brushes.

  13. Acoustic Properties of Polyurethane Composition Reinforced with Carbon Nanotubes and Silicon Oxide Nano-powder

    NASA Astrophysics Data System (ADS)

    Orfali, Wasim A.

    This article demonstrates the acoustic properties of added small amount of carbon-nanotube and siliconoxide nano powder (S-type, P-Type) to the host material polyurethane composition. By adding CNT and/or nano-silica in the form of powder at different concentrations up to 2% within the PU composition to improve the sound absorption were investigated in the frequency range up to 1600 Hz. Sound transmission loss measurement of the samples were determined using large impedance tube. The tests showed that addition of 0.2 wt.% Silicon Oxide Nano-powder and 0.35 wt.% carbon nanotube to polyurethane composition improved sound transmissions loss (Sound Absorption) up to 80 dB than that of pure polyurethane foam sample.

  14. Real-time observations of interface formation for barium strontium titanate films on silicon

    NASA Astrophysics Data System (ADS)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  15. The electroluminescence mechanism of Er³⁺ in different silicon oxide and silicon nitride environments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Wutzler, R.; Braun, M.

    Rare earth doped metal-oxide-semiconductor (MOS) structures are of great interest for Si-based light emission. However, several physical limitations make it difficult to achieve the performance of light emitters based on compound semiconductors. To address this point, in this work the electroluminescence (EL) excitation and quenching mechanism of Er-implanted MOS structures with different designs of the dielectric stack are investigated. The devices usually consist of an injection layer made of SiO₂ and an Er-implanted layer made of SiO₂, Si-rich SiO₂, silicon nitride, or Si-rich silicon nitride. All structures implanted with Er show intense EL around 1540 nm with EL power efficienciesmore » in the order of 2 × 10⁻³ (for SiO₂:Er) or 2 × 10⁻⁴(all other matrices) for lower current densities. The EL is excited by the impact of hot electrons with an excitation cross section in the range of 0.5–1.5 × 10⁻¹⁵cm⁻². Whereas the fraction of potentially excitable Er ions in SiO₂ can reach values up to 50%, five times lower values were observed for other matrices. The decrease of the EL decay time for devices with Si-rich SiO₂ or Si nitride compared to SiO₂ as host matrix implies an increase of the number of defects adding additional non-radiative de-excitation paths for Er³⁺. For all investigated devices, EL quenching cross sections in the 10⁻²⁰ cm² range and charge-to-breakdown values in the range of 1–10 C cm⁻² were measured. For the present design with a SiO₂ acceleration layer, thickness reduction and the use of different host matrices did not improve the EL power efficiency or the operation lifetime, but strongly lowered the operation voltage needed to achieve intense EL.« less

  16. Towards nanometer-spaced silicon contacts to proteins.

    PubMed

    Schukfeh, Muhammed I; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc

    2016-03-18

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p(+) silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices' electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes' edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions' conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein's denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.

  17. Correlation between micrometer-scale ripple alignment and atomic-scale crystallographic orientation of monolayer graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Jin Sik; Chang, Young Jun; Woo, Sungjong

    Deformation normal to the surface is intrinsic in two-dimensional materials due to phononic thermal fluctuations at finite temperatures. Graphene's negative thermal expansion coefficient is generally explained by such an intrinsic property. Recently, friction measurements on graphene exfoliated on a silicon oxide surface revealed an anomalous anisotropy whose origin was believed to be the formation of ripple domains. Here, we uncover the atomistic origin of the observed friction domains using a cantilever torsion microscopy in conjunction with angle-resolved photoemission spectroscopy. We experimentally demonstrate that ripples on graphene are formed along the zigzag direction of the hexagonal lattice. The formation of zigzagmore » directional ripple is consistent with our theoretical model that takes account of the atomic-scale bending stiffness of carbon-carbon bonds and the interaction of graphene with the substrate. Lastly, the correlation between micrometer-scale ripple alignment and atomic-scale arrangement of exfoliated monolayer graphene is first discovered and suggests a practical tool for measuring lattice orientation of graphene.« less

  18. Correlation between micrometer-scale ripple alignment and atomic-scale crystallographic orientation of monolayer graphene

    DOE PAGES

    Choi, Jin Sik; Chang, Young Jun; Woo, Sungjong; ...

    2014-12-01

    Deformation normal to the surface is intrinsic in two-dimensional materials due to phononic thermal fluctuations at finite temperatures. Graphene's negative thermal expansion coefficient is generally explained by such an intrinsic property. Recently, friction measurements on graphene exfoliated on a silicon oxide surface revealed an anomalous anisotropy whose origin was believed to be the formation of ripple domains. Here, we uncover the atomistic origin of the observed friction domains using a cantilever torsion microscopy in conjunction with angle-resolved photoemission spectroscopy. We experimentally demonstrate that ripples on graphene are formed along the zigzag direction of the hexagonal lattice. The formation of zigzagmore » directional ripple is consistent with our theoretical model that takes account of the atomic-scale bending stiffness of carbon-carbon bonds and the interaction of graphene with the substrate. Lastly, the correlation between micrometer-scale ripple alignment and atomic-scale arrangement of exfoliated monolayer graphene is first discovered and suggests a practical tool for measuring lattice orientation of graphene.« less

  19. Correlation between micrometer-scale ripple alignment and atomic-scale crystallographic orientation of monolayer graphene.

    PubMed

    Choi, Jin Sik; Chang, Young Jun; Woo, Sungjong; Son, Young-Woo; Park, Yeonggu; Lee, Mi Jung; Byun, Ik-Su; Kim, Jin-Soo; Choi, Choon-Gi; Bostwick, Aaron; Rotenberg, Eli; Park, Bae Ho

    2014-12-01

    Deformation normal to the surface is intrinsic in two-dimensional materials due to phononic thermal fluctuations at finite temperatures. Graphene's negative thermal expansion coefficient is generally explained by such an intrinsic property. Recently, friction measurements on graphene exfoliated on a silicon oxide surface revealed an anomalous anisotropy whose origin was believed to be the formation of ripple domains. Here, we uncover the atomistic origin of the observed friction domains using a cantilever torsion microscopy in conjunction with angle-resolved photoemission spectroscopy. We experimentally demonstrate that ripples on graphene are formed along the zigzag direction of the hexagonal lattice. The formation of zigzag directional ripple is consistent with our theoretical model that takes account of the atomic-scale bending stiffness of carbon-carbon bonds and the interaction of graphene with the substrate. The correlation between micrometer-scale ripple alignment and atomic-scale arrangement of exfoliated monolayer graphene is first discovered and suggests a practical tool for measuring lattice orientation of graphene.

  20. Oxidation kinetics of CVD silicon carbide and silicon nitride

    NASA Technical Reports Server (NTRS)

    Fox, Dennis S.

    1992-01-01

    The long-term oxidation behavior of pure, monolithic CVD SiC and Si3N4 is studied, and the isothermal oxidation kinetics of these two materials are obtained for the case of 100 hrs at 1200-1500 C in flowing oxygen. Estimates are made of lifetimes at the various temperatures investigated. Parabolic rate constants for SiC are within an order of magnitude of shorter exposure time values reported in the literature. The resulting silica scales are in the form of cristobalite, with cracks visible after exposure. The oxidation protection afforded by silica for these materials is adequate for long service times under isothermal conditions in 1-atm dry oxygen.

  1. Stable Organic Monolayers on Oxide-Free Silicon/Germanium in a Supercritical Medium: A New Route to Molecular Electronics.

    PubMed

    Puniredd, Sreenivasa Reddy; Jayaraman, Sundaramurthy; Yeong, Sai Hooi; Troadec, Cedric; Srinivasan, M P

    2013-05-02

    Oxide-free Si and Ge surfaces have been passivated and modified with organic molecules by forming covalent bonds between the surfaces and reactive end groups of linear alkanes and aromatic species using single-step deposition in supercritical carbon dioxide (SCCO2). The process is suitable for large-scale manufacturing due to short processing times, simplicity, and high resistance to oxidation. It also allows the formation of monolayers with varying reactive terminal groups, thus enabling formation of nanostructures engineered at the molecular level. Ballistic electron emission microscopy (BEEM) spectra performed on the organic monolayer on oxide-free silicon capped by a thin gold layer reveals for the first time an increase in transmission of the ballistic current through the interface of up to three times compared to a control device, in contrast to similar studies reported in the literature suggestive of oxide-free passivation in SCCO2. The SCCO2 process combined with the preliminary BEEM results opens up new avenues for interface engineering, leading to molecular electronic devices.

  2. High specific activity silicon-32

    DOEpatents

    Phillips, Dennis R.; Brzezinski, Mark A.

    1996-01-01

    A process for preparation of silicon-32 is provided and includes contacting an irradiated potassium chloride target, including spallation products from a prior irradiation, with sufficient water, hydrochloric acid or potassium hydroxide to form a solution, filtering the solution, adjusting pH of the solution to from about 5.5 to about 7.5, admixing sufficient molybdate-reagent to the solution to adjust the pH of the solution to about 1.5 and to form a silicon-molybdate complex, contacting the solution including the silicon-molybdate complex with a dextran-based material, washing the dextran-based material to remove residual contaminants such as sodium-22, separating the silicon-molybdate complex from the dextran-based material as another solution, adding sufficient hydrochloric acid and hydrogen peroxide to the solution to prevent reformation of the silicon-molybdate complex and to yield an oxidization state of the molybdate adapted for subsequent separation by an anion exchange material, contacting the solution with an anion exchange material whereby the molybdate is retained by the anion exchange material and the silicon remains in solution, and optionally adding sufficient alkali metal hydroxide to adjust the pH of the solution to about 12 to 13. Additionally, a high specific activity silicon-32 product having a high purity is provided.

  3. High specific activity silicon-32

    DOEpatents

    Phillips, D.R.; Brzezinski, M.A.

    1996-06-11

    A process for preparation of silicon-32 is provided and includes contacting an irradiated potassium chloride target, including spallation products from a prior irradiation, with sufficient water, hydrochloric acid or potassium hydroxide to form a solution, filtering the solution, adjusting pH of the solution from about 5.5 to about 7.5, admixing sufficient molybdate-reagent to the solution to adjust the pH of the solution to about 1.5 and to form a silicon-molybdate complex, contacting the solution including the silicon-molybdate complex with a dextran-based material, washing the dextran-based material to remove residual contaminants such as sodium-22, separating the silicon-molybdate complex from the dextran-based material as another solution, adding sufficient hydrochloric acid and hydrogen peroxide to the solution to prevent reformation of the silicon-molybdate complex and to yield an oxidation state of the molybdate adapted for subsequent separation by an anion exchange material, contacting the solution with an anion exchange material whereby the molybdate is retained by the anion exchange material and the silicon remains in solution, and optionally adding sufficient alkali metal hydroxide to adjust the pH of the solution to about 12 to 13. Additionally, a high specific activity silicon-32 product having a high purity is provided.

  4. A silicon nanowire-reduced graphene oxide composite as a high-performance lithium ion battery anode material.

    PubMed

    Ren, Jian-Guo; Wang, Chundong; Wu, Qi-Hui; Liu, Xiang; Yang, Yang; He, Lifang; Zhang, Wenjun

    2014-03-21

    Toward the increasing demands of portable energy storage and electric vehicle applications, silicon has been emerging as a promising anode material for lithium-ion batteries (LIBs) owing to its high specific capacity. However, serious pulverization of bulk silicon during cycling limits its cycle life. Herein, we report a novel hierarchical Si nanowire (Si NW)-reduced graphene oxide (rGO) composite fabricated using a solvothermal method followed by a chemical vapor deposition process. In the composite, the uniform-sized [111]-oriented Si NWs are well dispersed on the rGO surface and in between rGO sheets. The flexible rGO enables us to maintain the structural integrity and to provide a continuous conductive network of the electrode, which results in over 100 cycles serving as an anode in half cells at a high lithium storage capacity of 2300 mA h g(-1). Due to its [111] growth direction and the large contact area with rGO, the Si NWs in the composite show substantially enhanced reaction kinetics compared with other Si NWs or Si particles.

  5. Electrochemical and thermal grafting of alkyl grignard reagents onto (100) silicon surfaces.

    PubMed

    Vegunta, Sri Sai S; Ngunjiri, Johnpeter N; Flake, John C

    2009-11-03

    Passivation of (100) silicon surfaces using alkyl Grignard reagents is explored via electrochemical and thermal grafting methods. The electrochemical behavior of silicon in methyl or ethyl Grignard reagents in tetrahydrofuran is investigated using cyclic voltammetry. Surface morphology and chemistry are investigated using atomic force microscopy, Fourier transform infrared spectroscopy, and X-ray photoelectron spectroscopy (XPS). Results show that electrochemical pathways provide an efficient and more uniform passivation method relative to thermal methods, and XPS results demonstrate that electrografted terminations are effective at limiting native oxide formation for more than 55 days in ambient conditions. A two-electron per silicon mechanism is proposed for electrografting a single (1:1) alkyl group per (100) silicon atom. The mechanism includes oxidation of two Grignard species and subsequent hydrogen abstraction and alkylation reaction resulting in a covalent attachment of alkyl groups with silicon.

  6. Drug delivery via porous silicon: a focused patent review.

    PubMed

    Kulyavtsev, Paulina A; Spencer, Roxanne P

    2017-03-01

    Although silicon is more commonly associated with computer chips than with drug delivery, with the discovery that porous silicon is a viable biocompatible material, mesoporous silicon with pores between 2 and 50 nm has been loaded with small molecule and biomolecule therapeutics and safely implanted for controlled release. As porous silicon is readily oxidized, porous silica must also be considered for drug delivery applications. Since 2010, only a limited number of US patents have been granted, primarily for ophthalmologic and immunotherapy applications, in contrast to the growing body of technical literature in this area.

  7. Preparation of electrochemically active silicon nanotubes in highly ordered arrays

    PubMed Central

    Grünzel, Tobias; Lee, Young Joo; Kuepper, Karsten

    2013-01-01

    Summary Silicon as the negative electrode material of lithium ion batteries has a very large capacity, the exploitation of which is impeded by the volume changes taking place upon electrochemical cycling. A Si electrode displaying a controlled porosity could circumvent the difficulty. In this perspective, we present a preparative method that yields ordered arrays of electrochemically competent silicon nanotubes. The method is based on the atomic layer deposition of silicon dioxide onto the pore walls of an anodic alumina template, followed by a thermal reduction with lithium vapor. This thermal reduction is quantitative, homogeneous over macroscopic samples, and it yields amorphous silicon and lithium oxide, at the exclusion of any lithium silicides. The reaction is characterized by spectroscopic ellipsometry for thin silica films, and by nuclear magnetic resonance and X-ray photoelectron spectroscopy for nanoporous samples. After removal of the lithium oxide byproduct, the silicon nanotubes can be contacted electrically. In a lithium ion electrolyte, they then display the electrochemical waves also observed for other bulk or nanostructured silicon systems. The method established here paves the way for systematic investigations of how the electrochemical properties (capacity, charge/discharge rates, cyclability) of nanoporous silicon negative lithium ion battery electrode materials depend on the geometry. PMID:24205460

  8. Silicon cells made by self-aligned selective-emitter plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.; Zaidi, Saleem H.

    2000-01-01

    Photovoltaic cells and methods for making them are disclosed wherein the metallized grids of the cells are used to mask portions of cell emitter regions to allow selective etching of phosphorus-doped emitter regions. The preferred etchant is SF.sub.6 or a combination of SF.sub.6 and O.sub.2. This self-aligned selective etching allows for enhanced blue response (versus cells with uniform heavy doping of the emitter) while preserving heavier doping in the region beneath the gridlines needed for low contact resistance. Embodiments are disclosed for making cells with or without textured surfaces. Optional steps include plasma hydrogenation and PECVD nitride deposition, each of which are suited to customized applications for requirements of given cells to be manufactured. The techniques disclosed could replace expensive and difficult alignment methodologies used to obtain selectively etched emitters, and they may be easily integrated with existing plasma processing methods and techniques of the invention may be accomplished in a single plasma-processing chamber.

  9. Elevated temperature mechanical behavior of monolithic and SiC whisker-reinforced silicon nitrides

    NASA Technical Reports Server (NTRS)

    Salem, Jonathan A.; Choi, Sung R.; Sanders, William A.; Fox, Dennis S.

    1991-01-01

    The mechanical behavior of a 30 volume percent SiC whisker reinforced silicon nitride and a similar monolithic silicon nitride were measured at several temperatures. Measurements included strength, fracture toughness, crack growth resistance, dynamic fatigue susceptibility, post oxidation strength, and creep rate. Strength controlling defects were determined with fractographic analysis. The addition of SiC whiskers to silicon nitride did not substantially improve the strength, fracture toughness, or crack growth resistance. However, the fatigue resistance, post oxidation strength, and creep resistance were diminished by the whisker addition.

  10. Performance testing of a novel off-plane reflection grating and silicon pore optic spectrograph at PANTER

    NASA Astrophysics Data System (ADS)

    Marlowe, Hannah; McEntaffer, Randall L.; Allured, Ryan; DeRoo, Casey; Miles, Drew M.; Donovan, Benjamin D.; Tutt, James H.; Burwitz, Vadim; Menz, Benedikt; Hartner, Gisela D.; Smith, Randall K.; Günther, Ramses; Yanson, Alex; Vacanti, Giuseppe; Ackermann, Marcelo

    2015-05-01

    An X-ray spectrograph consisting of aligned, radially ruled off-plane reflection gratings and silicon pore optics (SPO) was tested at the Max Planck Institute for extraterrestrial Physics PANTER X-ray test facility. The SPO is a test module for the proposed Arcus mission, which will also feature aligned off-plane reflection gratings. This test is the first time two off-plane gratings were actively aligned to each other and with a SPO to produce an overlapped spectrum. We report the performance of the complete spectrograph utilizing the aligned gratings module and plans for future development.

  11. Graded Index Silicon Geranium on Lattice Matched Silicon Geranium Semiconductor Alloy

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor); Elliott, James R., Jr. (Inventor); Stoakley, Diane M. (Inventor)

    2009-01-01

    A lattice matched silicon germanium (SiGe) semiconductive alloy is formed when a {111} crystal plane of a cubic diamond structure SiGe is grown on the {0001} C-plane of a single crystalline Al2O3 substrate such that a <110> orientation of the cubic diamond structure SiGe is aligned with a <1,0,-1,0> orientation of the {0001} C-plane. A lattice match between the substrate and the SiGe is achieved by using a SiGe composition that is 0.7223 atomic percent silicon and 0.2777 atomic percent germanium. A layer of Si(1-x), ,Ge(x) is formed on the cubic diamond structure SiGe. The value of X (i) defines an atomic percent of germanium satisfying 0.2277

  12. The role of hydrogenated amorphous silicon oxide buffer layer on improving the performance of hydrogenated amorphous silicon germanium single-junction solar cells

    NASA Astrophysics Data System (ADS)

    Sritharathikhun, Jaran; Inthisang, Sorapong; Krajangsang, Taweewat; Krudtad, Patipan; Jaroensathainchok, Suttinan; Hongsingtong, Aswin; Limmanee, Amornrat; Sriprapha, Kobsak

    2016-12-01

    Hydrogenated amorphous silicon oxide (a-Si1-xOx:H) film was used as a buffer layer at the p-layer (μc-Si1-xOx:H)/i-layer (a-Si1-xGex:H) interface for a narrow band gap hydrogenated amorphous silicon germanium (a-Si1-xGex:H) single-junction solar cell. The a-Si1-xOx:H film was deposited by plasma enhanced chemical vapor deposition (PECVD) at 40 MHz in a same processing chamber as depositing the p-type layer. An optimization of the thickness of the a-Si1-xOx:H buffer layer and the CO2/SiH4 ratio was performed in the fabrication of the a-Si1-xGex:H single junction solar cells. By using the wide band gap a-Si1-xOx:H buffer layer with optimum thickness and CO2/SiH4 ratio, the solar cells showed an improvement in the open-circuit voltage (Voc), fill factor (FF), and short circuit current density (Jsc), compared with the solar cells fabricated using the conventional a-Si:H buffer layer. The experimental results indicated the excellent potential of the wide-gap a-Si1-xOx:H buffer layers for narrow band gap a-Si1-xGex:H single junction solar cells.

  13. A strong electro-optically active lead-free ferroelectric integrated on silicon

    NASA Astrophysics Data System (ADS)

    Abel, Stefan; Stöferle, Thilo; Marchiori, Chiara; Rossel, Christophe; Rossell, Marta D.; Erni, Rolf; Caimi, Daniele; Sousa, Marilyne; Chelnokov, Alexei; Offrein, Bert J.; Fompeyrine, Jean

    2013-04-01

    The development of silicon photonics could greatly benefit from the linear electro-optical properties, absent in bulk silicon, of ferroelectric oxides, as a novel way to seamlessly connect the electrical and optical domain. Of all oxides, barium titanate exhibits one of the largest linear electro-optical coefficients, which has however not yet been explored for thin films on silicon. Here we report on the electro-optical properties of thin barium titanate films epitaxially grown on silicon substrates. We extract a large effective Pockels coefficient of reff=148 pm V-1, which is five times larger than in the current standard material for electro-optical devices, lithium niobate. We also reveal the tensor nature of the electro-optical properties, as necessary for properly designing future devices, and furthermore unambiguously demonstrate the presence of ferroelectricity. The integration of electro-optical active films on silicon could pave the way towards power-efficient, ultra-compact integrated devices, such as modulators, tuning elements and bistable switches.

  14. Silicon heterojunction solar cell with passivated hole selective MoO{sub x} contact

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battaglia, Corsin; Yin, Xingtian; Zheng, Maxwell

    2014-03-17

    We explore substoichiometric molybdenum trioxide (MoO{sub x}, x < 3) as a dopant-free, hole-selective contact for silicon solar cells. Using an intrinsic hydrogenated amorphous silicon passivation layer between the oxide and the silicon absorber, we demonstrate a high open-circuit voltage of 711 mV and power conversion efficiency of 18.8%. Due to the wide band gap of MoO{sub x}, we observe a substantial gain in photocurrent of 1.9 mA/cm{sup 2} in the ultraviolet and visible part of the solar spectrum, when compared to a p-type amorphous silicon emitter of a traditional silicon heterojunction cell. Our results emphasize the strong potential for oxides as carrier selectivemore » heterojunction partners to inorganic semiconductors.« less

  15. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    PubMed Central

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  16. Design rules for RCA self-aligned silicon-gate CMOS/SOS process

    NASA Technical Reports Server (NTRS)

    1977-01-01

    The CMOS/SOS design rules prepared by the RCA Solid State Technology Center (SSTC) are described. These rules specify the spacing and width requirements for each of the six design levels, the seventh level being used to define openings in the passivation level. An associated report, entitled Silicon-Gate CMOS/SOS Processing, provides further insight into the usage of these rules.

  17. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    NASA Astrophysics Data System (ADS)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  18. Shear induced alignment of short nanofibers in 3D printed polymer composites.

    PubMed

    Yunus, Doruk Erdem; Shi, Wentao; Sohrabi, Salman; Liu, Yaling

    2016-12-09

    3D printing of composite materials offers an opportunity to combine the desired properties of composite materials with the flexibility of additive manufacturing in geometric shape and complexity. In this paper, the shear-induced alignment of aluminum oxide nanowires during stereolithography printing was utilized to fabricate a nanowire reinforced polymer composite. To align the fibers, a lateral oscillation mechanism was implemented and combined with wall pattern printing technique to generate shear flow in both vertical and horizontal directions. A series of specimens were fabricated for testing the composite material's tensile strength. The results showed that mechanical properties of the composite were improved by reinforcement of nanofibers through shear induced alignment. The improvement of tensile strength was approximately ∼28% by aligning the nanowires at 5 wt% (∼1.5% volume fraction) loading of aluminum oxide nanowires.

  19. Silicon Satellites: Picosats, Nanosats, and Microsats

    NASA Technical Reports Server (NTRS)

    Janson, Siegfried W.

    1995-01-01

    Silicon, the most abundant solid element in the Earth's lithosphere, is a useful material for spacecraft construction. Silicon is stronger than stainless steel, has a thermal conductivity about half that of aluminum, is transparent to much of the infrared radiation spectrum, and can form a stable oxide. These unique properties enable silicon to become most of the mass of a satellite, it can simultaneously function as structure, heat transfer system, radiation shield, optics, and semiconductor substrate. Semiconductor batch-fabrication techniques can produce low-power digital circuits, low-power analog circuits, silicon-based radio frequency circuits, and micro-electromechanical systems (MEMS) such as thrusters and acceleration sensors on silicon substrates. By exploiting these fabrication techniques, it is possible to produce highly-integrated satellites for a number of applications. This paper analyzes the limitations of silicon satellites due to size. Picosatellites (approximately 1 gram mass), nanosatellites (about 1 kg mass), and highly capable microsatellites (about 10 kg mass) can perform various missions with lifetimes of a few days to greater than a decade.

  20. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    NASA Technical Reports Server (NTRS)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  1. Low-power embedded read-only memory using atom switch and silicon-on-thin-buried-oxide transistor

    NASA Astrophysics Data System (ADS)

    Sakamoto, Toshitsugu; Tada, Munehiro; Tsuji, Yukihide; Makiyama, Hideki; Hasegawa, Takumi; Yamamoto, Yoshiki; Okanishi, Shinobu; Banno, Naoki; Miyamura, Makoto; Okamoto, Koichiro; Iguchi, Noriyuki; Ogasahara, Yasuhiro; Oda, Hidekazu; Kamohara, Shiro; Yamagata, Yasushi; Sugii, Nobuyuki; Hada, Hiromitsu

    2015-04-01

    We developed an atom-switch read-only memory (ROM) fabricated on silicon-on-thin-buried-oxide (SOTB) for use in a low-power microcontroller for the first time. An atom switch with a low programming voltage and large ON/OFF conductance ratio is suitable for low-power nonvolatile memory. The atom-switch ROM using an SOTB transistor uses a 0.34-1.2 V operating voltage and 12 µA/MHz active current (or 4.5 µW/MHz active power). Furthermore, the sleep current is as low as 0.4 µA when a body bias voltage is applied to the SOTB.

  2. 12-GHz thin-film transistors on transferrable silicon nanomembranes for high-performance flexible electronics.

    PubMed

    Sun, Lei; Qin, Guoxuan; Seo, Jung-Hun; Celler, George K; Zhou, Weidong; Ma, Zhenqiang

    2010-11-22

    Multigigahertz flexible electronics are attractive and have broad applications. A gate-after-source/drain fabrication process using preselectively doped single-crystal silicon nanomembranes (SiNM) is an effective approach to realizing high device speed. However, further downscaling this approach has become difficult in lithography alignment. In this full paper, a local alignment scheme in combination with more accurate SiNM transfer measures for minimizing alignment errors is reported. By realizing 1 μm channel alignment for the SiNMs on a soft plastic substrate, thin-film transistors with a record speed of 12 GHz maximum oscillation frequency are demonstrated. These results indicate the great potential of properly processed SiNMs for high-performance flexible electronics.

  3. Ocular silicon distribution and clearance following intravitreal injection of porous silicon microparticles.

    PubMed

    Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J; Freeman, William R; Cheng, Lingyun

    2013-11-01

    Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. Copyright © 2013 Elsevier Ltd. All rights reserved.

  4. Mode-converting coupler for silicon-on-sapphire devices

    NASA Astrophysics Data System (ADS)

    Zlatanovic, S.; Offord, B. W.; Owen, M.; Shimabukuro, R.; Jacobs, E. W.

    2015-02-01

    Silicon-on-sapphire devices are attractive for the mid-infrared optical applications up to 5 microns due to the low loss of both silicon and sapphire in this wavelength band. Designing efficient couplers for silicon-on-sapphire devices presents a challenge due to a highly confined mode in silicon and large values of refractive index of both silicon and sapphire. Here, we present design, fabrication, and measurements of a mode-converting coupler for silicon-on-sapphire waveguides. We utilize a mode converter layout that consists of a large waveguide that is overlays a silicon inverse tapered waveguide. While this geometry was previously utilized for silicon-on-oxide devices, the novelty is in using materials that are compatible with the silicon-on-sapphire platform. In the current coupler the overlaying waveguide is made of silicon nitride. Silicon nitride is the material of choice because of the large index of refraction and low absorption from near-infrared to mid-infrared. The couplers were fabricated using a 0.25 micron silicon-on-sapphire process. The measured coupling loss from tapered lensed silica fibers to the silicon was 4.8dB/coupler. We will describe some challenges in fabrication process and discuss ways to overcome them.

  5. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    NASA Technical Reports Server (NTRS)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  6. Oxidation of silicon nitride sintered with rare-earth oxide additions

    NASA Technical Reports Server (NTRS)

    Mieskowski, D. M.; Sanders, W. A.

    1985-01-01

    The effects of rare-earth oxide additions on the oxidation of sintered Si3N4 were examined. Insignificant oxidation occurred at 700 and 1000 C, with no evidence of phase instability. At 1370 C, the oxidation rate was lowest for Y2O3 and increased for additions of La2O3, Sm2O3, and CeO2, in that order. Data obtained from X-ray diffraction, electron microprobe analysis, and scanning electron microscopy indicate that oxidation occurs via diffusion of cationic species from Si3N4 grain boundaries.

  7. Charge Trapping in Low Temperature MOS (Metal-Oxide-Silicon) Oxides.

    DTIC Science & Technology

    1984-08-24

    high pressure thermal oxidation (HIPOX). The LPCVD process involved reaction of dichlorosilane with nitrous oxide. The HIPOX process involved dry...oxygen. The LPCVD and HIPOX films were subjected to a variety of annealing treatments. We have systematically investigated the effects of these treatments...systematically altered by annealing treatments. In general, the electron traps in LPCVD oxide films produced by the nitrous oxide- dichlorosilane

  8. Reconstruction software of the silicon tracker of DAMPE mission

    NASA Astrophysics Data System (ADS)

    Tykhonov, A.; Gallo, V.; Wu, X.; Zimmer, S.

    2017-10-01

    DAMPE is a satellite-borne experiment aimed to probe astroparticle physics in the GeV-TeV energy range. The Silicon tracker (STK) is one of the key components of DAMPE, which allows the reconstruction of trajectories (tracks) of detected particles. The non-negligible amount of material in the tracker poses a challenge to its reconstruction and alignment. In this paper we describe methods to address this challenge. We present the track reconstruction algorithm and give insight into the alignment algorithm. We also present our CAD-to-GDML converter, an in-house tool for implementing detector geometry in the software from the CAD drawings of the detector.

  9. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    NASA Astrophysics Data System (ADS)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  10. Application Of Optical Processing For Growth Of Silicon Dioxide

    DOEpatents

    Sopori, Bhushan L.

    1997-06-17

    A process for producing a silicon dioxide film on a surface of a silicon substrate. The process comprises illuminating a silicon substrate in a substantially pure oxygen atmosphere with a broad spectrum of visible and infrared light at an optical power density of from about 3 watts/cm.sup.2 to about 6 watts/cm.sup.2 for a time period sufficient to produce a silicon dioxide film on the surface of the silicon substrate. An optimum optical power density is about 4 watts/cm.sup.2 for growth of a 100.ANG.-300.ANG. film at a resultant temperature of about 400.degree. C. Deep level transient spectroscopy analysis detects no measurable impurities introduced into the silicon substrate during silicon oxide production and shows the interface state density at the SiO.sub.2 /Si interface to be very low.

  11. Silicon oxide permeation barrier coating of PET bottles and foils

    NASA Astrophysics Data System (ADS)

    Steves, Simon; Deilmann, Michael; Awakowicz, Peter

    2009-10-01

    Modern packaging materials such as polyethylene terephthalate (PET) have displaced established materials in many areas of food and beverage packaging. Plastic packing materials offer are various advantages concerning production and handling. PET bottles for instance are non-breakable and lightweight compared to glass and metal containers. However, PET offers poor barrier properties against gas permeation. Therefore, the shelf live of packaged food is reduced. Permeation of gases can be reduced by depositing transparent plasma polymerized silicon oxide (SiOx) barrier coatings. A microwave (2.45 GHz) driven low pressure plasma reactor is developed based on a modified Plasmaline antenna to treat PET foils or bottles. To increase the barrier properties of the coatings furthermore a RF substrate bias (13.56 MHz) is applied. The composition of the coatings is analyzed by means of Fourier transform infrared (FTIR) spectroscopy regarding carbon and hydrogen content. Influence of gas phase composition and substrate bias on chemical composition of the coatings is discussed. A strong relation between barrier properties and film composition is found: good oxygen barriers are observed as carbon content is reduced and films become quartz-like. Regarding oxygen permeation a barrier improvement factor (BIF) of 70 is achieved.

  12. Towards nanometer-spaced silicon contacts to proteins

    NASA Astrophysics Data System (ADS)

    Schukfeh, Muhammed I.; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc

    2016-03-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.

  13. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    NASA Astrophysics Data System (ADS)

    Rull, Jordi; Nonglaton, Guillaume; Costa, Guillaume; Fontelaye, Caroline; Marchi-Delapierre, Caroline; Ménage, Stéphane; Marchand, Gilles

    2015-11-01

    The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO2) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric contrast optical technique, atomic force microscopy, multiple internal reflection infrared spectroscopy and X-ray photoelectron spectroscopy. The shelf life of the 3,4-epoxybutyltrimethoxysilane coating layer has also been studied. Finally, two different strategies of NH2-oligonucleotide grafting on EBTMOS coating layer have been compared, i.e. reductive amination and nucleophilic substitution, SN2. This EBTMOS based coating layer can be used for a wide range of applications

  14. Mitotic trafficking of silicon microparticles†

    PubMed Central

    Serda, Rita E.; Ferrati, Silvia; Godin, Biana; Tasciotti, Ennio; Liu, XueWu

    2010-01-01

    Multistage carriers were recently introduced by our laboratory, with the concurrent objectives of co-localized delivery of multiple therapeutic agents, the “theranostic” integration of bioactive moieties with imaging contrast, and the selective, potentially personalized bypassing of the multiplicity of biological barriers that adversely impact biodistribution of vascularly injected particulates. Mesoporous (“nanoporous”) silicon microparticles were selected as primary carriers in multi-stage devices, with targets including vascular endothelia at pathological lesions. The objective of this study was to evaluate biocompatibility of mesoporous silicon microparticles with endothelial cells using in vitro assays with an emphasis on microparticle compatibility with mitotic events. We observed that vascular endothelial cells, following internalization of silicon microparticles, maintain cellular integrity, as demonstrated by cellular morphology, viability and intact mitotic trafficking of vesicles bearing silicon microparticles. The presence of gold or iron oxide nanoparticles within the porous matrix did not alter the cellular uptake of particles or the viability of endothelial cells subsequent to engulfment of microparticles. Endothelial cells maintained basal levels of IL-6 and IL-8 release in the presence of silicon microparticles. This is the first study that demonstrates polarized, ordered partitioning of endosomes based on tracking microparticles. The finding that mitotic sorting of endosomes is unencumbered by the presence of nanoporous silicon microparticles advocates the use of silicon microparticles for biomedical applications. PMID:20644846

  15. Electrical transport in transverse direction through silicon carbon alloy multilayers containing regular size silicon quantum dots

    NASA Astrophysics Data System (ADS)

    Mandal, Aparajita; Kole, Arindam; Dasgupta, Arup; Chaudhuri, Partha

    2016-11-01

    Electrical transport in the transverse direction has been studied through a series of hydrogenated silicon carbon alloy multilayers (SiC-MLs) deposited by plasma enhanced chemical vapor deposition method. Each SiC-ML consists of 30 cycles of the alternating layers of a nearly amorphous silicon carbide (a-SiC:H) and a microcrystalline silicon carbide (μc-SiC:H) that contains high density of silicon quantum dots (Si-QDs). A detailed investigation by cross sectional TEM reveals preferential growth of densely packed Si-QDs of regular sizes ∼4.8 nm in diameter in a vertically aligned columnar structure within the SiC-ML. More than six orders of magnitude increase in transverse current through the SiC-ML structure were observed for decrease in the a-SiC:H layer thickness from 13 nm to 2 nm. The electrical transport mechanism was established to be a combination of grain boundary or band tail hopping and Frenkel-Poole (F-P) type conduction depending on the temperature and externally applied voltage ranges. Evaluation of trap concentration within the multilayer structures from the fitted room temperature current voltage characteristics by F-P function shows reduction up-to two orders of magnitude indicating an improvement in the short range order in the a-SiC:H matrix for decrease in the thickness of a-SiC:H layer.

  16. Trimming of silicon ring resonator by electron beam induced compaction and strain.

    PubMed

    Schrauwen, J; Van Thourhout, D; Baets, R

    2008-03-17

    Silicon is becoming the preferable platform for future integrated components, mostly due to the mature and reliable fabrication capabilities of electronics industry. Nevertheless, even the most advanced fabrication technologies suffer from non-uniformity on wafer scale and on chip scale, causing variations in the critical dimensions of fabricated components. This is an important issue since photonic circuits, and especially cavities such as ring resonators, are extremely sensitive to these variations. In this paper we present a way to circumvent these problems by trimming using electron beam induced compaction of oxide in silicon on insulator. Volume compaction of the oxide cladding causes both changes in the refractive index and creates strain in the silicon lattice. We demonstrate a resonance wavelength red shift 4.91 nm in a silicon ring resonator.

  17. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  18. Rigorous electromagnetic simulation applied to alignment systems

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Pistor, Thomas V.; Neureuther, Andrew R.

    2001-09-01

    Rigorous electromagnetic simulation with TEMPEST is used to provide benchmark data and understanding of key parameters in the design of topographical features of alignment marks. Periodic large silicon trenches are analyzed as a function of wavelength (530-800 nm), duty cycle, depth, slope and angle of incidence. The signals are well behaved except when the trench width becomes about 1 micrometers or smaller. Segmentation of the trenches to form 3D marks shows that a segmentation period of 2-5 wavelengths makes the diffraction in the (1,1) direction about 1/3 to 1/2 of that in the main first order (1,0). Transmission alignment marks nanoimprint lithography using the difference between the +1 and -1 reflected orders showed a sensitivity of the difference signal to misalignment of 0.7%/nm for rigorous simulation and 0.5%/nm for simple ray-tracing. The sensitivity to a slanted substrate indentation was 10 nm off-set per degree of tilt from horizontal.

  19. The 1200 C cyclic oxidation behavior of two nickel-aluminum alloys (Ni3AL and NiAl) with additions of chromium, silicon, and titanium

    NASA Technical Reports Server (NTRS)

    Lowell, C. E.; Santoro, G. J.

    1972-01-01

    The alloys Ni3Al and NiAl with and without 1 and 3 atomic percent chromium, silicon, and titanium replacing the aluminum were cyclically oxidized at 1200 C for times to 200 hours, and the results were compared with those obtained with the alloy B-1900 subjected to the same oxidation process. The evaluation was based on metal recession, specific weight change, metallography, electron microprobe analysis, and X-ray diffraction. The oxidation resistance of Ni3Al was improved by Si, unaffected by Ti, and degraded by Cr. The oxidation resistance of NiAl was slightly improved by Ti, unaffected by Si, and degraded by Cr. The oxidation resistance of Ni3Al with 1 atomic percent Si was nearly equal to that of NiAl. Alloy B-1900 exhibited oxidation resistance comparable to that of Ni3Al + Cr compositions.

  20. Toxic Compounds in Our Food: Arsenic Uptake By Rice and Potential Mitigation By Silicon

    NASA Astrophysics Data System (ADS)

    Seyfferth, A.; Gill, R.; Penido, E.

    2014-12-01

    Arsenic is a ubiquitous element in soils worldwide and has the potential to negatively impact human and ecosystem health under certain biogeochemical conditions. While arsenic is relatively immobile in most oxidized soils due to a high affinity for soil solids, arsenic becomes mobilized under reduced soil conditions due to the reductive dissolution of iron(III) oxides thereby releasing soil-bound arsenic. Since arsenic is a well-known carcinogen, this plant-soil process has the potential to negatively impact the lives of billions of rice consumers worldwide upon plant uptake and grain storage of released arsenic. Moreover, arsenic uptake by rice is excacerbated by the use of As-laden groundwater for rice irrigation. One proposed strategy to decrease arsenic uptake by rice plants is via an increase in dissolved silicon in paddy soil solution (pore-water), since silicic acid and arsenous acid share an uptake pathway. However, several soil processes that influence arsenic cycling may be affected by silicon including desorption from bulk soil, formation and mineralogy of iron(III) oxide plaque, and adsorption/desorption onto/from iron plaque; the effect of silicon on these soil processes will ultimately dictate the effectiveness of altered dissolved silicon in decreasing arsenic uptake at the root, which in turn dictates the concentration of arsenic found in grains. Furthermore, the source of silicon may impact carbon cycling and, in particular, methane emissions. Here, impacts of altered dissolved silicon on processes that affect rhizospheric biogeochemical cycling of arsenic and subsequent plant-uptake, and how it influences other biogeochemical cycles such as carbon and iron are investigated. We show that silicon can decrease arsenic uptake and grain storage under certain conditions, and that altered silicon affects the type of iron (III) oxide that comprises iron plaque.