Sample records for crispr spacer matches

  1. Optimal number of spacers in CRISPR arrays

    PubMed Central

    Severinov, Konstantin; Ispolatov, Iaroslav

    2017-01-01

    Prokaryotic organisms survive under constant pressure of viruses. CRISPR-Cas system provides its prokaryotic host with an adaptive immune defense against viruses that have been previously encountered. It consists of two components: Cas-proteins that cleave the foreign DNA and CRISPR array that suits as a virus recognition key. CRISPR array consists of a series of spacers, short pieces of DNA that originate from and match the corresponding parts of viral DNA called protospacers. Here we estimate the number of spacers in a CRISPR array of a prokaryotic cell which maximizes its protection against a viral attack. The optimality follows from a competition between two trends: too few distinct spacers make host vulnerable to an attack by a virus with mutated corresponding protospacers, while an excessive variety of spacers dilutes the number of the CRISPR complexes armed with the most recent and thus most useful spacers. We first evaluate the optimal number of spacers in a simple scenario of an infection by a single viral species and later consider a more general case of multiple viral species. We find that depending on such parameters as the concentration of CRISPR-Cas interference complexes and its preference to arm with more recently acquired spacers, the rate of viral mutation, and the number of viral species, the predicted optimal number of spacers lies within a range that agrees with experimentally-observed values. PMID:29253874

  2. The CRISPR Spacer Space Is Dominated by Sequences from Species-Specific Mobilomes.

    PubMed

    Shmakov, Sergey A; Sitnik, Vassilii; Makarova, Kira S; Wolf, Yuri I; Severinov, Konstantin V; Koonin, Eugene V

    2017-09-19

    Clustered regularly interspaced short palindromic repeats and CRISPR-associated protein (CRISPR-Cas) systems store the memory of past encounters with foreign DNA in unique spacers that are inserted between direct repeats in CRISPR arrays. For only a small fraction of the spacers, homologous sequences, called protospacers, are detectable in viral, plasmid, and microbial genomes. The rest of the spacers remain the CRISPR "dark matter." We performed a comprehensive analysis of the spacers from all CRISPR- cas loci identified in bacterial and archaeal genomes, and we found that, depending on the CRISPR-Cas subtype and the prokaryotic phylum, protospacers were detectable for 1% to about 19% of the spacers (~7% global average). Among the detected protospacers, the majority, typically 80 to 90%, originated from viral genomes, including proviruses, and among the rest, the most common source was genes that are integrated into microbial chromosomes but are involved in plasmid conjugation or replication. Thus, almost all spacers with identifiable protospacers target mobile genetic elements (MGE). The GC content, as well as dinucleotide and tetranucleotide compositions, of microbial genomes, their spacer complements, and the cognate viral genomes showed a nearly perfect correlation and were almost identical. Given the near absence of self-targeting spacers, these findings are most compatible with the possibility that the spacers, including the dark matter, are derived almost completely from the species-specific microbial mobilomes. IMPORTANCE The principal function of CRISPR-Cas systems is thought to be protection of bacteria and archaea against viruses and other parasitic genetic elements. The CRISPR defense function is mediated by sequences from parasitic elements, known as spacers, that are inserted into CRISPR arrays and then transcribed and employed as guides to identify and inactivate the cognate parasitic genomes. However, only a small fraction of the CRISPR spacers

  3. Bioinformatics analyses of Shigella CRISPR structure and spacer classification.

    PubMed

    Wang, Pengfei; Zhang, Bing; Duan, Guangcai; Wang, Yingfang; Hong, Lijuan; Wang, Linlin; Guo, Xiangjiao; Xi, Yuanlin; Yang, Haiyan

    2016-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are inheritable genetic elements of a variety of archaea and bacteria and indicative of the bacterial ecological adaptation, conferring acquired immunity against invading foreign nucleic acids. Shigella is an important pathogen for anthroponosis. This study aimed to analyze the features of Shigella CRISPR structure and classify the spacers through bioinformatics approach. Among 107 Shigella, 434 CRISPR structure loci were identified with two to seven loci in different strains. CRISPR-Q1, CRISPR-Q4 and CRISPR-Q5 were widely distributed in Shigella strains. Comparison of the first and last repeats of CRISPR1, CRISPR2 and CRISPR3 revealed several base variants and different stem-loop structures. A total of 259 cas genes were found among these 107 Shigella strains. The cas gene deletions were discovered in 88 strains. However, there is one strain that does not contain cas gene. Intact clusters of cas genes were found in 19 strains. From comprehensive analysis of sequence signature and BLAST and CRISPRTarget score, the 708 spacers were classified into three subtypes: Type I, Type II and Type III. Of them, Type I spacer referred to those linked with one gene segment, Type II spacer linked with two or more different gene segments, and Type III spacer undefined. This study examined the diversity of CRISPR/cas system in Shigella strains, demonstrated the main features of CRISPR structure and spacer classification, which provided critical information for elucidation of the mechanisms of spacer formation and exploration of the role the spacers play in the function of the CRISPR/cas system.

  4. Heterogeneous diversity of spacers within CRISPR

    NASA Astrophysics Data System (ADS)

    Deem, Michael; He, Jiankui

    2011-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) in bacterial and archaeal DNA have recently been shown to be a new type of anti-viral immune system in these organisms. We here study the diversity of spacers in CRISPR under selective pressure. We propose a population dynamics model that explains the biological observation that the leader-proximal end of CRISPR is more diversified and the leader-distal end of CRISPR is more conserved. This result is shown to be in agreement with recent experiments. Our results show that the CRISPR spacer structure is influenced by and provides a record of the viral challenges that bacteria face. 1) J. He and M. W. Deem, Phys. Rev. Lett. 105 (2010) 128102

  5. Priming in the Type I-F CRISPR-Cas system triggers strand-independent spacer acquisition, bi-directionally from the primed protospacer.

    PubMed

    Richter, Corinna; Dy, Ron L; McKenzie, Rebecca E; Watson, Bridget N J; Taylor, Corinda; Chang, James T; McNeil, Matthew B; Staals, Raymond H J; Fineran, Peter C

    2014-07-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), in combination with CRISPR associated (cas) genes, constitute CRISPR-Cas bacterial adaptive immune systems. To generate immunity, these systems acquire short sequences of nucleic acids from foreign invaders and incorporate these into their CRISPR arrays as spacers. This adaptation process is the least characterized step in CRISPR-Cas immunity. Here, we used Pectobacterium atrosepticum to investigate adaptation in Type I-F CRISPR-Cas systems. Pre-existing spacers that matched plasmids stimulated hyperactive primed acquisition and resulted in the incorporation of up to nine new spacers across all three native CRISPR arrays. Endogenous expression of the cas genes was sufficient, yet required, for priming. The new spacers inhibited conjugation and transformation, and interference was enhanced with increasing numbers of new spacers. We analyzed ∼ 350 new spacers acquired in priming events and identified a 5'-protospacer-GG-3' protospacer adjacent motif. In contrast to priming in Type I-E systems, new spacers matched either plasmid strand and a biased distribution, including clustering near the primed protospacer, suggested a bi-directional translocation model for the Cas1:Cas2-3 adaptation machinery. Taken together these results indicate priming adaptation occurs in different CRISPR-Cas systems, that it can be highly active in wild-type strains and that the underlying mechanisms vary. © The Author(s) 2014. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. On the Origin of Reverse Transcriptase-Using CRISPR-Cas Systems and Their Hyperdiverse, Enigmatic Spacer Repertoires.

    PubMed

    Silas, Sukrit; Makarova, Kira S; Shmakov, Sergey; Páez-Espino, David; Mohr, Georg; Liu, Yi; Davison, Michelle; Roux, Simon; Krishnamurthy, Siddharth R; Fu, Becky Xu Hua; Hansen, Loren L; Wang, David; Sullivan, Matthew B; Millard, Andrew; Clokie, Martha R; Bhaya, Devaki; Lambowitz, Alan M; Kyrpides, Nikos C; Koonin, Eugene V; Fire, Andrew Z

    2017-07-11

    Cas1 integrase is the key enzyme of the clustered regularly interspaced short palindromic repeat (CRISPR)-Cas adaptation module that mediates acquisition of spacers derived from foreign DNA by CRISPR arrays. In diverse bacteria, the cas1 gene is fused (or adjacent) to a gene encoding a reverse transcriptase (RT) related to group II intron RTs. An RT-Cas1 fusion protein has been recently shown to enable acquisition of CRISPR spacers from RNA. Phylogenetic analysis of the CRISPR-associated RTs demonstrates monophyly of the RT-Cas1 fusion, and coevolution of the RT and Cas1 domains. Nearly all such RTs are present within type III CRISPR-Cas loci, but their phylogeny does not parallel the CRISPR-Cas type classification, indicating that RT-Cas1 is an autonomous functional module that is disseminated by horizontal gene transfer and can function with diverse type III systems. To compare the sequence pools sampled by RT-Cas1-associated and RT-lacking CRISPR-Cas systems, we obtained samples of a commercially grown cyanobacterium- Arthrospira platensis Sequencing of the CRISPR arrays uncovered a highly diverse population of spacers. Spacer diversity was particularly striking for the RT-Cas1-containing type III-B system, where no saturation was evident even with millions of sequences analyzed. In contrast, analysis of the RT-lacking type III-D system yielded a highly diverse pool but reached a point where fewer novel spacers were recovered as sequencing depth was increased. Matches could be identified for a small fraction of the non-RT-Cas1-associated spacers, and for only a single RT-Cas1-associated spacer. Thus, the principal source(s) of the spacers, particularly the hypervariable spacer repertoire of the RT-associated arrays, remains unknown. IMPORTANCE While the majority of CRISPR-Cas immune systems adapt to foreign genetic elements by capturing segments of invasive DNA, some systems carry reverse transcriptases (RTs) that enable adaptation to RNA molecules. From

  7. Spontaneous CRISPR loci generation in vivo by non-canonical spacer integration

    PubMed Central

    Nivala, Jeff; Shipman, Seth L.; Church, George M.

    2018-01-01

    The adaptation phase of CRISPR-Cas immunity depends on the precise integration of short segments of foreign DNA (spacers) into a specific genomic location within the CRISPR locus by the Cas1-Cas2 integration complex. Although off-target spacer integration outside of canonical CRISPR arrays has been described in vitro, no evidence of non-specific integration activity has been found in vivo. Here, we show that non-canonical off-target integrations can occur within bacterial chromosomes at locations that resemble the native CRISPR locus by characterizing hundreds of off-target integration locations within Escherichia coli. Considering whether such promiscuous Cas1-Cas2 activity could have an evolutionary role through the genesis of neo-CRISPR loci, we combed existing CRISPR databases and available genomes for evidence of off-target integration activity. This search uncovered several putative instances of naturally occurring off-target spacer integration events within the genomes of Yersinia pestis and Sulfolobus islandicus. These results are important in understanding alternative routes to CRISPR array genesis and evolution, as well as in the use of spacer acquisition in technological applications. PMID:29379209

  8. The CRISPR Spacer Space Is Dominated by Sequences from Species-Specific Mobilomes

    PubMed Central

    Shmakov, Sergey A.; Sitnik, Vassilii; Makarova, Kira S.; Wolf, Yuri I.; Severinov, Konstantin V.

    2017-01-01

    ABSTRACT Clustered regularly interspaced short palindromic repeats and CRISPR-associated protein (CRISPR-Cas) systems store the memory of past encounters with foreign DNA in unique spacers that are inserted between direct repeats in CRISPR arrays. For only a small fraction of the spacers, homologous sequences, called protospacers, are detectable in viral, plasmid, and microbial genomes. The rest of the spacers remain the CRISPR “dark matter.” We performed a comprehensive analysis of the spacers from all CRISPR-cas loci identified in bacterial and archaeal genomes, and we found that, depending on the CRISPR-Cas subtype and the prokaryotic phylum, protospacers were detectable for 1% to about 19% of the spacers (~7% global average). Among the detected protospacers, the majority, typically 80 to 90%, originated from viral genomes, including proviruses, and among the rest, the most common source was genes that are integrated into microbial chromosomes but are involved in plasmid conjugation or replication. Thus, almost all spacers with identifiable protospacers target mobile genetic elements (MGE). The GC content, as well as dinucleotide and tetranucleotide compositions, of microbial genomes, their spacer complements, and the cognate viral genomes showed a nearly perfect correlation and were almost identical. Given the near absence of self-targeting spacers, these findings are most compatible with the possibility that the spacers, including the dark matter, are derived almost completely from the species-specific microbial mobilomes. PMID:28928211

  9. Diversity in a Polymicrobial Community Revealed by Analysis of Viromes, Endolysins and CRISPR Spacers.

    PubMed

    Davison, Michelle; Treangen, Todd J; Koren, Sergey; Pop, Mihai; Bhaya, Devaki

    2016-01-01

    The polymicrobial biofilm communities in Mushroom and Octopus Spring in Yellowstone National Park (YNP) are well characterized, yet little is known about the phage populations. Dominant species, Synechococcus sp. JA-2-3B'a(2-13), Synechococcus sp. JA-3-3Ab, Chloroflexus sp. Y-400-fl, and Roseiflexus sp. RS-1, contain multiple CRISPR-Cas arrays, suggesting complex interactions with phage predators. To analyze phage populations from Octopus Spring biofilms, we sequenced a viral enriched fraction. To assemble and analyze phage metagenomic data, we developed a custom module, VIRITAS, implemented within the MetAMOS framework. This module bins contigs into groups based on tetranucleotide frequencies and CRISPR spacer-protospacer matching and ORF calling. Using this pipeline we were able to assemble phage sequences into contigs and bin them into three clusters that corroborated with their potential host range. The virome contained 52,348 predicted ORFs; some were clearly phage-like; 9319 ORFs had a recognizable Pfam domain while the rest were hypothetical. Of the recognized domains with CRISPR spacer matches, was the phage endolysin used by lytic phage to disrupt cells. Analysis of the endolysins present in the thermophilic cyanophage contigs revealed a subset of characterized endolysins as well as a Glyco_hydro_108 (PF05838) domain not previously associated with sequenced cyanophages. A search for CRISPR spacer matches to all identified phage endolysins demonstrated that a majority of endolysin domains were targets. This strategy provides a general way to link host and phage as endolysins are known to be widely distributed in bacteriophage. Endolysins can also provide information about host cell wall composition and have the additional potential to be used as targets for novel therapeutics.

  10. CRISPR interference and priming varies with individual spacer sequences

    PubMed Central

    Xue, Chaoyou; Seetharam, Arun S.; Musharova, Olga; Severinov, Konstantin; J. Brouns, Stan J.; Severin, Andrew J.; Sashital, Dipali G.

    2015-01-01

    CRISPR–Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated) systems allow bacteria to adapt to infection by acquiring ‘spacer’ sequences from invader DNA into genomic CRISPR loci. Cas proteins use RNAs derived from these loci to target cognate sequences for destruction through CRISPR interference. Mutations in the protospacer adjacent motif (PAM) and seed regions block interference but promote rapid ‘primed’ adaptation. Here, we use multiple spacer sequences to reexamine the PAM and seed sequence requirements for interference and priming in the Escherichia coli Type I-E CRISPR–Cas system. Surprisingly, CRISPR interference is far more tolerant of mutations in the seed and the PAM than previously reported, and this mutational tolerance, as well as priming activity, is highly dependent on spacer sequence. We identify a large number of functional PAMs that can promote interference, priming or both activities, depending on the associated spacer sequence. Functional PAMs are preferentially acquired during unprimed ‘naïve’ adaptation, leading to a rapid priming response following infection. Our results provide numerous insights into the importance of both spacer and target sequences for interference and priming, and reveal that priming is a major pathway for adaptation during initial infection. PMID:26586800

  11. Mutations in Cas9 Enhance the Rate of Acquisition of Viral Spacer Sequences during the CRISPR-Cas Immune Response.

    PubMed

    Heler, Robert; Wright, Addison V; Vucelja, Marija; Bikard, David; Doudna, Jennifer A; Marraffini, Luciano A

    2017-01-05

    CRISPR loci and their associated (Cas) proteins encode a prokaryotic immune system that protects against viruses and plasmids. Upon infection, a low fraction of cells acquire short DNA sequences from the invader. These sequences (spacers) are integrated in between the repeats of the CRISPR locus and immunize the host against the matching invader. Spacers specify the targets of the CRISPR immune response through transcription into short RNA guides that direct Cas nucleases to the invading DNA molecules. Here we performed random mutagenesis of the RNA-guided Cas9 nuclease to look for variants that provide enhanced immunity against viral infection. We identified a mutation, I473F, that increases the rate of spacer acquisition by more than two orders of magnitude. Our results highlight the role of Cas9 during CRISPR immunization and provide a useful tool to study this rare process and develop it as a biotechnological application. Copyright © 2017 Elsevier Inc. All rights reserved.

  12. CRISPR-spacer integration reporter plasmids reveal distinct genuine acquisition specificities among CRISPR-Cas I-E variants of Escherichia coli

    PubMed Central

    Díez-Villaseñor, César; Guzmán, Noemí M.; Almendros, Cristóbal; García-Martínez, Jesús; Mojica, Francisco J.M.

    2013-01-01

    Prokaryotes immunize themselves against transmissible genetic elements by the integration (acquisition) in clustered regularly interspaced short palindromic repeats (CRISPR) loci of spacers homologous to invader nucleic acids, defined as protospacers. Following acquisition, mono-spacer CRISPR RNAs (termed crRNAs) guide CRISPR-associated (Cas) proteins to degrade (interference) protospacers flanked by an adjacent motif in extrachomosomal DNA. During acquisition, selection of spacer-precursors adjoining the protospacer motif and proper orientation of the integrated fragment with respect to the leader (sequence leading transcription of the flanking CRISPR array) grant efficient interference by at least some CRISPR-Cas systems. This adaptive stage of the CRISPR action is poorly characterized, mainly due to the lack of appropriate genetic strategies to address its study and, at least in Escherichia coli, the need of Cas overproduction for insertion detection. In this work, we describe the development and application in Escherichia coli strains of an interference-independent assay based on engineered selectable CRISPR-spacer integration reporter plasmids. By using this tool without the constraint of interference or cas overexpression, we confirmed fundamental aspects of this process such as the critical requirement of Cas1 and Cas2 and the identity of the CTT protospacer motif for the E. coli K12 system. In addition, we defined the CWT motif for a non-K12 CRISPR-Cas variant, and obtained data supporting the implication of the leader in spacer orientation, the preferred acquisition from plasmids harboring cas genes and the occurrence of a sequential cleavage at the insertion site by a ruler mechanism. PMID:23445770

  13. CRISPR-spacer integration reporter plasmids reveal distinct genuine acquisition specificities among CRISPR-Cas I-E variants of Escherichia coli.

    PubMed

    Díez-Villaseñor, César; Guzmán, Noemí M; Almendros, Cristóbal; García-Martínez, Jesús; Mojica, Francisco J M

    2013-05-01

    Prokaryotes immunize themselves against transmissible genetic elements by the integration (acquisition) in clustered regularly interspaced short palindromic repeats (CRISPR) loci of spacers homologous to invader nucleic acids, defined as protospacers. Following acquisition, mono-spacer CRISPR RNAs (termed crRNAs) guide CRISPR-associated (Cas) proteins to degrade (interference) protospacers flanked by an adjacent motif in extrachomosomal DNA. During acquisition, selection of spacer-precursors adjoining the protospacer motif and proper orientation of the integrated fragment with respect to the leader (sequence leading transcription of the flanking CRISPR array) grant efficient interference by at least some CRISPR-Cas systems. This adaptive stage of the CRISPR action is poorly characterized, mainly due to the lack of appropriate genetic strategies to address its study and, at least in Escherichia coli, the need of Cas overproduction for insertion detection. In this work, we describe the development and application in Escherichia coli strains of an interference-independent assay based on engineered selectable CRISPR-spacer integration reporter plasmids. By using this tool without the constraint of interference or cas overexpression, we confirmed fundamental aspects of this process such as the critical requirement of Cas1 and Cas2 and the identity of the CTT protospacer motif for the E. coli K12 system. In addition, we defined the CWT motif for a non-K12 CRISPR-Cas variant, and obtained data supporting the implication of the leader in spacer orientation, the preferred acquisition from plasmids harboring cas genes and the occurrence of a sequential cleavage at the insertion site by a ruler mechanism.

  14. Heterogeneous Diversity of Spacers within CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)

    NASA Astrophysics Data System (ADS)

    He, Jiankui; Deem, Michael W.

    2010-09-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) in bacterial and archaeal DNA have recently been shown to be a new type of antiviral immune system in these organisms. We here study the diversity of spacers in CRISPR under selective pressure. We propose a population dynamics model that explains the biological observation that the leader-proximal end of CRISPR is more diversified and the leader-distal end of CRISPR is more conserved. This result is shown to be in agreement with recent experiments. Our results show that the CRISPR spacer structure is influenced by and provides a record of the viral challenges that bacteria face.

  15. Heterogeneous diversity of spacers within CRISPR (clustered regularly interspaced short palindromic repeats).

    PubMed

    He, Jiankui; Deem, Michael W

    2010-09-17

    Clustered regularly interspaced short palindromic repeats (CRISPR) in bacterial and archaeal DNA have recently been shown to be a new type of antiviral immune system in these organisms. We here study the diversity of spacers in CRISPR under selective pressure. We propose a population dynamics model that explains the biological observation that the leader-proximal end of CRISPR is more diversified and the leader-distal end of CRISPR is more conserved. This result is shown to be in agreement with recent experiments. Our results show that the CRISPR spacer structure is influenced by and provides a record of the viral challenges that bacteria face.

  16. MetaCRAST: reference-guided extraction of CRISPR spacers from unassembled metagenomes.

    PubMed

    Moller, Abraham G; Liang, Chun

    2017-01-01

    Clustered regularly interspaced short palindromic repeat (CRISPR) systems are the adaptive immune systems of bacteria and archaea against viral infection. While CRISPRs have been exploited as a tool for genetic engineering, their spacer sequences can also provide valuable insights into microbial ecology by linking environmental viruses to their microbial hosts. Despite this importance, metagenomic CRISPR detection remains a major challenge. Here we present a reference-guided CRISPR spacer detection tool ( Meta genomic C RISPR R eference- A ided S earch T ool-MetaCRAST) that constrains searches based on user-specified direct repeats (DRs). These DRs could be expected from assembly or taxonomic profiles of metagenomes. We compared the performance of MetaCRAST to those of two existing metagenomic CRISPR detection tools-Crass and MinCED-using both real and simulated acid mine drainage (AMD) and enhanced biological phosphorus removal (EBPR) metagenomes. Our evaluation shows MetaCRAST improves CRISPR spacer detection in real metagenomes compared to the de novo CRISPR detection methods Crass and MinCED. Evaluation on simulated metagenomes show it performs better than de novo tools for Illumina metagenomes and comparably for 454 metagenomes. It also has comparable performance dependence on read length and community composition, run time, and accuracy to these tools. MetaCRAST is implemented in Perl, parallelizable through the Many Core Engine (MCE), and takes metagenomic sequence reads and direct repeat queries (FASTA or FASTQ) as input. It is freely available for download at https://github.com/molleraj/MetaCRAST.

  17. Spacer-length DNA intermediates are associated with Cas1 in cells undergoing primed CRISPR adaptation.

    PubMed

    Musharova, Olga; Klimuk, Evgeny; Datsenko, Kirill A; Metlitskaya, Anastasia; Logacheva, Maria; Semenova, Ekaterina; Severinov, Konstantin; Savitskaya, Ekaterina

    2017-04-07

    During primed CRISPR adaptation spacers are preferentially selected from DNA recognized by CRISPR interference machinery, which in the case of Type I CRISPR-Cas systems consists of CRISPR RNA (crRNA) bound effector Cascade complex that locates complementary targets, and Cas3 executor nuclease/helicase. A complex of Cas1 and Cas2 proteins is capable of inserting new spacers in the CRISPR array. Here, we show that in Escherichia coli cells undergoing primed adaptation, spacer-sized fragments of foreign DNA are associated with Cas1. Based on sensitivity to digestion with nucleases, the associated DNA is not in a standard double-stranded state. Spacer-sized fragments are cut from one strand of foreign DNA in Cas1- and Cas3-dependent manner. These fragments are generated from much longer S1-nuclease sensitive fragments of foreign DNA that require Cas3 for their production. We propose that in the course of CRISPR interference Cas3 generates fragments of foreign DNA that are recognized by the Cas1-Cas2 adaptation complex, which excises spacer-sized fragments and channels them for insertion into CRISPR array. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  18. How type II CRISPR-Cas establish immunity through Cas1-Cas2-mediated spacer integration.

    PubMed

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-10-05

    CRISPR (clustered regularly interspaced short palindromic repeats) and the nearby Cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical. The conserved Cas1 and Cas2 proteins form an integrase complex consisting of two distal Cas1 dimers bridged by a Cas2 dimer. The prespacer is bound by Cas1-Cas2 as a dual-forked DNA, and the terminal 3'-OH of each 3' overhang serves as an attacking nucleophile during integration. The prespacer is preferentially integrated into the leader-proximal region of the CRISPR array, guided by the leader sequence and a pair of inverted repeats inside the CRISPR repeat. Spacer integration in the well-studied Escherichia coli type I-E CRISPR system also relies on the bacterial integration host factor. In type II-A CRISPR, however, Cas1-Cas2 alone integrates spacers efficiently in vitro; other Cas proteins (such as Cas9 and Csn2) have accessory roles in the biogenesis phase of prespacers. Here we present four structural snapshots from the type II-A system of Enterococcus faecalis Cas1 and Cas2 during spacer integration. Enterococcus faecalis Cas1-Cas2 selectively binds to a splayed 30-base-pair prespacer bearing 4-nucleotide 3' overhangs. Three molecular events take place upon encountering a target: first, the Cas1-Cas2-prespacer complex searches for half-sites stochastically, then it preferentially interacts with the leader-side CRISPR repeat, and finally, it catalyses a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3' overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework to explain the stepwise spacer

  19. How Type II CRISPR-Cas establish immunity through Cas1-Cas2 mediated spacer integration

    PubMed Central

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats) and the nearby cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes1–5. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer6–9. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical7–9. The conserved Cas1 and Cas2 proteins form an integrase complex consisting two distal Cas1 dimers bridged by a Cas2 dimer in the middle6,10. The prespacer is bound by Cas1-Cas2 as a dual forked DNA, and the terminal 3′-OH of each 3′-overhang serves as an attacking nucleophile during integration11–14. Importantly, the prespacer is preferentially integrated into the leader-proximal region of the CRISPR array1,7,10,15, guided by the leader sequence and a pair of inverted repeats (IRs) inside the CRISPR repeat7,15–20. Spacer integration in the most well-studied Escherichia coli Type I-E CRISPR system further relies on the bacterial Integration Host Factor (IHF)21,22. In Type II-A CRISPR, however, Cas1-Cas2 alone integrates spacer efficiently in vitro18; other Cas proteins (Cas9 and Csn2) play accessory roles in prespacer biogenesis17,23. Focusing on the Enterococcus faecalis Type II-A system24, here we report four structure snapshots of Cas1-Cas2 during spacer integration. EfaCas1-Cas2 selectively binds to a splayed 30-bp prespacer bearing 4-nt 3′-overhangs. Three molecular events take place upon encountering a target: Cas1-Cas2/prespacer first searches for half-sites stochastically, then preferentially interacts with the leader-side CRISPR repeat and catalyzes a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3′-overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework explaining

  20. The CRISPR RNA-guided surveillance complex in Escherichia coli accommodates extended RNA spacers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Michelle L.; Jackson, Ryan N.; Denny, Steven R.

    Bacteria and archaea acquire resistance to foreign genetic elements by integrating fragments of foreign DNA into CRISPR (clustered regularly interspaced short palindromic repeats) loci. In Escherichia coli, CRISPR-derived RNAs (crRNAs) assemble with Cas proteins into a multi-subunit surveillance complex called Cascade (CRISPR-associated complex for antiviral defense). Cascade recognizes DNA targets via protein-mediated recognition of a protospacer adjacent motif and complementary base pairing between the crRNA spacer and the DNA target. Previously determined structures of Cascade showed that the crRNA is stretched along an oligomeric protein assembly, leading us to ask how crRNA length impacts the assembly and function of thismore » complex. We found that extending the spacer portion of the crRNA resulted in larger Cascade complexes with altered stoichiometry and preserved in vitro binding affinity for target DNA. Longer spacers also preserved the in vivo ability of Cascade to repress target gene expression and to recruit the Cas3 endonuclease for target degradation. Lastly, longer spacers exhibited enhanced silencing at particular target locations and were sensitive to mismatches within the extended region. These findings demonstrate the flexibility of the Type I-E CRISPR machinery and suggest that spacer length can be modified to fine-tune Cascade activity.« less

  1. The CRISPR RNA-guided surveillance complex in Escherichia coli accommodates extended RNA spacers

    DOE PAGES

    Luo, Michelle L.; Jackson, Ryan N.; Denny, Steven R.; ...

    2016-05-12

    Bacteria and archaea acquire resistance to foreign genetic elements by integrating fragments of foreign DNA into CRISPR (clustered regularly interspaced short palindromic repeats) loci. In Escherichia coli, CRISPR-derived RNAs (crRNAs) assemble with Cas proteins into a multi-subunit surveillance complex called Cascade (CRISPR-associated complex for antiviral defense). Cascade recognizes DNA targets via protein-mediated recognition of a protospacer adjacent motif and complementary base pairing between the crRNA spacer and the DNA target. Previously determined structures of Cascade showed that the crRNA is stretched along an oligomeric protein assembly, leading us to ask how crRNA length impacts the assembly and function of thismore » complex. We found that extending the spacer portion of the crRNA resulted in larger Cascade complexes with altered stoichiometry and preserved in vitro binding affinity for target DNA. Longer spacers also preserved the in vivo ability of Cascade to repress target gene expression and to recruit the Cas3 endonuclease for target degradation. Lastly, longer spacers exhibited enhanced silencing at particular target locations and were sensitive to mismatches within the extended region. These findings demonstrate the flexibility of the Type I-E CRISPR machinery and suggest that spacer length can be modified to fine-tune Cascade activity.« less

  2. Comparative analysis of CRISPR-Cas systems in Klebsiella genomes.

    PubMed

    Shen, Juntao; Lv, Li; Wang, Xudong; Xiu, Zhilong; Chen, Guoqiang

    2017-04-01

    Prokaryotic CRISPR-Cas system provides adaptive immunity against invasive genetic elements. Bacteria of the genus Klebsiella are important nosocomial opportunistic pathogens. However, information of CRISPR-Cas system in Klebsiella remains largely unknown. Here, we analyzed the CRISPR-Cas systems of 68 complete genomes of Klebsiella representing four species. All the elements for CRISPR-Cas system (cas genes, repeats, leader sequences, and PAMs) were characterized. Besides the typical Type I-E and I-F CRISPR-Cas systems, a new Subtype I system located in the ABC transport system-glyoxalase region was found. The conservation of the new subtype CRISPR system between different species showed new evidence for CRISPR horizontal transfer. CRISPR polymorphism was strongly correlated both with species and multilocus sequence types. Some results indicated the function of adaptive immunity: most spacers (112 of 124) matched to prophages and plasmids and no matching housekeeping genes; new spacer acquisition was observed within the same sequence type (ST) and same clonal complex; the identical spacers were observed only in the ancient position (far from the leader) between different STs and clonal complexes. Interestingly, a high ratio of self-targeting spacers (7.5%, 31 of 416) was found in CRISPR-bearing Klebsiella pneumoniae (61%, 11 of 18). In some strains, there even were multiple full matching self-targeting spacers. Some self-targeting spacers were conserved even between different STs. These results indicated that some unknown mechanisms existed to compromise the function of self-targets of CRISPR-Cas systems in K. pneumoniae. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Conservation of streptococcal CRISPRs on human skin and saliva.

    PubMed

    Robles-Sikisaka, Refugio; Naidu, Mayuri; Ly, Melissa; Salzman, Julia; Abeles, Shira R; Boehm, Tobias K; Pride, David T

    2014-06-06

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPRs) are utilized by bacteria to resist encounters with their viruses. Human body surfaces have numerous bacteria that harbor CRISPRs, and their content can provide clues as to the types and features of viruses they may have encountered. We investigated the conservation of CRISPR content from streptococci on skin and saliva of human subjects over 8-weeks to determine whether similarities existed in the CRISPR spacer profiles and whether CRISPR spacers were a stable component of each biogeographic site. Most of the CRISPR sequences identified were unique, but a small proportion of spacers from the skin and saliva of each subject matched spacers derived from previously sequenced loci of S. thermophilus and other streptococci. There were significant proportions of CRISPR spacers conserved over the entire 8-week study period for all subjects, and salivary CRISPR spacers sampled in the mornings showed significantly higher levels of conservation than any other time of day. We also found substantial similarities in the spacer repertoires of the skin and saliva of each subject. Many skin-derived spacers matched salivary viruses, supporting that bacteria of the skin may encounter viruses with similar sequences to those found in the mouth. Despite the similarities between skin and salivary spacer repertoires, the variation present was distinct based on each subject and body site. The conservation of CRISPR spacers in the saliva and the skin of human subjects over the time period studied suggests a relative conservation of the bacteria harboring them.

  4. Conservation of streptococcal CRISPRs on human skin and saliva

    PubMed Central

    2014-01-01

    Background Clustered Regularly Interspaced Short Palindromic Repeats (CRISPRs) are utilized by bacteria to resist encounters with their viruses. Human body surfaces have numerous bacteria that harbor CRISPRs, and their content can provide clues as to the types and features of viruses they may have encountered. Results We investigated the conservation of CRISPR content from streptococci on skin and saliva of human subjects over 8-weeks to determine whether similarities existed in the CRISPR spacer profiles and whether CRISPR spacers were a stable component of each biogeographic site. Most of the CRISPR sequences identified were unique, but a small proportion of spacers from the skin and saliva of each subject matched spacers derived from previously sequenced loci of S. thermophilus and other streptococci. There were significant proportions of CRISPR spacers conserved over the entire 8-week study period for all subjects, and salivary CRISPR spacers sampled in the mornings showed significantly higher levels of conservation than any other time of day. We also found substantial similarities in the spacer repertoires of the skin and saliva of each subject. Many skin-derived spacers matched salivary viruses, supporting that bacteria of the skin may encounter viruses with similar sequences to those found in the mouth. Despite the similarities between skin and salivary spacer repertoires, the variation present was distinct based on each subject and body site. Conclusions The conservation of CRISPR spacers in the saliva and the skin of human subjects over the time period studied suggests a relative conservation of the bacteria harboring them. PMID:24903519

  5. Altered stoichiometry Escherichia coli Cascade complexes with shortened CRISPR RNA spacers are capable of interference and primed adaptation

    DOE PAGES

    Kuznedelov, Konstantin; Mekler, Vladimir; Lemak, Sofia; ...

    2016-10-13

    The Escherichia coli type I-E CRISPR-Cas system Cascade effector is a multisubunit complex that binds CRISPR RNA (crRNA). Through its 32-nucleotide spacer sequence, Cascade-bound crRNA recognizes protospacers in foreign DNA, causing its destruction during CRISPR interference or acquisition of additional spacers in CRISPR array during primed CRISPR adaptation. Within Cascade, the crRNA spacer interacts with a hexamer of Cas7 subunits. We show that crRNAs with a spacer length reduced to 14 nucleotides cause primed adaptation, while crRNAs with spacer lengths of more than 20 nucleotides cause both primed adaptation and target interference in vivo. Shortened crRNAs assemble into altered-stoichiometry Cascademore » effector complexes containing less than the normal amount of Cas7 subunits. The results show that Cascade assembly is driven by crRNA and suggest that multi-subunit type I CRISPR effectors may have evolved from much simpler ancestral complexes.« less

  6. Altered stoichiometry Escherichia coli Cascade complexes with shortened CRISPR RNA spacers are capable of interference and primed adaptation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuznedelov, Konstantin; Mekler, Vladimir; Lemak, Sofia

    The Escherichia coli type I-E CRISPR-Cas system Cascade effector is a multisubunit complex that binds CRISPR RNA (crRNA). Through its 32-nucleotide spacer sequence, Cascade-bound crRNA recognizes protospacers in foreign DNA, causing its destruction during CRISPR interference or acquisition of additional spacers in CRISPR array during primed CRISPR adaptation. Within Cascade, the crRNA spacer interacts with a hexamer of Cas7 subunits. We show that crRNAs with a spacer length reduced to 14 nucleotides cause primed adaptation, while crRNAs with spacer lengths of more than 20 nucleotides cause both primed adaptation and target interference in vivo. Shortened crRNAs assemble into altered-stoichiometry Cascademore » effector complexes containing less than the normal amount of Cas7 subunits. The results show that Cascade assembly is driven by crRNA and suggest that multi-subunit type I CRISPR effectors may have evolved from much simpler ancestral complexes.« less

  7. Cas4 Facilitates PAM-Compatible Spacer Selection during CRISPR Adaptation.

    PubMed

    Kieper, Sebastian N; Almendros, Cristóbal; Behler, Juliane; McKenzie, Rebecca E; Nobrega, Franklin L; Haagsma, Anna C; Vink, Jochem N A; Hess, Wolfgang R; Brouns, Stan J J

    2018-03-27

    CRISPR-Cas systems adapt their immunological memory against their invaders by integrating short DNA fragments into clustered regularly interspaced short palindromic repeat (CRISPR) loci. While Cas1 and Cas2 make up the core machinery of the CRISPR integration process, various class I and II CRISPR-Cas systems encode Cas4 proteins for which the role is unknown. Here, we introduced the CRISPR adaptation genes cas1, cas2, and cas4 from the type I-D CRISPR-Cas system of Synechocystis sp. 6803 into Escherichia coli and observed that cas4 is strictly required for the selection of targets with protospacer adjacent motifs (PAMs) conferring I-D CRISPR interference in the native host Synechocystis. We propose a model in which Cas4 assists the CRISPR adaptation complex Cas1-2 by providing DNA substrates tailored for the correct PAM. Introducing functional spacers that target DNA sequences with the correct PAM is key to successful CRISPR interference, providing a better chance of surviving infection by mobile genetic elements. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  8. Detection and analysis of CRISPRs of Shigella.

    PubMed

    Guo, Xiangjiao; Wang, Yingfang; Duan, Guangcai; Xue, Zerun; Wang, Linlin; Wang, Pengfei; Qiu, Shaofu; Xi, Yuanlin; Yang, Haiyan

    2015-01-01

    The recently discovered CRISPRs (Clustered regularly interspaced short palindromic repeats) and Cas (CRISPR-associated) proteins are a novel genetic barrier that limits horizontal gene transfer in prokaryotes and the CRISPR loci provide a historical view of the exposure of prokaryotes to a variety of foreign genetic elements. The aim of study was to investigate the occurrence and distribution of the CRISPRs in Shigella. A collection of 61 strains of Shigella were screened for the existence of CRISPRs. Three CRISPR loci were identified among 61 shigella strains. CRISPR1/cas loci are detected in 49 strains of shigella. Yet, IS elements were detected in cas gene in some strains. In the remaining 12 Shigella flexneri strains, the CRISPR1/cas locus is deleted and only a cas3' pseudo gene and a repeat sequence are present. The presence of CRISPR2 is frequently accompanied by the emergence of CRISPR1. CRISPR3 loci were present in almost all strains (52/61). The length of CRISPR arrays varied from 1 to 9 spacers. Sequence analysis of the CRISPR arrays revealed that few spacers had matches in the GenBank databases. However, one spacer in CRISPR3 loci matches the cognate cas3 genes and no cas gene was present around CRISPR3 region. Analysis of CRISPR sequences show that CRISPR have little change which makes CRISPR poor genotyping markers. The present study is the first attempt to determine and analyze CRISPRs of shigella isolated from clinical patients.

  9. The CRISPRdb database and tools to display CRISPRs and to generate dictionaries of spacers and repeats

    PubMed Central

    Grissa, Ibtissem; Vergnaud, Gilles; Pourcel, Christine

    2007-01-01

    Background In Archeae and Bacteria, the repeated elements called CRISPRs for "clustered regularly interspaced short palindromic repeats" are believed to participate in the defence against viruses. Short sequences called spacers are stored in-between repeated elements. In the current model, motifs comprising spacers and repeats may target an invading DNA and lead to its degradation through a proposed mechanism similar to RNA interference. Analysis of intra-species polymorphism shows that new motifs (one spacer and one repeated element) are added in a polarised fashion. Although their principal characteristics have been described, a lot remains to be discovered on the way CRISPRs are created and evolve. As new genome sequences become available it appears necessary to develop automated scanning tools to make available CRISPRs related information and to facilitate additional investigations. Description We have produced a program, CRISPRFinder, which identifies CRISPRs and extracts the repeated and unique sequences. Using this software, a database is constructed which is automatically updated monthly from newly released genome sequences. Additional tools were created to allow the alignment of flanking sequences in search for similarities between different loci and to build dictionaries of unique sequences. To date, almost six hundred CRISPRs have been identified in 475 published genomes. Two Archeae out of thirty-seven and about half of Bacteria do not possess a CRISPR. Fine analysis of repeated sequences strongly supports the current view that new motifs are added at one end of the CRISPR adjacent to the putative promoter. Conclusion It is hoped that availability of a public database, regularly updated and which can be queried on the web will help in further dissecting and understanding CRISPR structure and flanking sequences evolution. Subsequent analyses of the intra-species CRISPR polymorphism will be facilitated by CRISPRFinder and the dictionary creator. CRISPRdb

  10. CRISPR Spacer Arrays for Detection of Viral Signatures from Acidic Hot Springs

    NASA Astrophysics Data System (ADS)

    Snyder, J. C.; Bateson, M. M.; Suciu, D.; Young, M. J.

    2010-04-01

    Viruses are the most abundant life-like entities on the planet Earth. Using CRISPR spacer sequences, we have developed a microarray-based approach to detecting viral signatures in the acidic hot springs of Yellowstone.

  11. Haloarcula hispanica CRISPR authenticates PAM of a target sequence to prime discriminative adaptation

    PubMed Central

    Li, Ming; Wang, Rui; Xiang, Hua

    2014-01-01

    The prokaryotic immune system CRISPR/Cas (Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR-associated genes) adapts to foreign invaders by acquiring their short deoxyribonucleic acid (DNA) fragments as spacers, which guide subsequent interference to foreign nucleic acids based on sequence matching. The adaptation mechanism avoiding acquiring ‘self’ DNA fragments is poorly understood. In Haloarcula hispanica, we previously showed that CRISPR adaptation requires being primed by a pre-existing spacer partially matching the invader DNA. Here, we further demonstrate that flanking a fully-matched target sequence, a functional PAM (protospacer adjacent motif) is still required to prime adaptation. Interestingly, interference utilizes only four PAM sequences, whereas adaptation-priming tolerates as many as 23 PAM sequences. This relaxed PAM selectivity explains how adaptation-priming maximizes its tolerance of PAM mutations (that escape interference) while avoiding mis-targeting the spacer DNA within CRISPR locus. We propose that the primed adaptation, which hitches and cooperates with the interference pathway, distinguishes target from non-target by CRISPR ribonucleic acid guidance and PAM recognition. PMID:24803673

  12. SMV1 virus-induced CRISPR spacer acquisition from the conjugative plasmid pMGB1 in Sulfolobus solfataricus P2.

    PubMed

    Erdmann, Susanne; Shah, Shiraz A; Garrett, Roger A

    2013-12-01

    Organisms of the crenarchaeal order Sulfolobales carry complex CRISPR (clustered regularly interspaced short palindromic repeats) adaptive immune systems. These systems are modular and show extensive structural and functional diversity, especially in their interference complexes. The primary targets are an exceptional range of diverse viruses, many of which propagate stably within cells and follow lytic life cycles without producing cell lysis. These properties are consistent with the difficulty of activating CRISPR spacer uptake in the laboratory, but appear to conflict with the high complexity and diversity of the CRISPR immune systems that are found among the Sulfolobales. In the present article, we re-examine the first successful induction of archaeal spacer acquisition in our laboratory that occurred exclusively for the conjugative plasmid pMGB1 in Sulfolobus solfataricus P2 that was co-infected with the virus SMV1 (Sulfolobus monocaudavirus 1). Although we reaffirm that protospacer selection is essentially a random process with respect to the pMGB1 genome, we identified single spacer sequences specific for each of CRISPR loci C, D and E that, exceptionally, occurred in many sequenced clones. Moreover, the same sequence was reproducibly acquired for a given locus in independent experiments, consistent with it being the first protospacer to be selected. There was also a small protospacer bias (1.6:1) to the antisense strand of protein genes. In addition, new experiments demonstrated that spacer acquisition in the previously inactive CRISPR locus A could be induced on freeze-thawing of the infected cells, suggesting that environmental stress can facilitate activation. Coincidentally with spacer acquisition, a mobile OrfB element was deleted from pMGB1, suggesting that interplay can occur between spacer acquisition and transposition.

  13. The CRISPR conundrum: evolve and maybe die, or survive and risk stagnation

    PubMed Central

    García-Martínez, Jesús; Maldonado, Rafael D.; Guzmán, Noemí M.; Mojica, Francisco J. M.

    2018-01-01

    CRISPR-Cas represents a prokaryotic defense mechanism against invading genetic elements. Although there is a diversity of CRISPR-Cas systems, they all share similar, essential traits. In general, a CRISPR-Cas system consists of one or more groups of DNA repeats named CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats), regularly separated by unique sequences referred to as spacers, and a set of functionally associated cas (CRISPR associated) genes typically located next to one of the repeat arrays. The origin of spacers is in many cases unknown but, when ascertained, they usually match foreign genetic molecules. The proteins encoded by some of the cas genes are in charge of the incorporation of new spacers upon entry of a genetic element. Other Cas proteins participate in generating CRISPR-spacer RNAs and perform the task of destroying nucleic acid molecules carrying sequences similar to the spacer. In this way, CRISPR-Cas provides protection against genetic intruders that could substantially affect the cell viability, thus acting as an adaptive immune system. However, this defensive action also hampers the acquisition of potentially beneficial, horizontally transferred genes, undermining evolution. Here we cover how the model bacterium Escherichia coli deals with CRISPR-Cas to tackle this major dilemma, evolution versus survival. PMID:29850463

  14. The Evolutionary Divergence of Shiga Toxin-Producing Escherichia coli Is Reflected in Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) Spacer Composition

    PubMed Central

    Yin, Shuang; Jensen, Mark A.; Bai, Jiawei; DebRoy, Chitrita; Barrangou, Rodolphe

    2013-01-01

    The Shiga toxin-producing Escherichia coli (STEC) strains, including those of O157:H7 and the “big six” serogroups (i.e., serogroups O26, O45, O103, O111, O121, and O145), are a group of pathogens designated food adulterants in the United States. The relatively conserved nature of clustered regularly interspaced short palindromic repeats (CRISPRs) in phylogenetically related E. coli strains makes them potential subtyping markers for STEC detection, and a quantitative PCR (qPCR)-based assay was previously developed for O26:H11, O45:H2, O103:H2, O111:H8, O121:H19, O145:H28, and O157:H7 isolates. To better evaluate the sensitivity and specificity of this qPCR method, the CRISPR loci of 252 O157 and big-six STEC isolates were sequenced and analyzed along with 563 CRISPR1 and 624 CRISPR2 sequences available in GenBank. General conservation of spacer content and order was observed within each O157 and big-six serogroup, validating the qPCR method. Meanwhile, it was found that spacer deletion, the presence of an insertion sequence, and distinct alleles within a serogroup are sources of false-negative reactions. Conservation of CRISPR arrays among isolates expressing the same flagellar antigen, specifically, H7, H2, and H11, suggested that these isolates share an ancestor and provided an explanation for the false positives previously observed in the qPCR results. An analysis of spacer distribution across E. coli strains provided limited evidence for temporal spacer acquisition. Conversely, comparison of CRISPR sequences between strains along the stepwise evolution of O157:H7 from its O55:H7 ancestor revealed that, over this ∼7,000-year span, spacer deletion was the primary force generating CRISPR diversity. PMID:23851088

  15. The evolutionary divergence of Shiga toxin-producing Escherichia coli is reflected in clustered regularly interspaced short palindromic repeat (CRISPR) spacer composition.

    PubMed

    Yin, Shuang; Jensen, Mark A; Bai, Jiawei; Debroy, Chitrita; Barrangou, Rodolphe; Dudley, Edward G

    2013-09-01

    The Shiga toxin-producing Escherichia coli (STEC) strains, including those of O157:H7 and the "big six" serogroups (i.e., serogroups O26, O45, O103, O111, O121, and O145), are a group of pathogens designated food adulterants in the United States. The relatively conserved nature of clustered regularly interspaced short palindromic repeats (CRISPRs) in phylogenetically related E. coli strains makes them potential subtyping markers for STEC detection, and a quantitative PCR (qPCR)-based assay was previously developed for O26:H11, O45:H2, O103:H2, O111:H8, O121:H19, O145:H28, and O157:H7 isolates. To better evaluate the sensitivity and specificity of this qPCR method, the CRISPR loci of 252 O157 and big-six STEC isolates were sequenced and analyzed along with 563 CRISPR1 and 624 CRISPR2 sequences available in GenBank. General conservation of spacer content and order was observed within each O157 and big-six serogroup, validating the qPCR method. Meanwhile, it was found that spacer deletion, the presence of an insertion sequence, and distinct alleles within a serogroup are sources of false-negative reactions. Conservation of CRISPR arrays among isolates expressing the same flagellar antigen, specifically, H7, H2, and H11, suggested that these isolates share an ancestor and provided an explanation for the false positives previously observed in the qPCR results. An analysis of spacer distribution across E. coli strains provided limited evidence for temporal spacer acquisition. Conversely, comparison of CRISPR sequences between strains along the stepwise evolution of O157:H7 from its O55:H7 ancestor revealed that, over this ∼7,000-year span, spacer deletion was the primary force generating CRISPR diversity.

  16. Lactobacillus buchneri genotyping on the basis of clustered regularly interspaced short palindromic repeat (CRISPR) locus diversity.

    PubMed

    Briner, Alexandra E; Barrangou, Rodolphe

    2014-02-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) in combination with associated sequences (cas) constitute the CRISPR-Cas immune system, which uptakes DNA from invasive genetic elements as novel "spacers" that provide a genetic record of immunization events. We investigated the potential of CRISPR-based genotyping of Lactobacillus buchneri, a species relevant for commercial silage, bioethanol, and vegetable fermentations. Upon investigating the occurrence and diversity of CRISPR-Cas systems in Lactobacillus buchneri genomes, we observed a ubiquitous occurrence of CRISPR arrays containing a 36-nucleotide (nt) type II-A CRISPR locus adjacent to four cas genes, including the universal cas1 and cas2 genes and the type II signature gene cas9. Comparative analysis of CRISPR spacer content in 26 L. buchneri pickle fermentation isolates associated with spoilage revealed 10 unique locus genotypes that contained between 9 and 29 variable spacers. We observed a set of conserved spacers at the ancestral end, reflecting a common origin, as well as leader-end polymorphisms, reflecting recent divergence. Some of these spacers showed perfect identity with phage sequences, and many spacers showed homology to Lactobacillus plasmid sequences. Following a comparative analysis of sequences immediately flanking protospacers that matched CRISPR spacers, we identified a novel putative protospacer-adjacent motif (PAM), 5'-AAAA-3'. Overall, these findings suggest that type II-A CRISPR-Cas systems are valuable for genotyping of L. buchneri.

  17. Erwinia amylovora CRISPR Elements Provide New Tools for Evaluating Strain Diversity and for Microbial Source Tracking

    PubMed Central

    McGhee, Gayle C.; Sundin, George W.

    2012-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) comprise a family of short DNA repeat sequences that are separated by non repetitive spacer sequences and, in combination with a suite of Cas proteins, are thought to function as an adaptive immune system against invading DNA. The number of CRISPR arrays in a bacterial chromosome is variable, and the content of each array can differ in both repeat number and in the presence or absence of specific spacers. We utilized a comparative sequence analysis of CRISPR arrays of the plant pathogen Erwinia amylovora to uncover previously unknown genetic diversity in this species. A total of 85 E. amylovora strains varying in geographic isolation (North America, Europe, New Zealand, and the Middle East), host range, plasmid content, and streptomycin sensitivity/resistance were evaluated for CRISPR array number and spacer variability. From these strains, 588 unique spacers were identified in the three CRISPR arrays present in E. amylovora, and these arrays could be categorized into 20, 17, and 2 patterns types, respectively. Analysis of the relatedness of spacer content differentiated most apple and pear strains isolated in the eastern U.S. from western U.S. strains. In addition, we identified North American strains that shared CRISPR genotypes with strains isolated on other continents. E. amylovora strains from Rubus and Indian hawthorn contained mostly unique spacers compared to apple and pear strains, while strains from loquat shared 79% of spacers with apple and pear strains. Approximately 23% of the spacers matched known sequences, with 16% targeting plasmids and 5% targeting bacteriophage. The plasmid pEU30, isolated in E. amylovora strains from the western U.S., was targeted by 55 spacers. Lastly, we used spacer patterns and content to determine that streptomycin-resistant strains of E. amylovora from Michigan were low in diversity and matched corresponding streptomycin-sensitive strains from the

  18. Erwinia amylovora CRISPR elements provide new tools for evaluating strain diversity and for microbial source tracking.

    PubMed

    McGhee, Gayle C; Sundin, George W

    2012-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) comprise a family of short DNA repeat sequences that are separated by non repetitive spacer sequences and, in combination with a suite of Cas proteins, are thought to function as an adaptive immune system against invading DNA. The number of CRISPR arrays in a bacterial chromosome is variable, and the content of each array can differ in both repeat number and in the presence or absence of specific spacers. We utilized a comparative sequence analysis of CRISPR arrays of the plant pathogen Erwinia amylovora to uncover previously unknown genetic diversity in this species. A total of 85 E. amylovora strains varying in geographic isolation (North America, Europe, New Zealand, and the Middle East), host range, plasmid content, and streptomycin sensitivity/resistance were evaluated for CRISPR array number and spacer variability. From these strains, 588 unique spacers were identified in the three CRISPR arrays present in E. amylovora, and these arrays could be categorized into 20, 17, and 2 patterns types, respectively. Analysis of the relatedness of spacer content differentiated most apple and pear strains isolated in the eastern U.S. from western U.S. strains. In addition, we identified North American strains that shared CRISPR genotypes with strains isolated on other continents. E. amylovora strains from Rubus and Indian hawthorn contained mostly unique spacers compared to apple and pear strains, while strains from loquat shared 79% of spacers with apple and pear strains. Approximately 23% of the spacers matched known sequences, with 16% targeting plasmids and 5% targeting bacteriophage. The plasmid pEU30, isolated in E. amylovora strains from the western U.S., was targeted by 55 spacers. Lastly, we used spacer patterns and content to determine that streptomycin-resistant strains of E. amylovora from Michigan were low in diversity and matched corresponding streptomycin-sensitive strains from the

  19. Direct CRISPR spacer acquisition from RNA by a natural reverse-transcriptase-Cas1 fusion protein

    PubMed Central

    Sidote, David J.; Markham, Laura M.; Sanchez-Amat, Antonio; Bhaya, Devaki; Lambowitz, Alan M.; Fire, Andrew Z.

    2016-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeat) systems mediate adaptive immunity in diverse prokaryotes. CRISPR-associated Cas1 and Cas2 proteins have been shown to enable adaptation to new threats in Type I and II CRISPR systems by the acquisition of short segments of DNA (“spacers”) from invasive elements. In several Type III CRISPR systems, Cas1 is naturally fused to a reverse transcriptase (RT). In the marine bacterium Marinomonas mediterranea (MMB-1), we show that an RT-Cas1 fusion enables the acquisition of RNA spacers in vivo in an RT-dependent manner. In vitro, the MMB-1 RT-Cas1 and Cas2 proteins catalyze ligation of RNA segments into the CRISPR array, followed by reverse transcription. These observations outline a host-mediated mechanism for reverse information flow from RNA to DNA. PMID:26917774

  20. Pan genome and CRISPR analyses of the bacterial fish pathogen Moritella viscosa.

    PubMed

    Karlsen, Christian; Hjerde, Erik; Klemetsen, Terje; Willassen, Nils Peder

    2017-04-20

    Winter-ulcer Moritella viscosa infections continue to be a significant burden in Atlantic salmon (Salmo salar L.) farming. M. viscosa comprises two main clusters that differ in genetic variation and phenotypes including virulence. Horizontal gene transfer through acquisition and loss of mobile genetic elements (MGEs) is a major driving force of bacterial diversification. To gain insight into genomic traits that could affect sublineage evolution within this bacterium we examined the genome sequences of twelve M. viscosa strains. Matches between M. viscosa clustered, regularly interspaced, short palindromic, repeats and associated cas genes (CRISPR-Cas) were analysed to correlate CRISPR-Cas with adaptive immunity against MGEs. The comparative genomic analysis of M. viscosa isolates from across the North Atlantic region and from different fish species support delineation of M. viscosa into four phylogenetic lineages. The results showed that M. viscosa carries two distinct variants of the CRISPR-Cas subtype I-F systems and that CRISPR features follow the phylogenetic lineages. A subset of the spacer content match prophage and plasmid genes dispersed among the M. viscosa strains. Further analysis revealed that prophage and plasmid-like element distribution were reflected in the content of the CRISPR-spacer profiles. Our data suggests that CRISPR-Cas mediated interactions with MGEs impact genome properties among M. viscosa, and that patterns in spacer and MGE distributions are linked to strain relationships.

  1. Lactobacillus buchneri Genotyping on the Basis of Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) Locus Diversity

    PubMed Central

    Briner, Alexandra E.

    2014-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) in combination with associated sequences (cas) constitute the CRISPR-Cas immune system, which uptakes DNA from invasive genetic elements as novel “spacers” that provide a genetic record of immunization events. We investigated the potential of CRISPR-based genotyping of Lactobacillus buchneri, a species relevant for commercial silage, bioethanol, and vegetable fermentations. Upon investigating the occurrence and diversity of CRISPR-Cas systems in Lactobacillus buchneri genomes, we observed a ubiquitous occurrence of CRISPR arrays containing a 36-nucleotide (nt) type II-A CRISPR locus adjacent to four cas genes, including the universal cas1 and cas2 genes and the type II signature gene cas9. Comparative analysis of CRISPR spacer content in 26 L. buchneri pickle fermentation isolates associated with spoilage revealed 10 unique locus genotypes that contained between 9 and 29 variable spacers. We observed a set of conserved spacers at the ancestral end, reflecting a common origin, as well as leader-end polymorphisms, reflecting recent divergence. Some of these spacers showed perfect identity with phage sequences, and many spacers showed homology to Lactobacillus plasmid sequences. Following a comparative analysis of sequences immediately flanking protospacers that matched CRISPR spacers, we identified a novel putative protospacer-adjacent motif (PAM), 5′-AAAA-3′. Overall, these findings suggest that type II-A CRISPR-Cas systems are valuable for genotyping of L. buchneri. PMID:24271175

  2. Role of the Streptococcus mutans CRISPR-Cas Systems in Immunity and Cell Physiology

    PubMed Central

    Serbanescu, M. A.; Cordova, M.; Krastel, K.; Flick, R.; Beloglazova, N.; Latos, A.; Yakunin, A. F.; Senadheera, D. B.

    2014-01-01

    CRISPR-Cas systems provide adaptive microbial immunity against invading viruses and plasmids. The cariogenic bacterium Streptococcus mutans UA159 has two CRISPR-Cas systems: CRISPR1 (type II-A) and CRISPR2 (type I-C) with several spacers from both CRISPR cassettes matching sequences of phage M102 or genomic sequences of other S. mutans. The deletion of the cas genes of CRISPR1 (ΔC1S), CRISPR2 (ΔC2E), or both CRISPR1+2 (ΔC1SC2E) or the removal of spacers 2 and 3 (ΔCR1SP13E) in S. mutans UA159 did not affect phage sensitivity when challenged with virulent phage M102. Using plasmid transformation experiments, we demonstrated that the CRISPR1-Cas system inhibits transformation of S. mutans by the plasmids matching the spacers 2 and 3. Functional analysis of the cas deletion mutants revealed that in addition to a role in plasmid targeting, both CRISPR systems also contribute to the regulation of bacterial physiology in S. mutans. Compared to wild-type cells, the ΔC1S strain displayed diminished growth under cell membrane and oxidative stress, enhanced growth under low pH, and had reduced survival under heat shock and DNA-damaging conditions, whereas the ΔC2E strain exhibited increased sensitivity to heat shock. Transcriptional analysis revealed that the two-component signal transduction system VicR/K differentially modulates expression of cas genes within CRISPR-Cas systems, suggesting that VicR/K might coordinate the expression of two CRISPR-Cas systems. Collectively, we provide in vivo evidence that the type II-A CRISPR-Cas system of S. mutans may be targeted to manipulate its stress response and to influence the host to control the uptake and dissemination of antibiotic resistance genes. PMID:25488301

  3. Occurrence and activity of a type II CRISPR-Cas system in Lactobacillus gasseri.

    PubMed

    Sanozky-Dawes, Rosemary; Selle, Kurt; O'Flaherty, Sarah; Klaenhammer, Todd; Barrangou, Rodolphe

    2015-09-01

    Bacteria encode clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated genes (cas), which collectively form an RNA-guided adaptive immune system against invasive genetic elements. In silico surveys have revealed that lactic acid bacteria harbour a prolific and diverse set of CRISPR-Cas systems. Thus, the natural evolutionary role of CRISPR-Cas systems may be investigated in these ecologically, industrially, scientifically and medically important microbes. In this study, 17 Lactobacillus gasseri strains were investigated and 6 harboured a type II-A CRISPR-Cas system, with considerable diversity in array size and spacer content. Several of the spacers showed similarity to phage and plasmid sequences, which are typical targets of CRISPR-Cas immune systems. Aligning the protospacers facilitated inference of the protospacer adjacent motif sequence, determined to be 5'-NTAA-3' flanking the 3' end of the protospacer. The system in L. gasseri JV-V03 and NCK 1342 interfered with transforming plasmids containing sequences matching the most recently acquired CRISPR spacers in each strain. We report the distribution and function of a native type II-A CRISPR-Cas system in the commensal species L. gasseri. Collectively, these results open avenues for applications for bacteriophage protection and genome modification in L. gasseri, and contribute to the fundamental understanding of CRISPR-Cas systems in bacteria.

  4. Cytotoxic chromosomal targeting by CRISPR/Cas systems can reshape bacterial genomes and expel or remodel pathogenicity islands.

    PubMed

    Vercoe, Reuben B; Chang, James T; Dy, Ron L; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R; Fineran, Peter C

    2013-04-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas-mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA-targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity.

  5. The Contribution of Genetic Recombination to CRISPR Array Evolution

    PubMed Central

    Kupczok, Anne; Landan, Giddy; Dagan, Tal

    2015-01-01

    CRISPR (clustered regularly interspaced short palindromic repeats) is a microbial immune system against foreign DNA. Recognition sequences (spacers) encoded within the CRISPR array mediate the immune reaction in a sequence-specific manner. The known mechanisms for the evolution of CRISPR arrays include spacer acquisition from foreign DNA elements at the time of invasion and array erosion through spacer deletion. Here, we consider the contribution of genetic recombination between homologous CRISPR arrays to the evolution of spacer repertoire. Acquisition of spacers from exogenic arrays via recombination may confer the recipient with immunity against unencountered antagonists. For this purpose, we develop a novel method for the detection of recombination in CRISPR arrays by modeling the spacer order in arrays from multiple strains from the same species. Because the evolutionary signal of spacer recombination may be similar to that of pervasive spacer deletions or independent spacer acquisition, our method entails a robustness analysis of the recombination inference by a statistical comparison to resampled and perturbed data sets. We analyze CRISPR data sets from four bacterial species: two Gammaproteobacteria species harboring CRISPR type I and two Streptococcus species harboring CRISPR type II loci. We find that CRISPR array evolution in Escherichia coli and Streptococcus agalactiae can be explained solely by vertical inheritance and differential spacer deletion. In Pseudomonas aeruginosa, we find an excess of single spacers potentially incorporated into the CRISPR locus during independent acquisition events. In Streptococcus thermophilus, evidence for spacer acquisition by recombination is present in 5 out of 70 strains. Genetic recombination has been proposed to accelerate adaptation by combining beneficial mutations that arose in independent lineages. However, for most species under study, we find that CRISPR evolution is shaped mainly by spacer acquisition and

  6. Cytotoxic Chromosomal Targeting by CRISPR/Cas Systems Can Reshape Bacterial Genomes and Expel or Remodel Pathogenicity Islands

    PubMed Central

    Vercoe, Reuben B.; Chang, James T.; Dy, Ron L.; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S.; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R.; Fineran, Peter C.

    2013-01-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas–mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA–targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity. PMID:23637624

  7. The Contribution of Genetic Recombination to CRISPR Array Evolution.

    PubMed

    Kupczok, Anne; Landan, Giddy; Dagan, Tal

    2015-06-16

    CRISPR (clustered regularly interspaced short palindromic repeats) is a microbial immune system against foreign DNA. Recognition sequences (spacers) encoded within the CRISPR array mediate the immune reaction in a sequence-specific manner. The known mechanisms for the evolution of CRISPR arrays include spacer acquisition from foreign DNA elements at the time of invasion and array erosion through spacer deletion. Here, we consider the contribution of genetic recombination between homologous CRISPR arrays to the evolution of spacer repertoire. Acquisition of spacers from exogenic arrays via recombination may confer the recipient with immunity against unencountered antagonists. For this purpose, we develop a novel method for the detection of recombination in CRISPR arrays by modeling the spacer order in arrays from multiple strains from the same species. Because the evolutionary signal of spacer recombination may be similar to that of pervasive spacer deletions or independent spacer acquisition, our method entails a robustness analysis of the recombination inference by a statistical comparison to resampled and perturbed data sets. We analyze CRISPR data sets from four bacterial species: two Gammaproteobacteria species harboring CRISPR type I and two Streptococcus species harboring CRISPR type II loci. We find that CRISPR array evolution in Escherichia coli and Streptococcus agalactiae can be explained solely by vertical inheritance and differential spacer deletion. In Pseudomonas aeruginosa, we find an excess of single spacers potentially incorporated into the CRISPR locus during independent acquisition events. In Streptococcus thermophilus, evidence for spacer acquisition by recombination is present in 5 out of 70 strains. Genetic recombination has been proposed to accelerate adaptation by combining beneficial mutations that arose in independent lineages. However, for most species under study, we find that CRISPR evolution is shaped mainly by spacer acquisition and

  8. Spacer capture and integration by a type I-F Cas1-Cas2-3 CRISPR adaptation complex.

    PubMed

    Fagerlund, Robert D; Wilkinson, Max E; Klykov, Oleg; Barendregt, Arjan; Pearce, F Grant; Kieper, Sebastian N; Maxwell, Howard W R; Capolupo, Angela; Heck, Albert J R; Krause, Kurt L; Bostina, Mihnea; Scheltema, Richard A; Staals, Raymond H J; Fineran, Peter C

    2017-06-27

    CRISPR-Cas adaptive immune systems capture DNA fragments from invading bacteriophages and plasmids and integrate them as spacers into bacterial CRISPR arrays. In type I-E and II-A CRISPR-Cas systems, this adaptation process is driven by Cas1-Cas2 complexes. Type I-F systems, however, contain a unique fusion of Cas2, with the type I effector helicase and nuclease for invader destruction, Cas3. By using biochemical, structural, and biophysical methods, we present a structural model of the 400-kDa Cas1 4 -Cas2-3 2 complex from Pectobacterium atrosepticum with bound protospacer substrate DNA. Two Cas1 dimers assemble on a Cas2 domain dimeric core, which is flanked by two Cas3 domains forming a groove where the protospacer binds to Cas1-Cas2. We developed a sensitive in vitro assay and demonstrated that Cas1-Cas2-3 catalyzed spacer integration into CRISPR arrays. The integrase domain of Cas1 was necessary, whereas integration was independent of the helicase or nuclease activities of Cas3. Integration required at least partially duplex protospacers with free 3'-OH groups, and leader-proximal integration was stimulated by integration host factor. In a coupled capture and integration assay, Cas1-Cas2-3 processed and integrated protospacers independent of Cas3 activity. These results provide insight into the structure of protospacer-bound type I Cas1-Cas2-3 adaptation complexes and their integration mechanism.

  9. Abundant and Diverse Clustered Regularly Interspaced Short Palindromic Repeat Spacers in Clostridium difficile Strains and Prophages Target Multiple Phage Types within This Pathogen

    PubMed Central

    Hargreaves, Katherine R.; Flores, Cesar O.; Lawley, Trevor D.

    2014-01-01

    ABSTRACT Clostridium difficile is an important human-pathogenic bacterium causing antibiotic-associated nosocomial infections worldwide. Mobile genetic elements and bacteriophages have helped shape C. difficile genome evolution. In many bacteria, phage infection may be controlled by a form of bacterial immunity called the clustered regularly interspaced short palindromic repeats/CRISPR-associated (CRISPR/Cas) system. This uses acquired short nucleotide sequences (spacers) to target homologous sequences (protospacers) in phage genomes. C. difficile carries multiple CRISPR arrays, and in this paper we examine the relationships between the host- and phage-carried elements of the system. We detected multiple matches between spacers and regions in 31 C. difficile phage and prophage genomes. A subset of the spacers was located in prophage-carried CRISPR arrays. The CRISPR spacer profiles generated suggest that related phages would have similar host ranges. Furthermore, we show that C. difficile strains of the same ribotype could either have similar or divergent CRISPR contents. Both synonymous and nonsynonymous mutations in the protospacer sequences were identified, as well as differences in the protospacer adjacent motif (PAM), which could explain how phages escape this system. This paper illustrates how the distribution and diversity of CRISPR spacers in C. difficile, and its prophages, could modulate phage predation for this pathogen and impact upon its evolution and pathogenicity. PMID:25161187

  10. A PNPase Dependent CRISPR System in Listeria

    PubMed Central

    Sesto, Nina; Touchon, Marie; Andrade, José Marques; Kondo, Jiro; Rocha, Eduardo P. C.; Arraiano, Cecilia Maria; Archambaud, Cristel; Westhof, Éric; Romby, Pascale; Cossart, Pascale

    2014-01-01

    The human bacterial pathogen Listeria monocytogenes is emerging as a model organism to study RNA-mediated regulation in pathogenic bacteria. A class of non-coding RNAs called CRISPRs (clustered regularly interspaced short palindromic repeats) has been described to confer bacterial resistance against invading bacteriophages and conjugative plasmids. CRISPR function relies on the activity of CRISPR associated (cas) genes that encode a large family of proteins with nuclease or helicase activities and DNA and RNA binding domains. Here, we characterized a CRISPR element (RliB) that is expressed and processed in the L. monocytogenes strain EGD-e, which is completely devoid of cas genes. Structural probing revealed that RliB has an unexpected secondary structure comprising basepair interactions between the repeats and the adjacent spacers in place of canonical hairpins formed by the palindromic repeats. Moreover, in contrast to other CRISPR-Cas systems identified in Listeria, RliB-CRISPR is ubiquitously present among Listeria genomes at the same genomic locus and is never associated with the cas genes. We showed that RliB-CRISPR is a substrate for the endogenously encoded polynucleotide phosphorylase (PNPase) enzyme. The spacers of the different Listeria RliB-CRISPRs share many sequences with temperate and virulent phages. Furthermore, we show that a cas-less RliB-CRISPR lowers the acquisition frequency of a plasmid carrying the matching protospacer, provided that trans encoded cas genes of a second CRISPR-Cas system are present in the genome. Importantly, we show that PNPase is required for RliB-CRISPR mediated DNA interference. Altogether, our data reveal a yet undescribed CRISPR system whose both processing and activity depend on PNPase, highlighting a new and unexpected function for PNPase in “CRISPRology”. PMID:24415952

  11. Not all predicted CRISPR-Cas systems are equal: isolated cas genes and classes of CRISPR like elements.

    PubMed

    Zhang, Quan; Ye, Yuzhen

    2017-02-06

    The CRISPR-Cas systems in prokaryotes are RNA-guided immune systems that target and deactivate foreign nucleic acids. A typical CRISPR-Cas system consists of a CRISPR array of repeat and spacer units, and a locus of cas genes. The CRISPR and the cas locus are often located next to each other in the genomes. However, there is no quantitative estimate of the co-location. In addition, ad-hoc studies have shown that some non-CRISPR genomic elements contain repeat-spacer-like structures and are mistaken as CRISPRs. Using available genome sequences, we observed that a significant number of genomes have isolated cas loci and/or CRISPRs. We found that 11%, 22% and 28% of the type I, II and III cas loci are isolated (without CRISPRs in the same genomes at all or with CRISPRs distant in the genomes), respectively. We identified a large number of genomic elements that superficially reassemble CRISPRs but don't contain diverse spacers and have no companion cas genes. We called these elements false-CRISPRs and further classified them into groups, including tandem repeats and Staphylococcus aureus repeat (STAR)-like elements. This is the first systematic study to collect and characterize false-CRISPR elements. We demonstrated that false-CRISPRs could be used to reduce the false annotation of CRISPRs, therefore showing them to be useful for improving the annotation of CRISPR-Cas systems.

  12. CRISPR Primer Designer: Design primers for knockout and chromosome imaging CRISPR-Cas system.

    PubMed

    Yan, Meng; Zhou, Shi-Rong; Xue, Hong-Wei

    2015-07-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-associated system enables biologists to edit genomes precisely and provides a powerful tool for perturbing endogenous gene regulation, modulation of epigenetic markers, and genome architecture. However, there are concerns about the specificity of the system, especially the usages of knocking out a gene. Previous designing tools either were mostly built-in websites or ran as command-line programs, and none of them ran locally and acquired a user-friendly interface. In addition, with the development of CRISPR-derived systems, such as chromosome imaging, there were still no tools helping users to generate specific end-user spacers. We herein present CRISPR Primer Designer for researchers to design primers for CRISPR applications. The program has a user-friendly interface, can analyze the BLAST results by using multiple parameters, score for each candidate spacer, and generate the primers when using a certain plasmid. In addition, CRISPR Primer Designer runs locally and can be used to search spacer clusters, and exports primers for the CRISPR-Cas system-based chromosome imaging system. © 2014 Institute of Botany, Chinese Academy of Sciences.

  13. Prevalence, conservation and functional analysis of Yersinia and Escherichia CRISPR regions in clinical Pseudomonas aeruginosa isolates

    PubMed Central

    Cady, K. C.; White, A. S.; Hammond, J. H.; Abendroth, M. D.; Karthikeyan, R. S. G.; Lalitha, P.; Zegans, M. E.; O'Toole, G. A.

    2011-01-01

    Here, we report the characterization of 122 Pseudomonas aeruginosa clinical isolates from three distinct geographical locations: Dartmouth Hitchcock Medical Center in New Hampshire, USA, the Charles T. Campbell Eye Microbiology Lab at the University of Pittsburgh Medical Center, USA, and the Aravind Eye Hospital in Madurai, India. We identified and located clustered regularly interspaced short palindromic repeats (CRISPR) in 45/122 clinical isolates and sequenced these CRISPR, finding that Yersinia subtype CRISPR regions (33 %) were more prevalent than the Escherichia CRISPR region subtype (6 %) in these P. aeruginosa clinical isolates. Further, we observed 132 unique spacers from these 45 CRISPR that are 100 % identical to prophages or sequenced temperate bacteriophage capable of becoming prophages. Most intriguingly, all of these 132 viral spacers matched to temperate bacteriophage/prophages capable of inserting into the host chromosome, but not to extrachromosomally replicating lytic P. aeruginosa bacteriophage. We next assessed the ability of the more prevalent Yersinia subtype CRISPR regions to mediate resistance to bacteriophage infection or lysogeny by deleting the entire CRISPR region from sequenced strain UCBPP-PA14 and six clinical isolates. We found no change in CRISPR-mediated resistance to bacteriophage infection or lysogeny rate even for CRISPR with spacers 100 % identical to a region of the infecting bacteriophage. Lastly, to show these CRISPR and cas genes were expressed and functional, we demonstrated production of small CRISPR RNAs. This work provides both the first examination to our knowledge of CRISPR regions within clinical P. aeruginosa isolates and a collection of defined CRISPR-positive and -negative strains for further CRISPR and cas gene studies. PMID:21081758

  14. Characterization of bacteriophage communities and CRISPR profiles from dental plaque

    PubMed Central

    2014-01-01

    Background Dental plaque is home to a diverse and complex community of bacteria, but has generally been believed to be inhabited by relatively few viruses. We sampled the saliva and dental plaque from 4 healthy human subjects to determine whether plaque was populated by viral communities, and whether there were differences in viral communities specific to subject or sample type. Results We found that the plaque was inhabited by a community of bacteriophage whose membership was mostly subject-specific. There was a significant proportion of viral homologues shared between plaque and salivary viromes within each subject, suggesting that some oral viruses were present in both sites. We also characterized Clustered Regularly Interspaced Short Palindromic Repeats (CRISPRs) in oral streptococci, as their profiles provide clues to the viruses that oral bacteria may be able to counteract. While there were some CRISPR spacers specific to each sample type, many more were shared across sites and were highly subject specific. Many CRISPR spacers matched viruses present in plaque, suggesting that the evolution of CRISPR loci may have been specific to plaque-derived viruses. Conclusions Our findings of subject specificity to both plaque-derived viruses and CRISPR profiles suggest that human viral ecology may be highly personalized. PMID:24981669

  15. Abundant and diverse clustered regularly interspaced short palindromic repeat spacers in Clostridium difficile strains and prophages target multiple phage types within this pathogen.

    PubMed

    Hargreaves, Katherine R; Flores, Cesar O; Lawley, Trevor D; Clokie, Martha R J

    2014-08-26

    Clostridium difficile is an important human-pathogenic bacterium causing antibiotic-associated nosocomial infections worldwide. Mobile genetic elements and bacteriophages have helped shape C. difficile genome evolution. In many bacteria, phage infection may be controlled by a form of bacterial immunity called the clustered regularly interspaced short palindromic repeats/CRISPR-associated (CRISPR/Cas) system. This uses acquired short nucleotide sequences (spacers) to target homologous sequences (protospacers) in phage genomes. C. difficile carries multiple CRISPR arrays, and in this paper we examine the relationships between the host- and phage-carried elements of the system. We detected multiple matches between spacers and regions in 31 C. difficile phage and prophage genomes. A subset of the spacers was located in prophage-carried CRISPR arrays. The CRISPR spacer profiles generated suggest that related phages would have similar host ranges. Furthermore, we show that C. difficile strains of the same ribotype could either have similar or divergent CRISPR contents. Both synonymous and nonsynonymous mutations in the protospacer sequences were identified, as well as differences in the protospacer adjacent motif (PAM), which could explain how phages escape this system. This paper illustrates how the distribution and diversity of CRISPR spacers in C. difficile, and its prophages, could modulate phage predation for this pathogen and impact upon its evolution and pathogenicity. Clostridium difficile is a significant bacterial human pathogen which undergoes continual genome evolution, resulting in the emergence of new virulent strains. Phages are major facilitators of genome evolution in other bacterial species, and we use sequence analysis-based approaches in order to examine whether the CRISPR/Cas system could control these interactions across divergent C. difficile strains. The presence of spacer sequences in prophages that are homologous to phage genomes raises an

  16. CRISPR Diversity and Microevolution in Clostridium difficile

    PubMed Central

    Andersen, Joakim M.; Shoup, Madelyn; Robinson, Cathy; Britton, Robert; Olsen, Katharina E.P.; Barrangou, Rodolphe

    2016-01-01

    Abstract Virulent strains of Clostridium difficile have become a global health problem associated with morbidity and mortality. Traditional typing methods do not provide ideal resolution to track outbreak strains, ascertain genetic diversity between isolates, or monitor the phylogeny of this species on a global basis. Here, we investigate the occurrence and diversity of clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (cas) in C. difficile to assess the potential of CRISPR-based phylogeny and high-resolution genotyping. A single Type-IB CRISPR-Cas system was identified in 217 analyzed genomes with cas gene clusters present at conserved chromosomal locations, suggesting vertical evolution of the system, assessing a total of 1,865 CRISPR arrays. The CRISPR arrays, markedly enriched (8.5 arrays/genome) compared with other species, occur both at conserved and variable locations across strains, and thus provide a basis for typing based on locus occurrence and spacer polymorphism. Clustering of strains by array composition correlated with sequence type (ST) analysis. Spacer content and polymorphism within conserved CRISPR arrays revealed phylogenetic relationship across clades and within ST. Spacer polymorphisms of conserved arrays were instrumental for differentiating closely related strains, e.g., ST1/RT027/B1 strains and pathogenicity locus encoding ST3/RT001 strains. CRISPR spacers showed sequence similarity to phage sequences, which is consistent with the native role of CRISPR-Cas as adaptive immune systems in bacteria. Overall, CRISPR-Cas sequences constitute a valuable basis for genotyping of C. difficile isolates, provide insights into the micro-evolutionary events that occur between closely related strains, and reflect the evolutionary trajectory of these genomes. PMID:27576538

  17. Coevolution of CRISPR bacteria and phage in 2 dimensions

    NASA Astrophysics Data System (ADS)

    Han, Pu; Deem, Michael

    2014-03-01

    CRISPR (cluster regularly interspaced short palindromic repeats) is a newly discovered adaptive, heritable immune system of prokaryotes. It can prevent infection of prokaryotes by phage. Most bacteria and almost all archae have CRISPR. The CRISPR system incorporates short nucleotide sequences from viruses. These incorporated sequences provide a historical record of the host and predator coevolution. We simulate the coevolution of bacteria and phage in 2 dimensions. Each phage has multiple proto-spacers that the bacteria can incorporate. Each bacterium can store multiple spacers in its CRISPR. Phages can escape recognition by the CRISPR system via point mutation or recombination. We will discuss the different evolutionary consequences of point mutation or recombination on the coevolution of bacteria and phage. We will also discuss an intriguing ``dynamic phase transition'' in the number of phage as a function of time and mutation rate. We will show that due to the arm race between phages and bacteria, the frequency of spacers and proto-spacers in a population can oscillate quite rapidly.

  18. New clustered regularly interspaced short palindromic repeat locus spacer pair typing method based on the newly incorporated spacer for Salmonella enterica.

    PubMed

    Li, Hao; Li, Peng; Xie, Jing; Yi, Shengjie; Yang, Chaojie; Wang, Jian; Sun, Jichao; Liu, Nan; Wang, Xu; Wu, Zhihao; Wang, Ligui; Hao, Rongzhang; Wang, Yong; Jia, Leili; Li, Kaiqin; Qiu, Shaofu; Song, Hongbin

    2014-08-01

    A clustered regularly interspaced short palindromic repeat (CRISPR) typing method has recently been developed and used for typing and subtyping of Salmonella spp., but it is complicated and labor intensive because it has to analyze all spacers in two CRISPR loci. Here, we developed a more convenient and efficient method, namely, CRISPR locus spacer pair typing (CLSPT), which only needs to analyze the two newly incorporated spacers adjoining the leader array in the two CRISPR loci. We analyzed a CRISPR array of 82 strains belonging to 21 Salmonella serovars isolated from humans in different areas of China by using this new method. We also retrieved the newly incorporated spacers in each CRISPR locus of 537 Salmonella isolates which have definite serotypes in the Pasteur Institute's CRISPR Database to evaluate this method. Our findings showed that this new CLSPT method presents a high level of consistency (kappa = 0.9872, Matthew's correlation coefficient = 0.9712) with the results of traditional serotyping, and thus, it can also be used to predict serotypes of Salmonella spp. Moreover, this new method has a considerable discriminatory power (discriminatory index [DI] = 0.8145), comparable to those of multilocus sequence typing (DI = 0.8088) and conventional CRISPR typing (DI = 0.8684). Because CLSPT only costs about $5 to $10 per isolate, it is a much cheaper and more attractive method for subtyping of Salmonella isolates. In conclusion, this new method will provide considerable advantages over other molecular subtyping methods, and it may become a valuable epidemiologic tool for the surveillance of Salmonella infections. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  19. Adaptation of the Haloarcula hispanica CRISPR-Cas system to a purified virus strictly requires a priming process

    PubMed Central

    Li, Ming; Wang, Rui; Zhao, Dahe; Xiang, Hua

    2014-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-Cas system mediates adaptive immunity against foreign nucleic acids in prokaryotes. However, efficient adaptation of a native CRISPR to purified viruses has only been observed for the type II-A system from a Streptococcus thermophilus industry strain, and rarely reported for laboratory strains. Here, we provide a second native system showing efficient adaptation. Infected by a newly isolated virus HHPV-2, Haloarcula hispanica type I-B CRISPR system acquired spacers discriminatively from viral sequences. Unexpectedly, in addition to Cas1, Cas2 and Cas4, this process also requires Cas3 and at least partial Cascade proteins, which are involved in interference and/or CRISPR RNA maturation. Intriguingly, a preexisting spacer partially matching a viral sequence is also required, and spacer acquisition from upstream and downstream sequences of its target sequence (i.e. priming protospacer) shows different strand bias. These evidences strongly indicate that adaptation in this system strictly requires a priming process. This requirement, if validated also true for other CRISPR systems as implied by our bioinformatic analysis, may help to explain failures to observe efficient adaptation to purified viruses in many laboratory strains, and the discrimination mechanism at the adaptation level that has confused scientists for years. PMID:24265226

  20. [Detection of CRISPR and its relationship to drug resistance in Shigella].

    PubMed

    Wang, Linlin; Wang, Yingfang; Duan, Guangcai; Xue, Zerun; Guo, Xiangjiao; Wang, Pengfei; Xi, Yuanlin; Yang, Haiyan

    2015-04-04

    To detect clustered regularly interspaced short palindromic repeats (CRISPR) in Shigella, and to analyze its relationship to drug resistance. Four pairs of primers were used for the detection of convincing CRISPR structures CRISPR-S2 and CRISPR-S4, questionable CRISPR structures CRISPR-S1 and CRISPR-S3 in 60 Shigella strains. All primers were designed using sequences in CRISPR database. CRISPR Finder was used to analyze CRISPR and susceptibilities of Shigella strains were tested by agar diffusion method. Furthermore, we analyzed the relationship between drug resistance and CRISPR-S4. The positive rate of convincing CRISPR structures was 95%. The four CRISPR loci formed 12 spectral patterns (A-L), all of which contained convincing CRISPR structures except type K. We found one new repeat and 12 new spacers. The multi-drug resistance rate was 53. 33% . We found no significant difference between CRISPR-S4 and drug resistant. However, the repeat sequence of CRISPR-S4 in multi- or TE-resistance strains was mainly R4.1 with AC deletions in the 3' end, and the spacer sequences of CRISPR-S4 in multi-drug resistance strains were mainly Sp5.1, Sp6.1 and Sp7. CRISPR was common in Shigella. Variations df repeat sequences and diversities of spacer sequences might be related to drug resistance in Shigella.

  1. CRISPR Diversity and Microevolution in Clostridium difficile.

    PubMed

    Andersen, Joakim M; Shoup, Madelyn; Robinson, Cathy; Britton, Robert; Olsen, Katharina E P; Barrangou, Rodolphe

    2016-09-19

    Virulent strains of Clostridium difficile have become a global health problem associated with morbidity and mortality. Traditional typing methods do not provide ideal resolution to track outbreak strains, ascertain genetic diversity between isolates, or monitor the phylogeny of this species on a global basis. Here, we investigate the occurrence and diversity of clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (cas) in C. difficile to assess the potential of CRISPR-based phylogeny and high-resolution genotyping. A single Type-IB CRISPR-Cas system was identified in 217 analyzed genomes with cas gene clusters present at conserved chromosomal locations, suggesting vertical evolution of the system, assessing a total of 1,865 CRISPR arrays. The CRISPR arrays, markedly enriched (8.5 arrays/genome) compared with other species, occur both at conserved and variable locations across strains, and thus provide a basis for typing based on locus occurrence and spacer polymorphism. Clustering of strains by array composition correlated with sequence type (ST) analysis. Spacer content and polymorphism within conserved CRISPR arrays revealed phylogenetic relationship across clades and within ST. Spacer polymorphisms of conserved arrays were instrumental for differentiating closely related strains, e.g., ST1/RT027/B1 strains and pathogenicity locus encoding ST3/RT001 strains. CRISPR spacers showed sequence similarity to phage sequences, which is consistent with the native role of CRISPR-Cas as adaptive immune systems in bacteria. Overall, CRISPR-Cas sequences constitute a valuable basis for genotyping of C. difficile isolates, provide insights into the micro-evolutionary events that occur between closely related strains, and reflect the evolutionary trajectory of these genomes. © The Author(s) 2016. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  2. CRISPR analysis of bacteriophage-insensitive mutants (BIMs) of industrial Streptococcus thermophilus--implications for starter design.

    PubMed

    Mills, S; Griffin, C; Coffey, A; Meijer, W C; Hafkamp, B; Ross, R P

    2010-03-01

    An efficient approach for generation of bacteriophage-insensitive mutants (BIMs) of Streptococcus thermophilus starters was described in our laboratory [Mills et al. (2007) J Microbiol Methods70, 159-164]. The aim of this study was to analyse the phage resistance mechanism responsible for BIM formation. Three clustered regularly interspaced short palindromic repeat (CRISPR) regions have been identified in Strep. thermophilus, and Strep. thermophilus can integrate novel spacers into these loci in response to phage attack. Characterization of three sets of BIMs indicated that two sets had altered CRISPR1 and/or CRISPR3 loci. A range of BIMs of yoghurt starter CSK938 were generated with the same phage in different phage challenge experiments, and each acquired unique spacer regions ranging between one and four new spacers in CRISPR1. In addition, the BIM that acquired only one new spacer in CRISPR1 also acquired an additional spacer in CRISPR3. A fourth BIM, generated with a different phage, had two spacers deleted from CRISPR1 but acquired two spacers in CRISPR3. Analysis of the Mozzarella starter CSK939 and its associated BIMs indicated that formation of second generation BIMs does not lead to increases in spacer number but to alterations in spacer regions. BIMs of an exopolysaccharide (EPS)-producing strain that lost the ability to produce EPS did not harbour an altered CRISPR, suggesting that phage sensitivity may be related to the EPS-producing phenotype. Acquisition/deletion of new spacers in CRISPR loci in response to phage attack generates distinctly individual variants. It also demonstrates that other modifications may be responsible for the phage resistance of Strep. thermophilus BIMs. Isolation of individual BIMs that have unique spacers towards the leader region of the CRISPR locus may be a very useful approach for rotation strategies with the same starter backbone. Upon phage infection, BIMs 'in reserve' can be slotted into the rotation scheme.

  3. CRISPR-Cas systems exploit viral DNA injection to establish and maintain adaptive immunity.

    PubMed

    Modell, Joshua W; Jiang, Wenyan; Marraffini, Luciano A

    2017-04-06

    Clustered regularly interspaced short palindromic repeats (CRISPR)-Cas systems provide protection against viral and plasmid infection by capturing short DNA sequences from these invaders and integrating them into the CRISPR locus of the prokaryotic host. These sequences, known as spacers, are transcribed into short CRISPR RNA guides that specify the cleavage site of Cas nucleases in the genome of the invader. It is not known when spacer sequences are acquired during viral infection. Here, to investigate this, we tracked spacer acquisition in Staphylococcus aureus cells harbouring a type II CRISPR-Cas9 system after infection with the staphylococcal bacteriophage ϕ12. We found that new spacers were acquired immediately after infection preferentially from the cos site, the viral free DNA end that is first injected into the cell. Analysis of spacer acquisition after infection with mutant phages demonstrated that most spacers are acquired during DNA injection, but not during other stages of the viral cycle that produce free DNA ends, such as DNA replication or packaging. Finally, we showed that spacers acquired from early-injected genomic regions, which direct Cas9 cleavage of the viral DNA immediately after infection, provide better immunity than spacers acquired from late-injected regions. Our results reveal that CRISPR-Cas systems exploit the phage life cycle to generate a pattern of spacer acquisition that ensures a successful CRISPR immune response.

  4. The highly dynamic CRISPR1 system of Streptococcus agalactiae controls the diversity of its mobilome.

    PubMed

    Lopez-Sanchez, Maria-José; Sauvage, Elisabeth; Da Cunha, Violette; Clermont, Dominique; Ratsima Hariniaina, Elisoa; Gonzalez-Zorn, Bruno; Poyart, Claire; Rosinski-Chupin, Isabelle; Glaser, Philippe

    2012-09-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) confer immunity against mobile genetic elements (MGEs) in prokaryotes. Streptococcus agalactiae, a leading cause of neonatal infections contains in its genome two CRISPR/Cas systems. We show that type 1-C CRISPR2 is present in few strains but type 2-A CRISPR1 is ubiquitous. Comparative sequence analysis of the CRISPR1 spacer content of 351 S. agalactiae strains revealed that it is extremely diverse due to the acquisition of new spacers, spacer duplications and spacer deletions that witness the dynamics of this system. The spacer content profile mirrors the S. agalactiae population structure. Transfer of a conjugative transposon targeted by CRISPR1 selected for spacer rearrangements, suggesting that deletions and duplications pre-exist in the population. The comparison of protospacers located within MGE or the core genome and protospacer-associated motif-shuffling demonstrated that the GG motif is sufficient to discriminate self and non-self and for spacer selection and integration. Strikingly more than 40% of the 949 different CRISPR1 spacers identified target MGEs found in S. agalactiae genomes. We thus propose that the S. agalactiae type II-A CRISPR1/Cas system modulates the cohabitation of the species with its mobilome, as such contributing to the diversity of MGEs in the population. © 2012 Blackwell Publishing Ltd.

  5. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems.

    PubMed

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan; Pyrc, Krzysztof

    2015-08-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3' end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3' terminus by the appropriate PAM element. The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial communities and

  6. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems

    PubMed Central

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan

    2015-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3′ end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3′ terminus by the appropriate PAM element. IMPORTANCE The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial

  7. Comparative Analysis of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) of Streptococcus thermophilus St-I and its Bacteriophage-Insensitive Mutants (BIM) Derivatives.

    PubMed

    Li, Wan; Bian, Xin; Evivie, Smith Etareri; Huo, Gui-Cheng

    2016-09-01

    The CRISPR-Cas (CRISPR together with CRISPR-associated proteins) modules are the adaptive immune system, acting as an adaptive and heritable immune system in bacteria and archaea. CRISPR-based immunity acts by integrating short virus sequences in the cell's CRISPR locus, allowing the cell to remember, recognize, and clear infections. In this study, the homology of CRISPRs sequence in BIMs (bacteriophage-insensitive mutants) of Streptococcus thermophilus St-I were analyzed. Secondary structures of the repeats and the PAMs (protospacer-associated motif) of each CRISPR locus were also predicted. Results showed that CRISPR1 has 27 repeat-spacer units, 5 of them had duplicates; CRISPR2 has one repeat-spacer unit; CRISPR3 has 28 repeat-spacer units. Only BIM1 had a new spacer acquisition in CRISPR3, while BIM2 and BIM3 had no new spacers' insertion, thus indicating that while most CRISPR1 were more active than CRISPR3, new spacer acquisition occurred just in CRSPR3 in some situations. These findings will help establish the foundation for the study of CRSPR-Cas systems in lactic acid bacteria.

  8. CRISPR: A Useful Genetic Feature to Follow Vaginal Carriage of Group B Streptococcus

    PubMed Central

    Beauruelle, Clémence; Pastuszka, Adeline; Horvath, Philippe; Perrotin, Franck; Mereghetti, Laurent; Lanotte, Philippe

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and Cas (CRISPR-associated proteins) play a critical role in adaptive immunity against mobile genetic elements, especially phages, through their ability to acquire novel spacer sequences. Polarized spacer acquisition results in spacer polymorphism and temporal organization of CRISPR loci, making them attractive epidemiological markers. Group B Streptococcus (GBS), a genital commensal for 10 to 30% of healthy women and a major neonatal pathogen, possesses a ubiquitous and functional CRISPR1 locus. Our aim was to assess the CRISPR1 locus as an epidemiological marker to follow vaginal carriage of GBS in women. This study also allowed us to observe the evolution of the CRISPR1 locus in response to probable phage infection occurring in vivo. We followed carriage of GBS among 100 women over an 11-year period, with a median duration of approximately 2 years. The CRISPR1 locus was highly conserved over time. The isolates that show the same CRISPR1 genotype were collected from 83% of women. There was an agreement between CRISPR genotyping and other typing methods [MLVA (multilocus variable number of tandem repeat Analysis) and MLST (multilocus sequence typing)] for 94% of the cases. The CRISPR1 locus of the isolates from 18 women showed modifications, four of which acquired polarized spacer, highlighting the in vivo functionality of the system. The novel spacer of one isolate had sequence similarity with phage, suggesting that phage infection occurred during carriage. These findings improve our understanding of CRISPR-Cas evolution in GBS and provide a glimpse of host-phage dynamics in vivo. PMID:29075246

  9. CRISPR-Cas Adaptive Immune Systems of the Sulfolobales: Unravelling Their Complexity and Diversity

    PubMed Central

    Garrett, Roger A.; Shah, Shiraz A.; Erdmann, Susanne; Liu, Guannan; Mousaei, Marzieh; León-Sobrino, Carlos; Peng, Wenfang; Gudbergsdottir, Soley; Deng, Ling; Vestergaard, Gisle; Peng, Xu; She, Qunxin

    2015-01-01

    The Sulfolobales have provided good model organisms for studying CRISPR-Cas systems of the crenarchaeal kingdom of the archaea. These organisms are infected by a wide range of exceptional archaea-specific viruses and conjugative plasmids, and their CRISPR-Cas systems generally exhibit extensive structural and functional diversity. They carry large and multiple CRISPR loci and often multiple copies of diverse Type I and Type III interference modules as well as more homogeneous adaptation modules. These acidothermophilic organisms have recently provided seminal insights into both the adaptation process, the diverse modes of interference, and their modes of regulation. The functions of the adaptation and interference modules tend to be loosely coupled and the stringency of the crRNA-DNA sequence matching during DNA interference is relatively low, in contrast to some more streamlined CRISPR-Cas systems of bacteria. Despite this, there is evidence for a complex and differential regulation of expression of the diverse functional modules in response to viral infection. Recent work also supports critical roles for non-core Cas proteins, especially during Type III-directed interference, and this is consistent with these proteins tending to coevolve with core Cas proteins. Various novel aspects of CRISPR-Cas systems of the Sulfolobales are considered including an alternative spacer acquisition mechanism, reversible spacer acquisition, the formation and significance of antisense CRISPR RNAs, and a novel mechanism for avoidance of CRISPR-Cas defense. Finally, questions regarding the basis for the complexity, diversity, and apparent redundancy, of the intracellular CRISPR-Cas systems are discussed. PMID:25764276

  10. CRISPR-Cas: biology, mechanisms and relevance.

    PubMed

    Hille, Frank; Charpentier, Emmanuelle

    2016-11-05

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes-termed spacers-into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent.This article is part of the themed issue 'The new bacteriology'. © 2016 The Authors.

  11. Requirements for Pseudomonas aeruginosa Type I-F CRISPR-Cas Adaptation Determined Using a Biofilm Enrichment Assay.

    PubMed

    Heussler, Gary E; Miller, Jon L; Price, Courtney E; Collins, Alan J; O'Toole, George A

    2016-11-15

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas (CRISPR-associated protein) systems are diverse and found in many archaea and bacteria. These systems have mainly been characterized as adaptive immune systems able to protect against invading mobile genetic elements, including viruses. The first step in this protection is acquisition of spacer sequences from the invader DNA and incorporation of those sequences into the CRISPR array, termed CRISPR adaptation. Progress in understanding the mechanisms and requirements of CRISPR adaptation has largely been accomplished using overexpression of cas genes or plasmid loss assays; little work has focused on endogenous CRISPR-acquired immunity from viral predation. Here, we developed a new biofilm-based assay system to enrich for Pseudomonas aeruginosa strains with new spacer acquisition. We used this assay to demonstrate that P. aeruginosa rapidly acquires spacers protective against DMS3vir, an engineered lytic variant of the Mu-like bacteriophage DMS3, through primed CRISPR adaptation from spacers present in the native CRISPR2 array. We found that for the P. aeruginosa type I-F system, the cas1 gene is required for CRISPR adaptation, recG contributes to (but is not required for) primed CRISPR adaptation, recD is dispensable for primed CRISPR adaptation, and finally, the ability of a putative priming spacer to prime can vary considerably depending on the specific sequences of the spacer. Our understanding of CRISPR adaptation has expanded largely through experiments in type I CRISPR systems using plasmid loss assays, mutants of Escherichia coli, or cas1-cas2 overexpression systems, but there has been little focus on studying the adaptation of endogenous systems protecting against a lytic bacteriophage. Here we describe a biofilm system that allows P. aeruginosa to rapidly gain spacers protective against a lytic bacteriophage. This approach has allowed us to probe the requirements for CRISPR adaptation in

  12. CRISPR regulation of intraspecies diversification by limiting IS transposition and intercellular recombination.

    PubMed

    Watanabe, Takayasu; Nozawa, Takashi; Aikawa, Chihiro; Amano, Atsuo; Maruyama, Fumito; Nakagawa, Ichiro

    2013-01-01

    Mobile genetic elements (MGEs) and genetic rearrangement are considered as major driving forces of bacterial diversification. Previous comparative genome analysis of Porphyromonas gingivalis, a pathogen related to periodontitis, implied such an important relationship. As a counterpart system to MGEs, clustered regularly interspaced short palindromic repeats (CRISPRs) in bacteria may be useful for genetic typing. We found that CRISPR typing could be a reasonable alternative to conventional methods for characterizing phylogenetic relationships among 60 highly diverse P. gingivalis isolates. Examination of genetic recombination along with multilocus sequence typing suggests the importance of such events between different isolates. MGEs appear to be strategically located at the breakpoint gaps of complicated genome rearrangements. Of these MGEs, insertion sequences (ISs) were found most frequently. CRISPR analysis identified 2,150 spacers that were clustered into 1,187 unique ones. Most of these spacers exhibited no significant nucleotide similarity to known sequences (97.6%: 1,158/1,187). Surprisingly, CRISPR spacers exhibiting high nucleotide similarity to regions of P. gingivalis genomes including ISs were predominant. The proportion of such spacers to all the unique spacers (1.6%: 19/1,187) was the highest among previous studies, suggesting novel functions for these CRISPRs. These results indicate that P. gingivalis is a bacterium with high intraspecies diversity caused by frequent insertion sequence (IS) transposition, whereas both the introduction of foreign DNA, primarily from other P. gingivalis cells, and IS transposition are limited by CRISPR interference. It is suggested that P. gingivalis CRISPRs could be an important source for understanding the role of CRISPRs in the development of bacterial diversity.

  13. CRISPRDetect: A flexible algorithm to define CRISPR arrays.

    PubMed

    Biswas, Ambarish; Staals, Raymond H J; Morales, Sergio E; Fineran, Peter C; Brown, Chris M

    2016-05-17

    CRISPR (clustered regularly interspaced short palindromic repeats) RNAs provide the specificity for noncoding RNA-guided adaptive immune defence systems in prokaryotes. CRISPR arrays consist of repeat sequences separated by specific spacer sequences. CRISPR arrays have previously been identified in a large proportion of prokaryotic genomes. However, currently available detection algorithms do not utilise recently discovered features regarding CRISPR loci. We have developed a new approach to automatically detect, predict and interactively refine CRISPR arrays. It is available as a web program and command line from bioanalysis.otago.ac.nz/CRISPRDetect. CRISPRDetect discovers putative arrays, extends the array by detecting additional variant repeats, corrects the direction of arrays, refines the repeat/spacer boundaries, and annotates different types of sequence variations (e.g. insertion/deletion) in near identical repeats. Due to these features, CRISPRDetect has significant advantages when compared to existing identification tools. As well as further support for small medium and large repeats, CRISPRDetect identified a class of arrays with 'extra-large' repeats in bacteria (repeats 44-50 nt). The CRISPRDetect output is integrated with other analysis tools. Notably, the predicted spacers can be directly utilised by CRISPRTarget to predict targets. CRISPRDetect enables more accurate detection of arrays and spacers and its gff output is suitable for inclusion in genome annotation pipelines and visualisation. It has been used to analyse all complete bacterial and archaeal reference genomes.

  14. Discovery of Escherichia coli CRISPR sequences in an undergraduate laboratory.

    PubMed

    Militello, Kevin T; Lazatin, Justine C

    2017-05-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) represent a novel type of adaptive immune system found in eubacteria and archaebacteria. CRISPRs have recently generated a lot of attention due to their unique ability to catalog foreign nucleic acids, their ability to destroy foreign nucleic acids in a mechanism that shares some similarity to RNA interference, and the ability to utilize reconstituted CRISPR systems for genome editing in numerous organisms. In order to introduce CRISPR biology into an undergraduate upper-level laboratory, a five-week set of exercises was designed to allow students to examine the CRISPR status of uncharacterized Escherichia coli strains and to allow the discovery of new repeats and spacers. Students started the project by isolating genomic DNA from E. coli and amplifying the iap CRISPR locus using the polymerase chain reaction (PCR). The PCR products were analyzed by Sanger DNA sequencing, and the sequences were examined for the presence of CRISPR repeat sequences. The regions between the repeats, the spacers, were extracted and analyzed with BLASTN searches. Overall, CRISPR loci were sequenced from several previously uncharacterized E. coli strains and one E. coli K-12 strain. Sanger DNA sequencing resulted in the discovery of 36 spacer sequences and their corresponding surrounding repeat sequences. Five of the spacers were homologous to foreign (non-E. coli) DNA. Assessment of the laboratory indicates that improvements were made in the ability of students to answer questions relating to the structure and function of CRISPRs. Future directions of the laboratory are presented and discussed. © 2016 by The International Union of Biochemistry and Molecular Biology, 45(3):262-269, 2017. © 2016 The International Union of Biochemistry and Molecular Biology.

  15. Polymorphism of CRISPR shows separated natural groupings of Shigella subtypes and evidence of horizontal transfer of CRISPR

    PubMed Central

    Yang, Chaojie; Li, Peng; Su, Wenli; Li, Hao; Liu, Hongbo; Yang, Guang; Xie, Jing; Yi, Shengjie; Wang, Jian; Cui, Xianyan; Wu, Zhihao; Wang, Ligui; Hao, Rongzhang; Jia, Leili; Qiu, Shaofu; Song, Hongbin

    2015-01-01

    Clustered, regularly interspaced, short palindromic repeats (CRISPR) act as an adaptive RNA-mediated immune mechanism in bacteria. They can also be used for identification and evolutionary studies based on polymorphisms within the CRISPR locus. We amplified and analyzed 6 CRISPR loci from 237 Shigella strains belonging to the 4 species groups, as well as 13 Escherichia coli strains. The CRISPR-associated (cas) gene sequence arrays of these strains were screened and compared. The CRISPR sequences from Shigella were conserved among subtypes, suggesting that CRISPR may represent a new identification tool for the detection and discrimination of Shigella species. Secondary structure analysis showed a different stem-loop structure at the terminal repeat, suggesting a distinct recognition mechanism in the formation of crRNA. In addition, the presence of “self-target” spacers and polymorphisms within CRISPR in Shigella indicated a selective pressure for inhibition of this system, which has the potential to damage “self DNA.” Homology analysis of spacers showed that CRISPR might be involved in the regulation of virulence transmission. Phylogenetic analysis based on CRISPR sequences from Shigella and E. coli indicated that although phenotypic properties maintain convergent evolution, the 4 Shigella species do not represent natural groupings. Surprisingly, comparative analysis of Shigella repeats with other species provided new evidence for CRISPR horizontal transfer. Our results suggested that CRISPR analysis is applicable for the detection of Shigella species and for investigation of evolutionary relationships. PMID:26327282

  16. Polymorphism of CRISPR shows separated natural groupings of Shigella subtypes and evidence of horizontal transfer of CRISPR.

    PubMed

    Yang, Chaojie; Li, Peng; Su, Wenli; Li, Hao; Liu, Hongbo; Yang, Guang; Xie, Jing; Yi, Shengjie; Wang, Jian; Cui, Xianyan; Wu, Zhihao; Wang, Ligui; Hao, Rongzhang; Jia, Leili; Qiu, Shaofu; Song, Hongbin

    2015-01-01

    Clustered, regularly interspaced, short palindromic repeats (CRISPR) act as an adaptive RNA-mediated immune mechanism in bacteria. They can also be used for identification and evolutionary studies based on polymorphisms within the CRISPR locus. We amplified and analyzed 6 CRISPR loci from 237 Shigella strains belonging to the 4 species groups, as well as 13 Escherichia coli strains. The CRISPR-associated (cas) gene sequence arrays of these strains were screened and compared. The CRISPR sequences from Shigella were conserved among subtypes, suggesting that CRISPR may represent a new identification tool for the detection and discrimination of Shigella species. Secondary structure analysis showed a different stem-loop structure at the terminal repeat, suggesting a distinct recognition mechanism in the formation of crRNA. In addition, the presence of "self-target" spacers and polymorphisms within CRISPR in Shigella indicated a selective pressure for inhibition of this system, which has the potential to damage "self DNA." Homology analysis of spacers showed that CRISPR might be involved in the regulation of virulence transmission. Phylogenetic analysis based on CRISPR sequences from Shigella and E. coli indicated that although phenotypic properties maintain convergent evolution, the 4 Shigella species do not represent natural groupings. Surprisingly, comparative analysis of Shigella repeats with other species provided new evidence for CRISPR horizontal transfer. Our results suggested that CRISPR analysis is applicable for the detection of Shigella species and for investigation of evolutionary relationships.

  17. Adaptation in CRISPR-Cas Systems.

    PubMed

    Sternberg, Samuel H; Richter, Hagen; Charpentier, Emmanuelle; Qimron, Udi

    2016-03-17

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) proteins constitute an adaptive immune system in prokaryotes. The system preserves memories of prior infections by integrating short segments of foreign DNA, termed spacers, into the CRISPR array in a process termed adaptation. During the past 3 years, significant progress has been made on the genetic requirements and molecular mechanisms of adaptation. Here we review these recent advances, with a focus on the experimental approaches that have been developed, the insights they generated, and a proposed mechanism for self- versus non-self-discrimination during the process of spacer selection. We further describe the regulation of adaptation and the protein players involved in this fascinating process that allows bacteria and archaea to harbor adaptive immunity. Copyright © 2016 Elsevier Inc. All rights reserved.

  18. CRISPR-cas loci profiling of Cronobacter sakazakii pathovars.

    PubMed

    Ogrodzki, Pauline; Forsythe, Stephen James

    2016-12-01

    Cronobacter sakazakii sequence types 1, 4, 8 and 12 are associated with outbreaks of neonatal meningitis and necrotizing enterocolitis infections. However clonality results in strains which are indistinguishable using conventional methods. This study investigated the use of clustered regularly interspaced short palindromic repeats (CRISPR)-cas loci profiling for epidemiological investigations. Seventy whole genomes of C. sakazakii strains from four clonal complexes which were widely distributed temporally, geographically and origin of source were profiled. All strains encoded the same type I-E subtype CRISPR-cas system with a total of 12 different CRISPR spacer arrays. This study demonstrated the greater discriminatory power of CRISPR spacer array profiling compared with multilocus sequence typing, which will be of use in source attribution during Cronobacter outbreak investigations.

  19. [Changes of resistant phenotype and CRISPR/Cas system of four Shigella strains passaged for 90 times without antibiotics].

    PubMed

    Zhang, B; Hong, L J; Duan, G C; Liang, W J; Yang, H Y; Xi, Y L

    2017-02-10

    Objective: To explore the stability of resistant phenotypes and changes of clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) gene system on four Shigella strains in the absence of antibiotics. Methods: Four clinical isolated Shigella strains that resistant to different antibiotics were consecutive passaged for 90 times without antibiotics. Agar dilution method was used to determine the minimum inhibitory concentration of Shigella strains. After sequence analysis with PCR, CRISPR Finder and Clustal X 2.1 were applied to identify the changes of CRISPR loci in the Shigella strains. Results: After the consecutive transfer of 90 generations, sensitivity to certain antibiotics of four Shigella strains with different drug resistant spectrums increased. Mel-sf1998024/zz resistance to ampicillin, cephalexin, cefotaxime, chloramphenicol decreased, mel-s2014026/sx resistance to norfloxacin, trimethoprim decreased, mel-sf2004004/sx drug resistance to ampicillin, cefuroxime, cefotaxime, chloramphenicol, trimethoprim decreased and mel-sf2013004/bj resistance to chloramphenicol decreased. The spacer of which matched gene codes Cas and its upstream repeat in 3'end of CRISPR3 got lost in mel-sf1998024/zz and mel-sf2013004/bj. Conclusions: Shigella strains could reduce or lose their resistance to some antibiotics after consecutive transfers, without the interference of antibiotics. CRISPR3 locus had dynamic spacers in Shigella strains while CRISPR3 locus and cas genes might have been co-evolved.

  20. Presence of Type I-F CRISPR/Cas systems is associated with antimicrobial susceptibility in Escherichia coli.

    PubMed

    Aydin, Seyid; Personne, Yoann; Newire, Enas; Laverick, Rebecca; Russell, Oliver; Roberts, Adam P; Enne, Virve I

    2017-08-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and their associated cas genes are sequence-specific DNA nuclease systems found in bacteria and archaea. CRISPR/Cas systems use RNA transcripts of previously acquired DNA (spacers) to target invading genetic elements with the same sequence, including plasmids. In this research we studied the relationship between CRISPR/Cas systems and multidrug resistance in Escherichia coli . The presence of Type I-E and Type I-F CRISPR systems was investigated among 82 antimicrobial-susceptible and 96 MDR clinical E. coli isolates by PCR and DNA sequencing. Phylogrouping and MLST were performed to determine relatedness of isolates. RT-PCR was performed to ascertain the expression of associated cas genes. Type I-F CRISPR was associated with the B2 phylogroup and was significantly overrepresented in the susceptible group (22.0%) compared with the MDR group (2.1%). The majority of CRISPR I-F-containing isolates had spacer sequences that matched IncF and IncI plasmids. RT-PCR demonstrated that Type I-F cas genes were expressed and therefore potentially functional. The CRISPR I-F system is more likely to be found in antimicrobial-susceptible E. coli . Given that the Type I-F system is expressed in WT isolates, we suggest that this difference could be due to the CRISPR system potentially interfering with the acquisition of antimicrobial resistance plasmids, maintaining susceptibility in these isolates. © The Author 2017. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  1. Imipenem represses CRISPR-Cas interference of DNA acquisition through H-NS stimulation in Klebsiella pneumoniae.

    PubMed

    Lin, Tzu-Lung; Pan, Yi-Jiun; Hsieh, Pei-Fang; Hsu, Chun-Ru; Wu, Meng-Chuan; Wang, Jin-Town

    2016-08-17

    Analysis of the genome of Klebsiella pneumoniae NTUH-K2044 strain revealed the presence of two clustered regularly interspaced short palindromic repeats (CRISPR) arrays separated with CRISPR-associated (cas) genes. Carbapenem-resistant K. pneumoniae isolates were observed to be less likely to have CRISPR-Cas than sensitive strains (5/85 vs. 22/132). Removal of the transcriptional repressor, H-NS, was shown to prevent the transformation of plasmids carrying a spacer and putative proto-spacer adjacent motif (PAM). The CRISPR-Cas system also decreased pUC-4K plasmid stability, resulting in plasmid loss from the bacteria with acquisition of new spacers. Analysis of the acquired proto-spacers in pUC-4K indicated that 5'-TTN-3' was the preferred PAM in K. pneumoniae. Treatment of cells by imipenem induced hns expression, thereby decreasing cas3 expression and consequently repressed CRISPR-Cas activity resulted in increase of plasmid stability. In conclusion, NTUH-K2044 CRISPR-Cas contributes to decrease of plasmid transformation and stability. Through repression of CRISPR-Cas activity by induced H-NS, bacteria might be more able to acquire DNA to confront the challenge of imipenem.

  2. Imipenem represses CRISPR-Cas interference of DNA acquisition through H-NS stimulation in Klebsiella pneumoniae

    PubMed Central

    Lin, Tzu-Lung; Pan, Yi-Jiun; Hsieh, Pei-Fang; Hsu, Chun-Ru; Wu, Meng-Chuan; Wang, Jin-Town

    2016-01-01

    Analysis of the genome of Klebsiella pneumoniae NTUH-K2044 strain revealed the presence of two clustered regularly interspaced short palindromic repeats (CRISPR) arrays separated with CRISPR-associated (cas) genes. Carbapenem-resistant K. pneumoniae isolates were observed to be less likely to have CRISPR-Cas than sensitive strains (5/85 vs. 22/132). Removal of the transcriptional repressor, H-NS, was shown to prevent the transformation of plasmids carrying a spacer and putative proto-spacer adjacent motif (PAM). The CRISPR-Cas system also decreased pUC-4K plasmid stability, resulting in plasmid loss from the bacteria with acquisition of new spacers. Analysis of the acquired proto-spacers in pUC-4K indicated that 5′-TTN-3′ was the preferred PAM in K. pneumoniae. Treatment of cells by imipenem induced hns expression, thereby decreasing cas3 expression and consequently repressed CRISPR-Cas activity resulted in increase of plasmid stability. In conclusion, NTUH-K2044 CRISPR-Cas contributes to decrease of plasmid transformation and stability. Through repression of CRISPR-Cas activity by induced H-NS, bacteria might be more able to acquire DNA to confront the challenge of imipenem. PMID:27531594

  3. Subtyping of the Legionella pneumophila "Ulm" outbreak strain using the CRISPR-Cas system.

    PubMed

    Lück, Christian; Brzuszkiewicz, Elzbieta; Rydzewski, Kerstin; Koshkolda, Tetyana; Sarnow, Katharina; Essig, Andreas; Heuner, Klaus

    2015-12-01

    In 2009/2010 an outbreak of Legionnaires' disease with 64 cases including four fatalities took place in the city of Ulm/Neu-Ulm in Germany. L. pneumophila serogroup 1, mAb type Knoxville, sequence type (ST) 62 was identified as the epidemic strain. This strain was isolated from eight patients and from a cooling tower in the city of Ulm. Based on whole genome sequencing data from one patient strain, we identified an Lvh type IV secretion system containing a CRISPR-Cas system. The CRISPR sequence contains 38 spacer DNA sequences. We used these variable DNA spacers to further subtype the outbreak strain as well as six epidemiologically unrelated strains of CRISPR-Cas positive ST62 strains isolated at various regions in Germany. The first 12 spacer DNAs of eight patient isolates and three environmental isolates from the suspected source of infection were analyzed and found to be identical. Spacer DNAs were identified in further six epidemiologically unrelated patient isolates of L. pneumophila of ST62 in addition to the 12 "core" spacers. The presence of new spacer DNAs at the 5' site downstream of the first repeat indicates that these CRISPR-Cas systems seem to be functional. PCR analysis revealed that not all L. pneumophila sg1 ST62 strains investigated exhibited a CRISPR-Cas system. In addition, we could demonstrate that the CRISPR-Cas system is localized on a genomic island (LpuGI-Lvh) which can be excised from the chromosome and therefore may be transferable horizontally to other L. pneumophila strains. Copyright © 2015 Elsevier GmbH. All rights reserved.

  4. Characterization and Exploitation of CRISPR Loci in Bifidobacterium longum

    PubMed Central

    Hidalgo-Cantabrana, Claudio; Crawley, Alexandra B.; Sanchez, Borja; Barrangou, Rodolphe

    2017-01-01

    Diverse CRISPR-Cas systems provide adaptive immunity in many bacteria and most archaea, via a DNA-encoded, RNA-mediated, nucleic-acid targeting mechanism. Over time, CRISPR loci expand via iterative uptake of invasive DNA sequences into the CRISPR array during the adaptation process. These genetic vaccination cards thus provide insights into the exposure of strains to phages and plasmids in space and time, revealing the historical predatory exposure of a strain. These genetic loci thus constitute a unique basis for genotyping of strains, with potential of resolution at the strain-level. Here, we investigate the occurrence and diversity of CRISPR-Cas systems in the genomes of various Bifidobacterium longum strains across three sub-species. Specifically, we analyzed the genomic content of 66 genomes belonging to B. longum subsp. longum, B. longum subsp. infantis and B. longum subsp. suis, and identified 25 strains that carry 29 total CRISPR-Cas systems. We identify various Type I and Type II CRISPR-Cas systems that are widespread in this species, notably I-C, I-E, and II-C. Noteworthy, Type I-C systems showed extended CRISPR arrays, with extensive spacer diversity. We show how these hypervariable loci can be used to gain insights into strain origin, evolution and phylogeny, and can provide discriminatory sequences to distinguish even clonal isolates. By investigating CRISPR spacer sequences, we reveal their origin and implicate phages and prophages as drivers of CRISPR immunity expansion in this species, with redundant targeting of select prophages. Analysis of CRISPR spacer origin also revealed novel PAM sequences. Our results suggest that CRISPR-Cas immune systems are instrumental in mounting diversified viral resistance in B. longum, and show that these sequences are useful for typing across three subspecies. PMID:29033911

  5. Characterization and Exploitation of CRISPR Loci in Bifidobacterium longum.

    PubMed

    Hidalgo-Cantabrana, Claudio; Crawley, Alexandra B; Sanchez, Borja; Barrangou, Rodolphe

    2017-01-01

    Diverse CRISPR-Cas systems provide adaptive immunity in many bacteria and most archaea, via a DNA-encoded, RNA-mediated, nucleic-acid targeting mechanism. Over time, CRISPR loci expand via iterative uptake of invasive DNA sequences into the CRISPR array during the adaptation process. These genetic vaccination cards thus provide insights into the exposure of strains to phages and plasmids in space and time, revealing the historical predatory exposure of a strain. These genetic loci thus constitute a unique basis for genotyping of strains, with potential of resolution at the strain-level. Here, we investigate the occurrence and diversity of CRISPR-Cas systems in the genomes of various Bifidobacterium longum strains across three sub-species. Specifically, we analyzed the genomic content of 66 genomes belonging to B. longum subsp. longum, B. longum subsp. infantis and B. longum subsp. suis , and identified 25 strains that carry 29 total CRISPR-Cas systems. We identify various Type I and Type II CRISPR-Cas systems that are widespread in this species, notably I-C, I-E, and II-C. Noteworthy, Type I-C systems showed extended CRISPR arrays, with extensive spacer diversity. We show how these hypervariable loci can be used to gain insights into strain origin, evolution and phylogeny, and can provide discriminatory sequences to distinguish even clonal isolates. By investigating CRISPR spacer sequences, we reveal their origin and implicate phages and prophages as drivers of CRISPR immunity expansion in this species, with redundant targeting of select prophages. Analysis of CRISPR spacer origin also revealed novel PAM sequences. Our results suggest that CRISPR-Cas immune systems are instrumental in mounting diversified viral resistance in B. longum , and show that these sequences are useful for typing across three subspecies.

  6. CRISPR-Cas systems target a diverse collection of invasive mobile genetic elements in human microbiomes

    PubMed Central

    2013-01-01

    Background Bacteria and archaea develop immunity against invading genomes by incorporating pieces of the invaders' sequences, called spacers, into a clustered regularly interspaced short palindromic repeats (CRISPR) locus between repeats, forming arrays of repeat-spacer units. When spacers are expressed, they direct CRISPR-associated (Cas) proteins to silence complementary invading DNA. In order to characterize the invaders of human microbiomes, we use spacers from CRISPR arrays that we had previously assembled from shotgun metagenomic datasets, and identify contigs that contain these spacers' targets. Results We discover 95,000 contigs that are putative invasive mobile genetic elements, some targeted by hundreds of CRISPR spacers. We find that oral sites in healthy human populations have a much greater variety of mobile genetic elements than stool samples. Mobile genetic elements carry genes encoding diverse functions: only 7% of the mobile genetic elements are similar to known phages or plasmids, although a much greater proportion contain phage- or plasmid-related genes. A small number of contigs share similarity with known integrative and conjugative elements, providing the first examples of CRISPR defenses against this class of element. We provide detailed analyses of a few large mobile genetic elements of various types, and a relative abundance analysis of mobile genetic elements and putative hosts, exploring the dynamic activities of mobile genetic elements in human microbiomes. A joint analysis of mobile genetic elements and CRISPRs shows that protospacer-adjacent motifs drive their interaction network; however, some CRISPR-Cas systems target mobile genetic elements lacking motifs. Conclusions We identify a large collection of invasive mobile genetic elements in human microbiomes, an important resource for further study of the interaction between the CRISPR-Cas immune system and invaders. PMID:23628424

  7. Association of clustered regularly interspaced short palindromic repeat (CRISPR) elements with specific serotypes and virulence potential of shiga toxin-producing Escherichia coli.

    PubMed

    Toro, Magaly; Cao, Guojie; Ju, Wenting; Allard, Marc; Barrangou, Rodolphe; Zhao, Shaohua; Brown, Eric; Meng, Jianghong

    2014-02-01

    Shiga toxin-producing Escherichia coli (STEC) strains (n = 194) representing 43 serotypes and E. coli K-12 were examined for clustered regularly interspaced short palindromic repeat (CRISPR) arrays to study genetic relatedness among STEC serotypes. A subset of the strains (n = 81) was further analyzed for subtype I-E cas and virulence genes to determine a possible association of CRISPR elements with potential virulence. Four types of CRISPR arrays were identified. CRISPR1 and CRISPR2 were present in all strains tested; 1 strain also had both CRISPR3 and CRISPR4, whereas 193 strains displayed a short, combined array, CRISPR3-4. A total of 3,353 spacers were identified, representing 528 distinct spacers. The average length of a spacer was 32 bp. Approximately one-half of the spacers (54%) were unique and found mostly in strains of less common serotypes. Overall, CRISPR spacer contents correlated well with STEC serotypes, and identical arrays were shared between strains with the same H type (O26:H11, O103:H11, and O111:H11). There was no association identified between the presence of subtype I-E cas and virulence genes, but the total number of spacers had a negative correlation with potential pathogenicity (P < 0.05). Fewer spacers were found in strains that had a greater probability of causing outbreaks and disease than in those with lower virulence potential (P < 0.05). The relationship between the CRISPR-cas system and potential virulence needs to be determined on a broader scale, and the biological link will need to be established.

  8. Association of Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) Elements with Specific Serotypes and Virulence Potential of Shiga Toxin-Producing Escherichia coli

    PubMed Central

    Toro, Magaly; Cao, Guojie; Ju, Wenting; Allard, Marc; Barrangou, Rodolphe; Zhao, Shaohua; Brown, Eric

    2014-01-01

    Shiga toxin-producing Escherichia coli (STEC) strains (n = 194) representing 43 serotypes and E. coli K-12 were examined for clustered regularly interspaced short palindromic repeat (CRISPR) arrays to study genetic relatedness among STEC serotypes. A subset of the strains (n = 81) was further analyzed for subtype I-E cas and virulence genes to determine a possible association of CRISPR elements with potential virulence. Four types of CRISPR arrays were identified. CRISPR1 and CRISPR2 were present in all strains tested; 1 strain also had both CRISPR3 and CRISPR4, whereas 193 strains displayed a short, combined array, CRISPR3-4. A total of 3,353 spacers were identified, representing 528 distinct spacers. The average length of a spacer was 32 bp. Approximately one-half of the spacers (54%) were unique and found mostly in strains of less common serotypes. Overall, CRISPR spacer contents correlated well with STEC serotypes, and identical arrays were shared between strains with the same H type (O26:H11, O103:H11, and O111:H11). There was no association identified between the presence of subtype I-E cas and virulence genes, but the total number of spacers had a negative correlation with potential pathogenicity (P < 0.05). Fewer spacers were found in strains that had a greater probability of causing outbreaks and disease than in those with lower virulence potential (P < 0.05). The relationship between the CRISPR-cas system and potential virulence needs to be determined on a broader scale, and the biological link will need to be established. PMID:24334663

  9. Physical model of the immune response of bacteria against bacteriophage through the adaptive CRISPR-Cas immune system

    NASA Astrophysics Data System (ADS)

    Han, Pu; Niestemski, Liang Ren; Barrick, Jeffrey E.; Deem, Michael W.

    2013-04-01

    Bacteria and archaea have evolved an adaptive, heritable immune system that recognizes and protects against viruses or plasmids. This system, known as the CRISPR-Cas system, allows the host to recognize and incorporate short foreign DNA or RNA sequences, called ‘spacers’ into its CRISPR system. Spacers in the CRISPR system provide a record of the history of bacteria and phage coevolution. We use a physical model to study the dynamics of this coevolution as it evolves stochastically over time. We focus on the impact of mutation and recombination on bacteria and phage evolution and evasion. We discuss the effect of different spacer deletion mechanisms on the coevolutionary dynamics. We make predictions about bacteria and phage population growth, spacer diversity within the CRISPR locus, and spacer protection against the phage population.

  10. Cas4-Dependent Prespacer Processing Ensures High-Fidelity Programming of CRISPR Arrays.

    PubMed

    Lee, Hayun; Zhou, Yi; Taylor, David W; Sashital, Dipali G

    2018-04-05

    CRISPR-Cas immune systems integrate short segments of foreign DNA as spacers into the host CRISPR locus to provide molecular memory of infection. Cas4 proteins are widespread in CRISPR-Cas systems and are thought to participate in spacer acquisition, although their exact function remains unknown. Here we show that Bacillus halodurans type I-C Cas4 is required for efficient prespacer processing prior to Cas1-Cas2-mediated integration. Cas4 interacts tightly with the Cas1 integrase, forming a heterohexameric complex containing two Cas1 dimers and two Cas4 subunits. In the presence of Cas1 and Cas2, Cas4 processes double-stranded substrates with long 3' overhangs through site-specific endonucleolytic cleavage. Cas4 recognizes PAM sequences within the prespacer and prevents integration of unprocessed prespacers, ensuring that only functional spacers will be integrated into the CRISPR array. Our results reveal the critical role of Cas4 in maintaining fidelity during CRISPR adaptation, providing a structural and mechanistic model for prespacer processing and integration. Copyright © 2018 Elsevier Inc. All rights reserved.

  11. CRISPR-mediated defense mechanisms in the hyperthermophilic archaeal genus Sulfolobus

    PubMed Central

    Manica, Andrea; Schleper, Christa

    2013-01-01

    CRISPR (clustered regularly interspaced short palindromic repeats)-mediated virus defense based on small RNAs is a hallmark of archaea and also found in many bacteria. Archaeal genomes and, in particular, organisms of the extremely thermoacidophilic genus Sulfolobus, carry extensive CRISPR loci each with dozens of sequence signatures (spacers) able to mediate targeting and degradation of complementary invading nucleic acids. The diversity of CRISPR systems and their associated protein complexes indicates an extensive functional breadth and versatility of this adaptive immune system. Sulfolobus solfataricus and S. islandicus represent two of the best characterized genetic model organisms in the archaea not only with respect to the CRISPR system. Here we address and discuss in a broader context particularly recent progress made in understanding spacer recruitment from foreign DNA, production of small RNAs, in vitro activity of CRISPR-associated protein complexes and attack of viruses and plasmids in in vivo test systems. PMID:23535277

  12. Clustered regularly interspaced short palindromic repeats (CRISPRs): the hallmark of an ingenious antiviral defense mechanism in prokaryotes.

    PubMed

    Al-Attar, Sinan; Westra, Edze R; van der Oost, John; Brouns, Stan J J

    2011-04-01

    Many prokaryotes contain the recently discovered defense system against mobile genetic elements. This defense system contains a unique type of repetitive DNA stretches, termed Clustered Regularly Interspaced Short Palindromic Repeats (CRISPRs). CRISPRs consist of identical repeated DNA sequences (repeats), interspaced by highly variable sequences referred to as spacers. The spacers originate from either phages or plasmids and comprise the prokaryotes' 'immunological memory'. CRISPR-associated (cas) genes encode conserved proteins that together with CRISPRs make-up the CRISPR/Cas system, responsible for defending the prokaryotic cell against invaders. CRISPR-mediated resistance has been proposed to involve three stages: (i) CRISPR-Adaptation, the invader DNA is encountered by the CRISPR/Cas machinery and an invader-derived short DNA fragment is incorporated in the CRISPR array. (ii) CRISPR-Expression, the CRISPR array is transcribed and the transcript is processed by Cas proteins. (iii) CRISPR-Interference, the invaders' nucleic acid is recognized by complementarity to the crRNA and neutralized. An application of the CRISPR/Cas system is the immunization of industry-relevant prokaryotes (or eukaryotes) against mobile-genetic invasion. In addition, the high variability of the CRISPR spacer content can be exploited for phylogenetic and evolutionary studies. Despite impressive progress during the last couple of years, the elucidation of several fundamental details will be a major challenge in future research.

  13. Assembling the Streptococcus thermophilus clustered regularly interspaced short palindromic repeats (CRISPR) array for multiplex DNA targeting.

    PubMed

    Guo, Lijun; Xu, Kun; Liu, Zhiyuan; Zhang, Cunfang; Xin, Ying; Zhang, Zhiying

    2015-06-01

    In addition to the advantages of scalable, affordable, and easy to engineer, the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein (Cas) technology is superior for multiplex targeting, which is laborious and inconvenient when achieved by cloning multiple gRNA expressing cassettes. Here, we report a simple CRISPR array assembling method which will facilitate multiplex targeting usage. First, the Streptococcus thermophilus CRISPR3/Cas locus was cloned. Second, different CRISPR arrays were assembled with different crRNA spacers. Transformation assays using different Escherichia coli strains demonstrated efficient plasmid DNA targeting, and we achieved targeting efficiency up to 95% with an assembled CRISPR array with three crRNA spacers. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Clustered regularly interspaced short palindromic repeats (CRISPRs) for the genotyping of bacterial pathogens.

    PubMed

    Grissa, Ibtissem; Vergnaud, Gilles; Pourcel, Christine

    2009-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) are DNA sequences composed of a succession of repeats (23- to 47-bp long) separated by unique sequences called spacers. Polymorphism can be observed in different strains of a species and may be used for genotyping. We describe protocols and bioinformatics tools that allow the identification of CRISPRs from sequenced genomes, their comparison, and their component determination (the direct repeats and the spacers). A schematic representation of the spacer organization can be produced, allowing an easy comparison between strains.

  15. Exploiting CRISPR-Cas to manipulate Enterococcus faecalis populations.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Palmer, Kelli L

    2017-06-23

    CRISPR-Cas provides a barrier to horizontal gene transfer in prokaryotes. It was previously observed that functional CRISPR-Cas systems are absent from multidrug-resistant (MDR) Enterococcus faecalis , which only possess an orphan CRISPR locus, termed CRISPR2, lacking cas genes. Here, we investigate how the interplay between CRISPR-Cas genome defense and antibiotic selection for mobile genetic elements shapes in vitro E. faecalis populations. We demonstrate that CRISPR2 can be reactivated for genome defense in MDR strains. Interestingly, we observe that E. faecalis transiently maintains CRISPR targets despite active CRISPR-Cas systems. Subsequently, if selection for the CRISPR target is present, toxic CRISPR spacers are lost over time, while in the absence of selection, CRISPR targets are lost over time. We find that forced maintenance of CRISPR targets induces a fitness cost that can be exploited to alter heterogeneous E. faecalis populations.

  16. Computational prediction of CRISPR cassettes in gut metagenome samples from Chinese type-2 diabetic patients and healthy controls.

    PubMed

    Mangericao, Tatiana C; Peng, Zhanhao; Zhang, Xuegong

    2016-01-11

    CRISPR has been becoming a hot topic as a powerful technique for genome editing for human and other higher organisms. The original CRISPR-Cas (Clustered Regularly Interspaced Short Palindromic Repeats coupled with CRISPR-associated proteins) is an important adaptive defence system for prokaryotes that provides resistance against invading elements such as viruses and plasmids. A CRISPR cassette contains short nucleotide sequences called spacers. These unique regions retain a history of the interactions between prokaryotes and their invaders in individual strains and ecosystems. One important ecosystem in the human body is the human gut, a rich habitat populated by a great diversity of microorganisms. Gut microbiomes are important for human physiology and health. Metagenome sequencing has been widely applied for studying the gut microbiomes. Most efforts in metagenome study has been focused on profiling taxa compositions and gene catalogues and identifying their associations with human health. Less attention has been paid to the analysis of the ecosystems of microbiomes themselves especially their CRISPR composition. We conducted a preliminary analysis of CRISPR sequences in a human gut metagenomic data set of Chinese individuals of type-2 diabetes patients and healthy controls. Applying an available CRISPR-identification algorithm, PILER-CR, we identified 3169 CRISPR cassettes in the data, from which we constructed a set of 1302 unique repeat sequences and 36,709 spacers. A more extensive analysis was made for the CRISPR repeats: these repeats were submitted to a more comprehensive clustering and classification using the web server tool CRISPRmap. All repeats were compared with known CRISPRs in the database CRISPRdb. A total of 784 repeats had matches in the database, and the remaining 518 repeats from our set are potentially novel ones. The computational analysis of CRISPR composition based contigs of metagenome sequencing data is feasible. It provides an efficient

  17. Chromosomal targeting by CRISPR-Cas systems can contribute to genome plasticity in bacteria

    PubMed Central

    Dy, Ron L; Pitman, Andrew R; Fineran, Peter C

    2013-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and their associated (Cas) proteins form adaptive immune systems in bacteria to combat phage and other foreign genetic elements. Typically, short spacer sequences are acquired from the invader DNA and incorporated into CRISPR arrays in the bacterial genome. Small RNAs are generated that contain these spacer sequences and enable sequence-specific destruction of the foreign nucleic acids. Occasionally, spacers are acquired from the chromosome, which instead leads to targeting of the host genome. Chromosomal targeting is highly toxic to the bacterium, providing a strong selective pressure for a variety of evolutionary routes that enable host cell survival. Mutations that inactivate the CRISPR-Cas functionality, such as within the cas genes, CRISPR repeat, protospacer adjacent motifs (PAM), and target sequence, mediate escape from toxicity. This self-targeting might provide some explanation for the incomplete distribution of CRISPR-Cas systems in less than half of sequenced bacterial genomes. More importantly, self-genome targeting can cause large-scale genomic alterations, including remodeling or deletion of pathogenicity islands and other non-mobile chromosomal regions. While control of horizontal gene transfer is perceived as their main function, our recent work illuminates an alternative role of CRISPR-Cas systems in causing host genomic changes and influencing bacterial evolution. PMID:24251073

  18. Investigation of potential targets of Porphyromonas CRISPRs among the genomes of Porphyromonas species

    PubMed Central

    Shibasaki, Masaki; Maruyama, Fumito; Sekizaki, Tsutomu; Nakagawa, Ichiro

    2017-01-01

    The oral bacterial species Porphyromonas gingivalis, a periodontal pathogen, has plastic genomes that may be driven by homologous recombination with exogenous deoxyribonucleic acid (DNA) that is incorporated by natural transformation and conjugation. However, bacteriophages and plasmids, both of which are main resources of exogenous DNA, do not exist in the known P. gingivalis genomes. This could be associated with an adaptive immunity system conferred by clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated (cas) genes in P. gingivalis as well as innate immune systems such as a restriction-modification system. In a previous study, few immune targets were predicted for P. gingivalis CRISPR/Cas. In this paper, we analyzed 51 P. gingivalis genomes, which were newly sequenced, and publicly available genomes of 13 P. gingivalis and 46 other Porphyromonas species. We detected 6 CRISPR/Cas types (classified by sequence similarity of repeat) in P. gingivalis and 12 other types in the remaining species. The Porphyromonas CRISPR spacers with potential targets in the genus Porphyromonas were approximately 23 times more abundant than those with potential targets in other genus taxa (1,720/6,896 spacers vs. 74/6,896 spacers). Porphyromonas CRISPR/Cas may be involved in genome plasticity by exhibiting selective interference against intra- and interspecies nucleic acids. PMID:28837670

  19. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems.

    PubMed

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C; Fire, Andrew Z; Sánchez-Amat, Antonio

    2017-08-17

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea . One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an 'arms race' in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems.

  20. Intricate interactions between the bloom-forming cyanobacterium Microcystis aeruginosa and foreign genetic elements, revealed by diversified clustered regularly interspaced short palindromic repeat (CRISPR) signatures.

    PubMed

    Kuno, Sotaro; Yoshida, Takashi; Kaneko, Takakazu; Sako, Yoshihiko

    2012-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) confer sequence-dependent, adaptive resistance in prokaryotes against viruses and plasmids via incorporation of short sequences, called spacers, derived from foreign genetic elements. CRISPR loci are thus considered to provide records of past infections. To describe the host-parasite (i.e., cyanophages and plasmids) interactions involving the bloom-forming freshwater cyanobacterium Microcystis aeruginosa, we investigated CRISPR in four M. aeruginosa strains and in two previously sequenced genomes. The number of spacers in each locus was larger than the average among prokaryotes. All spacers were strain specific, except for a string of 11 spacers shared in two closely related strains, suggesting diversification of the loci. Using CRISPR repeat-based PCR, 24 CRISPR genotypes were identified in a natural cyanobacterial community. Among 995 unique spacers obtained, only 10 sequences showed similarity to M. aeruginosa phage Ma-LMM01. Of these, six spacers showed only silent or conservative nucleotide mutations compared to Ma-LMM01 sequences, suggesting a strategy by the cyanophage to avert CRISPR immunity dependent on nucleotide identity. These results imply that host-phage interactions can be divided into M. aeruginosa-cyanophage combinations rather than pandemics of population-wide infectious cyanophages. Spacer similarity also showed frequent exposure of M. aeruginosa to small cryptic plasmids that were observed only in a few strains. Thus, the diversification of CRISPR implies that M. aeruginosa has been challenged by diverse communities (almost entirely uncharacterized) of cyanophages and plasmids.

  1. Intricate Interactions between the Bloom-Forming Cyanobacterium Microcystis aeruginosa and Foreign Genetic Elements, Revealed by Diversified Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) Signatures

    PubMed Central

    Kuno, Sotaro; Kaneko, Takakazu; Sako, Yoshihiko

    2012-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) confer sequence-dependent, adaptive resistance in prokaryotes against viruses and plasmids via incorporation of short sequences, called spacers, derived from foreign genetic elements. CRISPR loci are thus considered to provide records of past infections. To describe the host-parasite (i.e., cyanophages and plasmids) interactions involving the bloom-forming freshwater cyanobacterium Microcystis aeruginosa, we investigated CRISPR in four M. aeruginosa strains and in two previously sequenced genomes. The number of spacers in each locus was larger than the average among prokaryotes. All spacers were strain specific, except for a string of 11 spacers shared in two closely related strains, suggesting diversification of the loci. Using CRISPR repeat-based PCR, 24 CRISPR genotypes were identified in a natural cyanobacterial community. Among 995 unique spacers obtained, only 10 sequences showed similarity to M. aeruginosa phage Ma-LMM01. Of these, six spacers showed only silent or conservative nucleotide mutations compared to Ma-LMM01 sequences, suggesting a strategy by the cyanophage to avert CRISPR immunity dependent on nucleotide identity. These results imply that host-phage interactions can be divided into M. aeruginosa-cyanophage combinations rather than pandemics of population-wide infectious cyanophages. Spacer similarity also showed frequent exposure of M. aeruginosa to small cryptic plasmids that were observed only in a few strains. Thus, the diversification of CRISPR implies that M. aeruginosa has been challenged by diverse communities (almost entirely uncharacterized) of cyanophages and plasmids. PMID:22636003

  2. CRISPR adaptation biases explain preference for acquisition of foreign DNA

    PubMed Central

    Yosef, Ido; Auster, Oren; Manor, Miriam; Amitai, Gil; Edgar, Rotem; Qimron, Udi; Sorek, Rotem

    2015-01-01

    In the process of CRISPR adaptation, short pieces of DNA (“spacers”) are acquired from foreign elements and integrated into the CRISPR array. It so far remained a mystery how spacers are preferentially acquired from the foreign DNA while the self chromosome is avoided. Here we show that spacer acquisition is replication-dependent, and that DNA breaks formed at stalled replication forks promote spacer acquisition. Chromosomal hotspots of spacer acquisition were confined by Chi sites, which are sequence octamers highly enriched on the bacterial chromosome, suggesting that these sites limit spacer acquisition from self DNA. We further show that the avoidance of “self” is mediated by the RecBCD dsDNA break repair complex. Our results suggest that in E. coli, acquisition of new spacers depends on RecBCD-mediated processing of dsDNA breaks occurring primarily at replication forks, and that the preference for foreign DNA is achieved through the higher density of Chi sites on the self chromosome, in combination with the higher number of forks on the foreign DNA. This model explains the strong preference to acquire spacers from both high copy plasmids and phages. PMID:25874675

  3. Active and adaptive Legionella CRISPR-Cas reveals a recurrent challenge to the pathogen.

    PubMed

    Rao, Chitong; Guyard, Cyril; Pelaz, Carmen; Wasserscheid, Jessica; Bondy-Denomy, Joseph; Dewar, Ken; Ensminger, Alexander W

    2016-10-01

    Clustered regularly interspaced short palindromic repeats with CRISPR-associated gene (CRISPR-Cas) systems are widely recognized as critical genome defense systems that protect microbes from external threats such as bacteriophage infection. Several isolates of the intracellular pathogen Legionella pneumophila possess multiple CRISPR-Cas systems (type I-C, type I-F and type II-B), yet the targets of these systems remain unknown. With the recent observation that at least one of these systems (II-B) plays a non-canonical role in supporting intracellular replication, the possibility remained that these systems are vestigial genome defense systems co-opted for other purposes. Our data indicate that this is not the case. Using an established plasmid transformation assay, we demonstrate that type I-C, I-F and II-B CRISPR-Cas provide protection against spacer targets. We observe efficient laboratory acquisition of new spacers under 'priming' conditions, in which initially incomplete target elimination leads to the generation of new spacers and ultimate loss of the invasive DNA. Critically, we identify the first known target of L. pneumophila CRISPR-Cas: a 30 kb episome of unknown function whose interbacterial transfer is guarded against by CRISPR-Cas. We provide evidence that the element can subvert CRISPR-Cas by mutating its targeted sequences - but that primed spacer acquisition may limit this mechanism of escape. Rather than generally impinging on bacterial fitness, this element drives a host specialization event - with improved fitness in Acanthamoeba but a reduced ability to replicate in other hosts and conditions. These observations add to a growing body of evidence that host range restriction can serve as an existential threat to L. pneumophila in the wild. © 2016 The Authors Cellular Microbiology Published by John Wiley & Sons Ltd.

  4. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems

    PubMed Central

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C

    2017-01-01

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea. One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an ‘arms race’ in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems. PMID:28826484

  5. Arrangement and number of clustered regularly interspaced short palindromic repeat spacers are associated with erythromycin susceptibility in emm12, emm75 and emm92 of group A streptococcus.

    PubMed

    Zheng, P-X; Chiang-Ni, C; Wang, S-Y; Tsai, P-J; Kuo, C-F; Chuang, W-J; Lin, Y-S; Liu, C-C; Wu, J-J

    2014-06-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are composed of numerous repeat-spacer units and are considered a prokaryotic defence system against foreign nucleic acids. Since antibiotic-resistant genes are frequently encoded in foreign nucleic acids, the aim of this study was to test whether erythromycin susceptibility in group A streptococcus (Streptococcus pyogenes) is associated with characteristics of CRISPR elements. Erythromycin susceptibility of 330 isolates collected between 1997 and 2003 was analysed. Among 29 emm types, emm12, emm75 and emm92 showed significant changes in erythromycin-resistance rates. By sequencing the spacers from two CRISPR loci, spacer contents in emm12, emm75 and emm92 strains were associated with erythromycin susceptibility. Strains with fewer spacers were more resistant to erythromycin. Moreover, in emm4 strains, which showed no significant change in their annual erythromycin-resistance rate, CRISPR type and number of spacers were not correlated with erythromycin susceptibility. These results highlight a novel association between CRISPR spacer content and erythromycin susceptibility in group A streptococcus. © 2013 The Authors Clinical Microbiology and Infection © 2013 European Society of Clinical Microbiology and Infectious Diseases.

  6. Cas9 specifies functional viral targets during CRISPR-Cas adaptation.

    PubMed

    Heler, Robert; Samai, Poulami; Modell, Joshua W; Weiner, Catherine; Goldberg, Gregory W; Bikard, David; Marraffini, Luciano A

    2015-03-12

    Clustered regularly interspaced short palindromic repeat (CRISPR) loci and their associated (Cas) proteins provide adaptive immunity against viral infection in prokaryotes. Upon infection, short phage sequences known as spacers integrate between CRISPR repeats and are transcribed into small RNA molecules that guide the Cas9 nuclease to the viral targets (protospacers). Streptococcus pyogenes Cas9 cleavage of the viral genome requires the presence of a 5'-NGG-3' protospacer adjacent motif (PAM) sequence immediately downstream of the viral target. It is not known whether and how viral sequences flanked by the correct PAM are chosen as new spacers. Here we show that Cas9 selects functional spacers by recognizing their PAM during spacer acquisition. The replacement of cas9 with alleles that lack the PAM recognition motif or recognize an NGGNG PAM eliminated or changed PAM specificity during spacer acquisition, respectively. Cas9 associates with other proteins of the acquisition machinery (Cas1, Cas2 and Csn2), presumably to provide PAM-specificity to this process. These results establish a new function for Cas9 in the genesis of prokaryotic immunological memory.

  7. Multidrug-resistant enterococci lack CRISPR-cas.

    PubMed

    Palmer, Kelli L; Gilmore, Michael S

    2010-10-12

    Clustered, regularly interspaced short palindromic repeats (CRISPR) provide bacteria and archaea with sequence-specific, acquired defense against plasmids and phage. Because mobile elements constitute up to 25% of the genome of multidrug-resistant (MDR) enterococci, it was of interest to examine the codistribution of CRISPR and acquired antibiotic resistance in enterococcal lineages. A database was built from 16 Enterococcus faecalis draft genome sequences to identify commonalities and polymorphisms in the location and content of CRISPR loci. With this data set, we were able to detect identities between CRISPR spacers and sequences from mobile elements, including pheromone-responsive plasmids and phage, suggesting that CRISPR regulates the flux of these elements through the E. faecalis species. Based on conserved locations of CRISPR and CRISPR-cas loci and the discovery of a new CRISPR locus with associated functional genes, CRISPR3-cas, we screened additional E. faecalis strains for CRISPR content, including isolates predating the use of antibiotics. We found a highly significant inverse correlation between the presence of a CRISPR-cas locus and acquired antibiotic resistance in E. faecalis, and examination of an additional eight E. faecium genomes yielded similar results for that species. A mechanism for CRISPR-cas loss in E. faecalis was identified. The inverse relationship between CRISPR-cas and antibiotic resistance suggests that antibiotic use inadvertently selects for enterococcal strains with compromised genome defense.

  8. Clustered regularly interspaced short palindromic repeats (CRISPRs) analysis of members of the Mycobacterium tuberculosis complex.

    PubMed

    Botelho, Ana; Canto, Ana; Leão, Célia; Cunha, Mónica V

    2015-01-01

    Typical CRISPR (clustered, regularly interspaced, short palindromic repeat) regions are constituted by short direct repeats (DRs), interspersed with similarly sized non-repetitive spacers, derived from transmissible genetic elements, acquired when the cell is challenged with foreign DNA. The analysis of the structure, in number and nature, of CRISPR spacers is a valuable tool for molecular typing since these loci are polymorphic among strains, originating characteristic signatures. The existence of CRISPR structures in the genome of the members of Mycobacterium tuberculosis complex (MTBC) enabled the development of a genotyping method, based on the analysis of the presence or absence of 43 oligonucleotide spacers separated by conserved DRs. This method, called spoligotyping, consists on PCR amplification of the DR chromosomal region and recognition after hybridization of the spacers that are present. The workflow beneath this methodology implies that the PCR products are brought onto a membrane containing synthetic oligonucleotides that have complementary sequences to the spacer sequences. Lack of hybridization of the PCR products to a specific oligonucleotide sequence indicates absence of the correspondent spacer sequence in the examined strain. Spoligotyping gained great notoriety as a robust identification and typing tool for members of MTBC, enabling multiple epidemiological studies on human and animal tuberculosis.

  9. CRF: detection of CRISPR arrays using random forest.

    PubMed

    Wang, Kai; Liang, Chun

    2017-01-01

    CRISPRs (clustered regularly interspaced short palindromic repeats) are particular repeat sequences found in wide range of bacteria and archaea genomes. Several tools are available for detecting CRISPR arrays in the genomes of both domains. Here we developed a new web-based CRISPR detection tool named CRF (CRISPR Finder by Random Forest). Different from other CRISPR detection tools, a random forest classifier was used in CRF to filter out invalid CRISPR arrays from all putative candidates and accordingly enhanced detection accuracy. In CRF, particularly, triplet elements that combine both sequence content and structure information were extracted from CRISPR repeats for classifier training. The classifier achieved high accuracy and sensitivity. Moreover, CRF offers a highly interactive web interface for robust data visualization that is not available among other CRISPR detection tools. After detection, the query sequence, CRISPR array architecture, and the sequences and secondary structures of CRISPR repeats and spacers can be visualized for visual examination and validation. CRF is freely available at http://bioinfolab.miamioh.edu/crf/home.php.

  10. Clustered, regularly interspaced short palindromic repeat (CRISPR) diversity and virulence factor distribution in avian Escherichia coli.

    PubMed

    Fu, Qiang; Su, Zhixin; Cheng, Yuqiang; Wang, Zhaofei; Li, Shiyu; Wang, Heng'an; Sun, Jianhe; Yan, Yaxian

    In order to investigate the diverse characteristics of clustered, regularly interspaced short palindromic repeat (CRISPR) arrays and the distribution of virulence factor genes in avian Escherichia coli, 80 E. coli isolates obtained from chickens with avian pathogenic E. coli (APEC) or avian fecal commensal E. coli (AFEC) were identified. Using the multiplex polymerase chain reaction (PCR), five genes were subjected to phylogenetic typing and examined for CRISPR arrays to study genetic relatedness among the strains. The strains were further analyzed for CRISPR loci and virulence factor genes to determine a possible association between their CRISPR elements and their potential virulence. The strains were divided into five phylogenetic groups: A, B1, B2, D and E. It was confirmed that two types of CRISPR arrays, CRISPR1 and CRISPR2, which contain up to 246 distinct spacers, were amplified in most of the strains. Further classification of the isolates was achieved by sorting them into nine CRISPR clusters based on their spacer profiles, which indicates a candidate typing method for E. coli. Several significant differences in invasion-associated gene distribution were found between the APEC isolates and the AFEC isolates. Our results identified the distribution of 11 virulence genes and CRISPR diversity in 80 strains. It was demonstrated that, with the exception of iucD and aslA, there was no sharp demarcation in the gene distribution between the pathogenic (APEC) and commensal (AFEC) strains, while the total number of indicated CRISPR spacers may have a positive correlation with the potential pathogenicity of the E. coli isolates. Copyright © 2016. Published by Elsevier Masson SAS.

  11. Inhibition of CRISPR-Cas9 with Bacteriophage Proteins.

    PubMed

    Rauch, Benjamin J; Silvis, Melanie R; Hultquist, Judd F; Waters, Christopher S; McGregor, Michael J; Krogan, Nevan J; Bondy-Denomy, Joseph

    2017-01-12

    Bacterial CRISPR-Cas systems utilize sequence-specific RNA-guided nucleases to defend against bacteriophage infection. As a countermeasure, numerous phages are known that produce proteins to block the function of class 1 CRISPR-Cas systems. However, currently no proteins are known to inhibit the widely used class 2 CRISPR-Cas9 system. To find these inhibitors, we searched cas9-containing bacterial genomes for the co-existence of a CRISPR spacer and its target, a potential indicator for CRISPR inhibition. This analysis led to the discovery of four unique type II-A CRISPR-Cas9 inhibitor proteins encoded by Listeria monocytogenes prophages. More than half of L. monocytogenes strains with cas9 contain at least one prophage-encoded inhibitor, suggesting widespread CRISPR-Cas9 inactivation. Two of these inhibitors also blocked the widely used Streptococcus pyogenes Cas9 when assayed in Escherichia coli and human cells. These natural Cas9-specific "anti-CRISPRs" present tools that can be used to regulate the genome engineering activities of CRISPR-Cas9. Copyright © 2017 Elsevier Inc. All rights reserved.

  12. CRISPR-Cas: biology, mechanisms and relevance

    PubMed Central

    Hille, Frank

    2016-01-01

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes—termed spacers—into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent. This article is part of the themed issue ‘The new bacteriology’. PMID:27672148

  13. Sequences spanning the leader-repeat junction mediate CRISPR adaptation to phage in Streptococcus thermophilus

    PubMed Central

    Wei, Yunzhou; Chesne, Megan T.; Terns, Rebecca M.; Terns, Michael P.

    2015-01-01

    CRISPR-Cas systems are RNA-based immune systems that protect prokaryotes from invaders such as phages and plasmids. In adaptation, the initial phase of the immune response, short foreign DNA fragments are captured and integrated into host CRISPR loci to provide heritable defense against encountered foreign nucleic acids. Each CRISPR contains a ∼100–500 bp leader element that typically includes a transcription promoter, followed by an array of captured ∼35 bp sequences (spacers) sandwiched between copies of an identical ∼35 bp direct repeat sequence. New spacers are added immediately downstream of the leader. Here, we have analyzed adaptation to phage infection in Streptococcus thermophilus at the CRISPR1 locus to identify cis-acting elements essential for the process. We show that the leader and a single repeat of the CRISPR locus are sufficient for adaptation in this system. Moreover, we identified a leader sequence element capable of stimulating adaptation at a dormant repeat. We found that sequences within 10 bp of the site of integration, in both the leader and repeat of the CRISPR, are required for the process. Our results indicate that information at the CRISPR leader-repeat junction is critical for adaptation in this Type II-A system and likely other CRISPR-Cas systems. PMID:25589547

  14. Uropathogenic Escherichia coli are less likely than paired fecal E. coli to have CRISPR loci.

    PubMed

    Dang, Trang Nguyen Doan; Zhang, Lixin; Zöllner, Sebastian; Srinivasan, Usha; Abbas, Khadija; Marrs, Carl F; Foxman, Betsy

    2013-10-01

    CRISPRs (Clustered Regularly Interspaced Short Palindromic Repeats) are short fragments of DNA that act as an adaptive immune system protecting bacteria against invasion by phages, plasmids or other forms of foreign DNA. Bacteria without a CRISPR locus may more readily adapt to environmental changes by acquiring foreign genetic material. Uropathogenic Escherichia coli (UPEC) live in a number of environments suggesting an ability to rapidly adapt to new environments. If UPEC are more adaptive than commensal E. coli we would expect that UPEC would have fewer CRISPR loci, and--if loci are present--that they would harbor fewer spacers than CRISPR loci in fecal E. coli. We tested this in vivo by comparing the number of CRISPR loci and spacers, and sensitivity to antibiotics (resistance is often obtained via plasmids) among 81 pairs of UPEC and fecal E. coli isolated from women with urinary tract infection. Each pair included one uropathogen and one commensal (fecal) sample from the same female patient. Fecal isolates had more repeats (p=0.009) and more unique spacers (p<0.0001) at four CRISPR loci than uropathogens. By contrast, uropathogens were more likely than fecal E. coli to be resistant to ampicillin, cefazolin and trimethoprim/sulfamethoxazole. However, no consistent association between CRISPRs and antibiotic resistance was identified. To our knowledge, this is the first study to compare fecal E. coli and pathogenic E. coli from the same individuals, and to test the association of CRISPR loci with antibiotic resistance. Our results suggest that the absence of CRISPR loci may make UPEC more susceptible to infection by phages or plasmids and allow them to adapt more quickly to various environments. Copyright © 2013 Elsevier B.V. All rights reserved.

  15. Non-Identity-Mediated CRISPR-Bacteriophage Interaction Mediated via the Csy and Cas3 Proteins ▿#

    PubMed Central

    Cady, Kyle C.; O'Toole, George A.

    2011-01-01

    Studies of the Escherichia, Neisseria, Thermotoga, and Mycobacteria clustered regularly interspaced short palindromic repeat (CRISPR) subtypes have resulted in a model whereby CRISPRs function as a defense system against bacteriophage infection and conjugative plasmid transfer. In contrast, we previously showed that the Yersinia-subtype CRISPR region of Pseudomonas aeruginosa strain UCBPP-PA14 plays no detectable role in viral immunity but instead is required for bacteriophage DMS3-dependent inhibition of biofilm formation by P. aeruginosa. The goal of this study is to define the components of the Yersinia-subtype CRISPR region required to mediate this bacteriophage-host interaction. We show that the Yersinia-subtype-specific CRISPR-associated (Cas) proteins Csy4 and Csy2 are essential for small CRISPR RNA (crRNA) production in vivo, while the Csy1 and Csy3 proteins are not absolutely required for production of these small RNAs. Further, we present evidence that the core Cas protein Cas3 functions downstream of small crRNA production and that this protein requires functional HD (predicted phosphohydrolase) and DEXD/H (predicted helicase) domains to suppress biofilm formation in DMS3 lysogens. We also determined that only spacer 1, which is not identical to any region of the DMS3 genome, mediates the CRISPR-dependent loss of biofilm formation. Our evidence suggests that gene 42 of phage DMS3 (DMS3-42) is targeted by CRISPR2 spacer 1 and that this targeting tolerates multiple point mutations between the spacer and DMS3-42 target sequence. This work demonstrates how the interaction between P. aeruginosa strain UCBPP-PA14 and bacteriophage DMS3 can be used to further our understanding of the diverse roles of CRISPR system function in bacteria. PMID:21398535

  16. Comparative Analysis of the Orphan CRISPR2 Locus in 242 Enterococcus faecalis Strains

    PubMed Central

    Hullahalli, Karthik; Rodrigues, Marinelle; Schmidt, Brendan D.; Li, Xiang; Bhardwaj, Pooja; Palmer, Kelli L.

    2015-01-01

    Clustered, Regularly Interspaced Short Palindromic Repeats and their associated Cas proteins (CRISPR-Cas) provide prokaryotes with a mechanism for defense against mobile genetic elements (MGEs). A CRISPR locus is a molecular memory of MGE encounters. It contains an array of short sequences, called spacers, that generally have sequence identity to MGEs. Three different CRISPR loci have been identified among strains of the opportunistic pathogen Enterococcus faecalis. CRISPR1 and CRISPR3 are associated with the cas genes necessary for blocking MGEs, but these loci are present in only a subset of E. faecalis strains. The orphan CRISPR2 lacks cas genes and is ubiquitous in E. faecalis, although its spacer content varies from strain to strain. Because CRISPR2 is a variable locus occurring in all E. faecalis, comparative analysis of CRISPR2 sequences may provide information about the clonality of E. faecalis strains. We examined CRISPR2 sequences from 228 E. faecalis genomes in relationship to subspecies phylogenetic lineages (sequence types; STs) determined by multilocus sequence typing (MLST), and to a genome phylogeny generated for a representative 71 genomes. We found that specific CRISPR2 sequences are associated with specific STs and with specific branches on the genome tree. To explore possible applications of CRISPR2 analysis, we evaluated 14 E. faecalis bloodstream isolates using CRISPR2 analysis and MLST. CRISPR2 analysis identified two groups of clonal strains among the 14 isolates, an assessment that was confirmed by MLST. CRISPR2 analysis was also used to accurately predict the ST of a subset of isolates. We conclude that CRISPR2 analysis, while not a replacement for MLST, is an inexpensive method to assess clonality among E. faecalis isolates, and can be used in conjunction with MLST to identify recombination events occurring between STs. PMID:26398194

  17. [A surveillance study on CRISPR/Cas molecular biomarker in Escherichia coli].

    PubMed

    Liang, W J; Zhang, R G; Duan, G C; Hong, L J; Zhang, B; Xi, Y L; Yang, H Y; Chen, S Y; Lou, T Y; Zhao, Y X

    2016-08-10

    A new method related to molecular biomarker with CRISPR/Cas (clustered regularly interspaced short palindromic repeats-cas) in Escherichia (E.) coli was developed and used for surveillance programs. CRISPR/Cas sequence that containing 135 strains with complete sequence and 203 strains with whole genome shotgun sequence of E. coli in GenBank by BLAST and 361 strains of E. coli (including 38 strains of E. coli O157∶H7) in laboratory were identified by PCR and analyzed with the CRISPR Finder. Spacers were compared with DANMAN and the phylogenetic trees of cas gene were constructed under Clustal Ⅹ and Mega 5.1. With new perspective, a descriptive method was developed targeting on the position of CRISPR/cas in E. coli. The CRISPR1 was detected in 77.04%, 100.00% and 75.62% and the CRISPR2 was detected in 74.81%, 100.00% and 92.24% and the CRISPR3 and CRISPR4 were detected in 11.85%, 0 and 1.39% for 135 strains with complete sequence, 203 strains with whole genome shotgun sequence and 361 strains in the laboratory, respectively. One strain downloaded in GenBank with whole genome sequencing and 2 strains in the our laboratory were identified that containing four CRISPR locus. The other E. coli strain was with insertion sequence in downstream of the non-cas CRISPR1. The unique CRISPR was found in 8 strains of O55∶H7, in 180 strains of O157∶H7, in 8 strains of O157∶HNM, in 40 strains of O104∶H4, in 4 strains of O145∶H28, in all the 699 E. coli strains. The phylogenetic tree could be divided into two groups-cas with type I-E or type I-F. CRISPR/Cas might be used as a valuable molecular biomarker in epidemiological surveillance studies to identify the high virulent strains or new strains of E. coli. Phage night be related to the missing or obtaining of spacers.

  18. Molecular identification and characterization of clustered regularly interspaced short palindromic repeats (CRISPRs) in a urease-positive thermophilic Campylobacter sp. (UPTC).

    PubMed

    Tasaki, E; Hirayama, J; Tazumi, A; Hayashi, K; Hara, Y; Ueno, H; Moore, J E; Millar, B C; Matsuda, M

    2012-02-01

    Novel clustered regularly-interspaced short palindromic repeats (CRISPRs) locus [7,500 base pairs (bp) in length] occurred in the urease-positive thermophilic Campylobacter (UPTC) Japanese isolate, CF89-12. The 7,500 bp gene loci consisted of the 5'-methylaminomethyl-2-thiouridylate methyltransferase gene, putative (P) CRISPR associated (p-Cas), putative open reading frames, Cas1 and Cas2, leader sequence region (146 bp), 12 CRISPRs consensus sequence repeats (each 36 bp) separated by a non-repetitive unique spacer region of similar length (26-31 bp) and the phosphatidyl glycerophosphatase A gene. When the CRISPRs loci in the UPTC CF89-12 and five C. jejuni isolates were compared with one another, these six isolates contained p-Cas, Cas1 and Cas2 within the loci. Four to 12 CRISPRs consensus sequence repeats separated by a non-repetitive unique spacer region occurred in six isolates and the nucleotide sequences of those repeats gave approximately 92-100% similarity with each other. However, no sequence similarity occurred in the unique spacer regions among these isolates. The putative σ(70) transcriptional promoter and the hypothetical ρ-independent terminator structures for the CRISPRs and Cas were detected. No in vivo transcription of p-Cas, Cas1 and Cas2 was confirmed in the UPTC cells.

  19. [Comparative genomics and evolutionary analysis of CRISPR loci in acetic acid bacteria].

    PubMed

    Xia, Kai; Liang, Xin-le; Li, Yu-dong

    2015-12-01

    The clustered regularly interspaced short palindromic repeat (CRISPR) is a widespread adaptive immunity system that exists in most archaea and many bacteria against foreign DNA, such as phages, viruses and plasmids. In general, CRISPR system consists of direct repeat, leader, spacer and CRISPR-associated sequences. Acetic acid bacteria (AAB) play an important role in industrial fermentation of vinegar and bioelectrochemistry. To investigate the polymorphism and evolution pattern of CRISPR loci in acetic acid bacteria, bioinformatic analyses were performed on 48 species from three main genera (Acetobacter, Gluconacetobacter and Gluconobacter) with whole genome sequences available from the NCBI database. The results showed that the CRISPR system existed in 32 species of the 48 strains studied. Most of the CRISPR-Cas system in AAB belonged to type I CRISPR-Cas system (subtype E and C), but type II CRISPR-Cas system which contain cas9 gene was only found in the genus Acetobacter and Gluconacetobacter. The repeat sequences of some CRISPR were highly conserved among species from different genera, and the leader sequences of some CRISPR possessed conservative motif, which was associated with regulated promoters. Moreover, phylogenetic analysis of cas1 demonstrated that they were suitable for classification of species. The conservation of cas1 genes was associated with that of repeat sequences among different strains, suggesting they were subjected to similar functional constraints. Moreover, the number of spacer was positively correlated with the number of prophages and insertion sequences, indicating the acetic acid bacteria were continually invaded by new foreign DNA. The comparative analysis of CRISR loci in acetic acid bacteria provided the basis for investigating the molecular mechanism of different acetic acid tolerance and genome stability in acetic acid bacteria.

  20. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa.

    PubMed

    van Belkum, Alex; Soriaga, Leah B; LaFave, Matthew C; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S; Richardson, Toby H; Peterson, Todd C; Hubby, Bolyn; Cady, Kyle C

    2015-11-24

    Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. P. aeruginosa is both an antibiotic-refractory pathogen and an important model system for type I CRISPR-Cas bacterial immune systems. By combining the genome sequences of 672 newly and previously sequenced genomes, we were able to provide a global view of the phylogenetic distribution, conservation, and potential targets of these systems. This analysis identified a new and putatively mobile P. aeruginosa CRISPR-Cas subtype, characterized the diverse distribution of known CRISPR-inhibiting genes, and

  1. Diversity, evolution, and functionality of clustered regularly interspaced short palindromic repeat (CRISPR) regions in the fire blight pathogen Erwinia amylovora.

    PubMed

    Rezzonico, Fabio; Smits, Theo H M; Duffy, Brion

    2011-06-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/Cas system confers acquired heritable immunity against mobile nucleic acid elements in prokaryotes, limiting phage infection and horizontal gene transfer of plasmids. In CRISPR arrays, characteristic repeats are interspersed with similarly sized nonrepetitive spacers derived from transmissible genetic elements and acquired when the cell is challenged with foreign DNA. New spacers are added sequentially and the number and type of CRISPR units can differ among strains, providing a record of phage/plasmid exposure within a species and giving a valuable typing tool. The aim of this work was to investigate CRISPR diversity in the highly homogeneous species Erwinia amylovora, the causal agent of fire blight. A total of 18 CRISPR genotypes were defined within a collection of 37 cosmopolitan strains. Strains from Spiraeoideae plants clustered in three major groups: groups II and III were composed exclusively of bacteria originating from the United States, whereas group I generally contained strains of more recent dissemination obtained in Europe, New Zealand, and the Middle East. Strains from Rosoideae and Indian hawthorn (Rhaphiolepis indica) clustered separately and displayed a higher intrinsic diversity than that of isolates from Spiraeoideae plants. Reciprocal exclusion was generally observed between plasmid content and cognate spacer sequences, supporting the role of the CRISPR/Cas system in protecting against foreign DNA elements. However, in several group III strains, retention of plasmid pEU30 is inconsistent with a functional CRISPR/Cas system.

  2. Physical mode of bacteria and virus coevolution

    NASA Astrophysics Data System (ADS)

    Han, Pu; Niestemski, Liang; Deem, Michael

    2013-03-01

    Single-cell hosts such as bacteria or archaea possess an adaptive, heritable immune system that protects them from viral invasion. This system, known as the CRISPR-Cas system, allows the host to recognize and incorporate short foreign DNA or RNA sequences from viruses or plasmids. The sequences form what are called ``spacers'' in the CRISPR. Spacers in the CRISPR loci provide a record of the host and predator coevolution history. We develop a physical model to study the dynamics of this coevolution due to immune pressure. Hosts and viruses reproduce, die, and evolve due to viral infection pressure, host immune pressure, and mutation. We will discuss the differing effects of point mutation and recombination on CRISPR evolution. We will also discuss the effect of different spacer deletion mechanisms. We will describe population structure of hosts and viruses, how spacer diversity depends on position within CRISPR, and match of the CRISPR spacers to the virus population.

  3. Evolution of the CRISPR-Cas adaptive immunity systems in prokaryotes: models and observations on virus-host coevolution.

    PubMed

    Koonin, Eugene V; Wolf, Yuri I

    2015-01-01

    CRISPR-Cas is an adaptive immunity system in prokaryotes that functions via a unique mechanism which involves incorporation of foreign DNA fragments into CRISPR arrays and subsequent utilization of transcripts of these inserts (known as spacers) as guide RNAs to cleave the cognate selfish element genome. Multiple attempts have been undertaken to explore the coevolution of viruses and microbial hosts carrying CRISPR-Cas using mathematical models that employ either systems of differential equations or an agent-based approach, or combinations thereof. Analysis of these models reveals highly complex co-evolutionary dynamics that ensues from the combination of the heritability of the CRISPR-mediated adaptive immunity with the existence of different degrees of immunity depending on the number of cognate spacers and the cost of carrying a CRISPR-Cas locus. Depending on the details of the models, a variety of testable, sometimes conflicting predictions have been made on the dependence of the degree of immunity and the benefit of maintaining CRISPR-Cas on the abundance and diversity of hosts and viruses. Some of these predictions have already been directly validated experimentally. In particular, both the reality of the virus-host arms race, with viruses escaping resistance and hosts reacquiring it through the capture of new spacers, and the fitness cost of CRISPR-Cas due to the curtailment of beneficial HGT have been reproduced in the laboratory. However, to test the predictions of the models more specifically, detailed studies of coevolving populations of microbes and viruses both in nature and in the laboratory are essential. Such analyses are expected to yield disagreements with the predictions of the current, oversimplified models and to trigger a new round of theoretical developments.

  4. Comparisons of clustered regularly interspaced short palindromic repeats and viromes in human saliva reveal bacterial adaptations to salivary viruses.

    PubMed

    Pride, David T; Salzman, Julia; Relman, David A

    2012-09-01

    Explorations of human microbiota have provided substantial insight into microbial community composition; however, little is known about interactions between various microbial components in human ecosystems. In response to the powerful impact of viral predation, bacteria have acquired potent defences, including an adaptive immune response based on the clustered regularly interspaced short palindromic repeats (CRISPRs)/Cas system. To improve our understanding of the interactions between bacteria and their viruses in humans, we analysed 13 977 streptococcal CRISPR sequences and compared them with 2 588 172 virome reads in the saliva of four human subjects over 17 months. We found a diverse array of viruses and CRISPR spacers, many of which were specific to each subject and time point. There were numerous viral sequences matching CRISPR spacers; these matches were highly specific for salivary viruses. We determined that spacers and viruses coexist at the same time, which suggests that streptococcal CRISPR/Cas systems are under constant pressure from salivary viruses. CRISPRs in some subjects were just as likely to match viral sequences from other subjects as they were to match viruses from the same subject. Because interactions between bacteria and viruses help to determine the structure of bacterial communities, CRISPR-virus analyses are likely to provide insight into the forces shaping the human microbiome. © 2012 Society for Applied Microbiology and Blackwell Publishing Ltd.

  5. Diversity, Evolution, and Functionality of Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) Regions in the Fire Blight Pathogen Erwinia amylovora▿†

    PubMed Central

    Rezzonico, Fabio; Smits, Theo H. M.; Duffy, Brion

    2011-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/Cas system confers acquired heritable immunity against mobile nucleic acid elements in prokaryotes, limiting phage infection and horizontal gene transfer of plasmids. In CRISPR arrays, characteristic repeats are interspersed with similarly sized nonrepetitive spacers derived from transmissible genetic elements and acquired when the cell is challenged with foreign DNA. New spacers are added sequentially and the number and type of CRISPR units can differ among strains, providing a record of phage/plasmid exposure within a species and giving a valuable typing tool. The aim of this work was to investigate CRISPR diversity in the highly homogeneous species Erwinia amylovora, the causal agent of fire blight. A total of 18 CRISPR genotypes were defined within a collection of 37 cosmopolitan strains. Strains from Spiraeoideae plants clustered in three major groups: groups II and III were composed exclusively of bacteria originating from the United States, whereas group I generally contained strains of more recent dissemination obtained in Europe, New Zealand, and the Middle East. Strains from Rosoideae and Indian hawthorn (Rhaphiolepis indica) clustered separately and displayed a higher intrinsic diversity than that of isolates from Spiraeoideae plants. Reciprocal exclusion was generally observed between plasmid content and cognate spacer sequences, supporting the role of the CRISPR/Cas system in protecting against foreign DNA elements. However, in several group III strains, retention of plasmid pEU30 is inconsistent with a functional CRISPR/Cas system. PMID:21460108

  6. Crystal structure of clustered regularly interspaced short palindromic repeats (CRISPR)-associated Csn2 protein revealed Ca2+-dependent double-stranded DNA binding activity.

    PubMed

    Nam, Ki Hyun; Kurinov, Igor; Ke, Ailong

    2011-09-02

    Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated protein genes (cas genes) are widespread in bacteria and archaea. They form a line of RNA-based immunity to eradicate invading bacteriophages and malicious plasmids. A key molecular event during this process is the acquisition of new spacers into the CRISPR loci to guide the selective degradation of the matching foreign genetic elements. Csn2 is a Nmeni subtype-specific cas gene required for new spacer acquisition. Here we characterize the Enterococcus faecalis Csn2 protein as a double-stranded (ds-) DNA-binding protein and report its 2.7 Å tetrameric ring structure. The inner circle of the Csn2 tetrameric ring is ∼26 Å wide and populated with conserved lysine residues poised for nonspecific interactions with ds-DNA. Each Csn2 protomer contains an α/β domain and an α-helical domain; significant hinge motion was observed between these two domains. Ca(2+) was located at strategic positions in the oligomerization interface. We further showed that removal of Ca(2+) ions altered the oligomerization state of Csn2, which in turn severely decreased its affinity for ds-DNA. In summary, our results provided the first insight into the function of the Csn2 protein in CRISPR adaptation by revealing that it is a ds-DNA-binding protein functioning at the quaternary structure level and regulated by Ca(2+) ions.

  7. The Reverse Transcriptases Associated with CRISPR-Cas Systems.

    PubMed

    Toro, Nicolás; Martínez-Abarca, Francisco; González-Delgado, Alejandro

    2017-08-02

    CRISPR (clustered regularly interspaced short palindromic repeats) and associated proteins (Cas) act as adaptive immune systems in bacteria and archaea. Some CRISPR-Cas systems have been found to be associated with putative reverse transcriptases (RT), and an RT-Cas1 fusion associated with a type III-B system has been shown to acquire RNA spacers in vivo. Nevertheless, the origin and evolutionary relationships of these RTs and associated CRISPR-Cas systems remain largely unknown. We performed a comprehensive phylogenetic analysis of these RTs and associated Cas1 proteins, and classified their CRISPR-Cas modules. These systems were found predominantly in bacteria, and their presence in archaea may be due to a horizontal gene transfer event. These RTs cluster into 12 major clades essentially restricted to particular phyla, suggesting host-dependent functioning. The RTs and associated Cas1 proteins may have largely coevolved. They are, therefore, subject to the same selection pressures, which may have led to coadaptation within particular protein complexes. Furthermore, our results indicate that the association of an RT with a CRISPR-Cas system has occurred on multiple occasions during evolution.

  8. Predominance of Single Prophage Carrying a CRISPR/cas System in "Candidatus Liberibacter asiaticus" Strains in Southern China.

    PubMed

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    "Candidatus Liberibacter asiaticus" (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the "Ca. Liberibacter" genera.

  9. The role of CRISPR-Cas systems in virulence of pathogenic bacteria.

    PubMed

    Louwen, Rogier; Staals, Raymond H J; Endtz, Hubert P; van Baarlen, Peter; van der Oost, John

    2014-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular.

  10. Analysis of the type II-A CRISPR-Cas system of Streptococcus agalactiae reveals distinctive features according to genetic lineages

    PubMed Central

    Lier, Clément; Baticle, Elodie; Horvath, Philippe; Haguenoer, Eve; Valentin, Anne-Sophie; Glaser, Philippe; Mereghetti, Laurent; Lanotte, Philippe

    2015-01-01

    CRISPR-Cas systems (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) are found in 90% of archaea and about 40% of bacteria. In this original system, CRISPR arrays comprise short, almost unique sequences called spacers that are interspersed with conserved palindromic repeats. These systems play a role in adaptive immunity and participate to fight non-self DNA such as integrative and conjugative elements, plasmids, and phages. In Streptococcus agalactiae, a bacterium implicated in colonization and infections in humans since the 1960s, two CRISPR-Cas systems have been described. A type II-A system, characterized by proteins Cas9, Cas1, Cas2, and Csn2, is ubiquitous, and a type I–C system, with the Cas8c signature protein, is present in about 20% of the isolates. Unlike type I–C, which appears to be non-functional, type II-A appears fully functional. Here we studied type II-A CRISPR-cas loci from 126 human isolates of S. agalactiae belonging to different clonal complexes that represent the diversity of the species and that have been implicated in colonization or infection. The CRISPR-cas locus was analyzed both at spacer and repeat levels. Major distinctive features were identified according to the phylogenetic lineages previously defined by multilocus sequence typing, especially for the sequence type (ST) 17, which is considered hypervirulent. Among other idiosyncrasies, ST-17 shows a significantly lower number of spacers in comparison with other lineages. This characteristic could reflect the peculiar virulence or colonization specificities of this lineage. PMID:26124774

  11. Exploiting endogenous CRISPR-Cas system for multiplex genome editing in Clostridium tyrobutyricum and engineer the strain for high-level butanol production.

    PubMed

    Zhang, Jie; Zong, Wenming; Hong, Wei; Zhang, Zhong-Tian; Wang, Yi

    2018-03-09

    Although CRISPR-Cas9/Cpf1 have been employed as powerful genome engineering tools, heterologous CRISPR-Cas9/Cpf1 are often difficult to introduce into bacteria and archaea due to their severe toxicity. Since most prokaryotes harbor native CRISPR-Cas systems, genome engineering can be achieved by harnessing these endogenous immune systems. Here, we report the exploitation of Type I-B CRISPR-Cas of Clostridium tyrobutyricum for genome engineering. In silico CRISPR array analysis and plasmid interference assay revealed that TCA or TCG at the 5'-end of the protospacer was the functional protospacer adjacent motif (PAM) for CRISPR targeting. With a lactose inducible promoter for CRISPR array expression, we significantly decreased the toxicity of CRISPR-Cas and enhanced the transformation efficiency, and successfully deleted spo0A with an editing efficiency of 100%. We further evaluated effects of the spacer length on genome editing efficiency. Interestingly, spacers ≤ 20 nt led to unsuccessful transformation consistently, likely due to severe off-target effects; while a spacer of 30-38 nt is most appropriate to ensure successful transformation and high genome editing efficiency. Moreover, multiplex genome editing for the deletion of spo0A and pyrF was achieved in a single transformation, with an editing efficiency of up to 100%. Finally, with the integration of the alcohol dehydrogenase gene (adhE1 or adhE2) to replace cat1 (the key gene responsible for butyrate production and previously could not be deleted), two mutants were created for n-butanol production, with the butanol titer reached historically record high of 26.2 g/L in a batch fermentation. Altogether, our results demonstrated the easy programmability and high efficiency of endogenous CRISPR-Cas. The developed protocol herein has a broader applicability to other prokaryotes containing endogenous CRISPR-Cas systems. C. tyrobutyricum could be employed as an excellent platform to be engineered for biofuel

  12. Predominance of Single Prophage Carrying a CRISPR/cas System in “Candidatus Liberibacter asiaticus” Strains in Southern China

    PubMed Central

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    “Candidatus Liberibacter asiaticus” (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the “Ca. Liberibacter” genera. PMID:26741827

  13. CRISPR Typing and Subtyping for Improved Laboratory Surveillance of Salmonella Infections

    PubMed Central

    Fabre, Laëtitia; Zhang, Jian; Guigon, Ghislaine; Le Hello, Simon; Guibert, Véronique; Accou-Demartin, Marie; de Romans, Saïana; Lim, Catherine; Roux, Chrystelle; Passet, Virginie; Diancourt, Laure; Guibourdenche, Martine; Issenhuth-Jeanjean, Sylvie; Achtman, Mark; Brisse, Sylvain; Sola, Christophe; Weill, François-Xavier

    2012-01-01

    Laboratory surveillance systems for salmonellosis should ideally be based on the rapid serotyping and subtyping of isolates. However, current typing methods are limited in both speed and precision. Using 783 strains and isolates belonging to 130 serotypes, we show here that a new family of DNA repeats named CRISPR (clustered regularly interspaced short palindromic repeats) is highly polymorphic in Salmonella. We found that CRISPR polymorphism was strongly correlated with both serotype and multilocus sequence type. Furthermore, spacer microevolution discriminated between subtypes within prevalent serotypes, making it possible to carry out typing and subtyping in a single step. We developed a high-throughput subtyping assay for the most prevalent serotype, Typhimurium. An open web-accessible database was set up, providing a serotype/spacer dictionary and an international tool for strain tracking based on this innovative, powerful typing and subtyping tool. PMID:22623967

  14. New CRISPR-Cas systems from uncultivated microbes

    NASA Astrophysics Data System (ADS)

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.; Probst, Alexander J.; Anantharaman, Karthik; Thomas, Brian C.; Doudna, Jennifer A.; Banfield, Jillian F.

    2017-02-01

    CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNA extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.

  15. CRISPR-Cas-Mediated Phage Resistance Enhances Horizontal Gene Transfer by Transduction.

    PubMed

    Watson, Bridget N J; Staals, Raymond H J; Fineran, Peter C

    2018-02-13

    A powerful contributor to prokaryotic evolution is horizontal gene transfer (HGT) through transformation, conjugation, and transduction, which can be advantageous, neutral, or detrimental to fitness. Bacteria and archaea control HGT and phage infection through CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) adaptive immunity. Although the benefits of resisting phage infection are evident, this can come at a cost of inhibiting the acquisition of other beneficial genes through HGT. Despite the ability of CRISPR-Cas to limit HGT through conjugation and transformation, its role in transduction is largely overlooked. Transduction is the phage-mediated transfer of bacterial DNA between cells and arguably has the greatest impact on HGT. We demonstrate that in Pectobacterium atrosepticum , CRISPR-Cas can inhibit the transduction of plasmids and chromosomal loci. In addition, we detected phage-mediated transfer of a large plant pathogenicity genomic island and show that CRISPR-Cas can inhibit its transduction. Despite these inhibitory effects of CRISPR-Cas on transduction, its more common role in phage resistance promotes rather than diminishes HGT via transduction by protecting bacteria from phage infection. This protective effect can also increase transduction of phage-sensitive members of mixed populations. CRISPR-Cas systems themselves display evidence of HGT, but little is known about their lateral dissemination between bacteria and whether transduction can contribute. We show that, through transduction, bacteria can acquire an entire chromosomal CRISPR-Cas system, including cas genes and phage-targeting spacers. We propose that the positive effect of CRISPR-Cas phage immunity on enhancing transduction surpasses the rarer cases where gene flow by transduction is restricted. IMPORTANCE The generation of genetic diversity through acquisition of DNA is a powerful contributor to microbial evolution and occurs through

  16. The Role of CRISPR-Cas Systems in Virulence of Pathogenic Bacteria

    PubMed Central

    Staals, Raymond H. J.; Endtz, Hubert P.; van Baarlen, Peter; van der Oost, John

    2014-01-01

    SUMMARY Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular. PMID:24600041

  17. Double-stranded endonuclease activity in Bacillus halodurans clustered regularly interspaced short palindromic repeats (CRISPR)-associated Cas2 protein.

    PubMed

    Nam, Ki Hyun; Ding, Fran; Haitjema, Charles; Huang, Qingqiu; DeLisa, Matthew P; Ke, Ailong

    2012-10-19

    The CRISPR (clustered regularly interspaced short palindromic repeats) system is a prokaryotic RNA-based adaptive immune system against extrachromosomal genetic elements. Cas2 is a universally conserved core CRISPR-associated protein required for the acquisition of new spacers for CRISPR adaptation. It was previously characterized as an endoribonuclease with preference for single-stranded (ss)RNA. Here, we show using crystallography, mutagenesis, and isothermal titration calorimetry that the Bacillus halodurans Cas2 (Bha_Cas2) from the subtype I-C/Dvulg CRISPR instead possesses metal-dependent endonuclease activity against double-stranded (ds)DNA. This activity is consistent with its putative function in producing new spacers for insertion into the 5'-end of the CRISPR locus. Mutagenesis and isothermal titration calorimetry studies revealed that a single divalent metal ion (Mg(2+) or Mn(2+)), coordinated by a symmetric Asp pair in the Bha_Cas2 dimer, is involved in the catalysis. We envision that a pH-dependent conformational change switches Cas2 into a metal-binding competent conformation for catalysis. We further propose that the distinct substrate preferences among Cas2 proteins may be determined by the sequence and structure in the β1-α1 loop.

  18. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus

    PubMed Central

    Guan, Jing; Wang, Wanying

    2017-01-01

    ABSTRACT CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCCmec). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5′ tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCCmec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and

  19. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus.

    PubMed

    Guan, Jing; Wang, Wanying; Sun, Baolin

    2017-01-01

    CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCC mec ). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5' tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCC mec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and consecutive

  20. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System.

    PubMed

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika; Pyrc, Krzysztof

    2017-12-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeat-CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5' and 3' handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis , a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5' handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3' handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis , a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. Copyright © 2017 American Society for Microbiology.

  1. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System

    PubMed Central

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika

    2017-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeat–CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5′ and 3′ handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis, a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5′ handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3′ handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis, a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. PMID:28893837

  2. Inhibition of NHEJ repair by type II-A CRISPR-Cas systems in bacteria.

    PubMed

    Bernheim, Aude; Calvo-Villamañán, Alicia; Basier, Clovis; Cui, Lun; Rocha, Eduardo P C; Touchon, Marie; Bikard, David

    2017-12-12

    Type II CRISPR-Cas systems introduce double-strand breaks into DNA of invading genetic material and use DNA fragments to acquire novel spacers during adaptation. These breaks can be the substrate of several DNA repair pathways, paving the way for interactions. We report that non-homologous end-joining (NHEJ) and type II-A CRISPR-Cas systems only co-occur once among 5563 fully sequenced prokaryotic genomes. We investigated experimentally the possible molecular interactions using the NHEJ pathway from Bacillus subtilis and the type II-A CRISPR-Cas systems from Streptococcus thermophilus and Streptococcus pyogenes. Our results suggest that the NHEJ system has no effect on CRISPR immunity. On the other hand, we provide evidence for the inhibition of NHEJ repair by the Csn2 protein. Our findings give insights on the complex interactions between CRISPR-Cas systems and repair mechanisms in bacteria, contributing to explain the scattered distribution of CRISPR-Cas systems in bacterial genome.

  3. Crystal Structure of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-associated Csn2 Protein Revealed Ca[superscript 2+]-dependent Double-stranded DNA Binding Activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Ki Hyun; Kurinov, Igor; Ke, Ailong

    Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated protein genes (cas genes) are widespread in bacteria and archaea. They form a line of RNA-based immunity to eradicate invading bacteriophages and malicious plasmids. A key molecular event during this process is the acquisition of new spacers into the CRISPR loci to guide the selective degradation of the matching foreign genetic elements. Csn2 is a Nmeni subtype-specific cas gene required for new spacer acquisition. Here we characterize the Enterococcus faecalis Csn2 protein as a double-stranded (ds-) DNA-binding protein and report its 2.7 {angstrom} tetrameric ring structure. The inner circle ofmore » the Csn2 tetrameric ring is {approx}26 {angstrom} wide and populated with conserved lysine residues poised for nonspecific interactions with ds-DNA. Each Csn2 protomer contains an {alpha}/{beta} domain and an {alpha}-helical domain; significant hinge motion was observed between these two domains. Ca{sup 2+} was located at strategic positions in the oligomerization interface. We further showed that removal of Ca{sup 2+} ions altered the oligomerization state of Csn2, which in turn severely decreased its affinity for ds-DNA. In summary, our results provided the first insight into the function of the Csn2 protein in CRISPR adaptation by revealing that it is a ds-DNA-binding protein functioning at the quaternary structure level and regulated by Ca{sup 2+} ions.« less

  4. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa

    PubMed Central

    van Belkum, Alex; Soriaga, Leah B.; LaFave, Matthew C.; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E. Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C.; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S.; Richardson, Toby H.; Peterson, Todd C.; Hubby, Bolyn

    2015-01-01

    ABSTRACT Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. PMID:26604259

  5. Recruitment of CRISPR-Cas systems by Tn7-like transposons.

    PubMed

    Peters, Joseph E; Makarova, Kira S; Shmakov, Sergey; Koonin, Eugene V

    2017-08-29

    A survey of bacterial and archaeal genomes shows that many Tn7-like transposons contain minimal type I-F CRISPR-Cas systems that consist of fused cas8f and cas5f , cas7f , and cas6f genes and a short CRISPR array. Several small groups of Tn7-like transposons encompass similarly truncated type I-B CRISPR-Cas. This minimal gene complement of the transposon-associated CRISPR-Cas systems implies that they are competent for pre-CRISPR RNA (precrRNA) processing yielding mature crRNAs and target binding but not target cleavage that is required for interference. Phylogenetic analysis demonstrates that evolution of the CRISPR-Cas-containing transposons included a single, ancestral capture of a type I-F locus and two independent instances of type I-B loci capture. We show that the transposon-associated CRISPR arrays contain spacers homologous to plasmid and temperate phage sequences and, in some cases, chromosomal sequences adjacent to the transposon. We hypothesize that the transposon-encoded CRISPR-Cas systems generate displacement (R-loops) in the cognate DNA sites, targeting the transposon to these sites and thus facilitating their spread via plasmids and phages. These findings suggest the existence of RNA-guided transposition and fit the guns-for-hire concept whereby mobile genetic elements capture host defense systems and repurpose them for different stages in the life cycle of the element.

  6. CRISPR-like sequences in Helicobacter pylori and application in genotyping.

    PubMed

    Bangpanwimon, Khotchawan; Sottisuporn, Jaksin; Mittraparp-Arthorn, Pimonsri; Ueaphatthanaphanich, Warattaya; Rattanasupar, Attapon; Pourcel, Christine; Vuddhakul, Varaporn

    2017-01-01

    Many bacteria and archaea possess a defense system called clustered regularly interspaced short palindromic repeats (CRISPR) associated proteins (CRISPR-Cas system) against invaders such as phages or plasmids. This system has not been demonstrated in Helicobacter pylori . The numbers of spacer in CRISPR array differ among bacterial strains and can be used as a genetic marker for bacterial typing. A total of 36 H. pylori isolates were collected from patients in three hospitals located in the central (PBH) and southern (SKH) regions of Thailand. It is of interest that CRISPR-like sequences of this bacterium were detected in vlpC encoded for VacA-like protein C. Virulence genes were investigated and the most pathogenic genotype ( cagA vacA s1m1) was detected in 17 out of 29 (58.6%) isolates from PBH and 5 out of 7 (71.4%) from SKH. vapD gene was identified in each one isolate from PBH and SKH. CRISPR-like sequences and virulence genes of 20 isolates of H. pylori obtained in this study were analyzed and CRISPR-virulence typing was constructed and compared to profiles obtained by the random amplification of polymorphic DNA (RAPD) technique. The discriminatory power (DI) of CRISPR-virulence typing was not different from RAPD typing. CRISPR-virulence typing in H. pylori is easy and reliable for epidemiology and can be used for inter-laboratory interpretation.

  7. High-Throughput Characterization of Cascade type I-E CRISPR Guide Efficacy Reveals Unexpected PAM Diversity and Target Sequence Preferences.

    PubMed

    Fu, Becky Xu Hua; Wainberg, Michael; Kundaje, Anshul; Fire, Andrew Z

    2017-08-01

    Interactions between Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs and CRISPR-associated (Cas) proteins form an RNA-guided adaptive immune system in prokaryotes. The adaptive immune system utilizes segments of the genetic material of invasive foreign elements in the CRISPR locus. The loci are transcribed and processed to produce small CRISPR RNAs (crRNAs), with degradation of invading genetic material directed by a combination of complementarity between RNA and DNA and in some cases recognition of adjacent motifs called PAMs (Protospacer Adjacent Motifs). Here we describe a general, high-throughput procedure to test the efficacy of thousands of targets, applying this to the Escherichia coli type I-E Cascade (CRISPR-associated complex for antiviral defense) system. These studies were followed with reciprocal experiments in which the consequence of CRISPR activity was survival in the presence of a lytic phage. From the combined analysis of the Cascade system, we found that (i) type I-E Cascade PAM recognition is more expansive than previously reported, with at least 22 distinct PAMs, with many of the noncanonical PAMs having CRISPR-interference abilities similar to the canonical PAMs; (ii) PAM positioning appears precise, with no evidence for tolerance to PAM slippage in interference; and (iii) while increased guanine-cytosine (GC) content in the spacer is associated with higher CRISPR-interference efficiency, high GC content (>62.5%) decreases CRISPR-interference efficiency. Our findings provide a comprehensive functional profile of Cascade type I-E interference requirements and a method to assay spacer efficacy that can be applied to other CRISPR-Cas systems. Copyright © 2017 by the Genetics Society of America.

  8. Double-stranded Endonuclease Activity in Bacillus halodurans Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-associated Cas2 Protein*

    PubMed Central

    Nam, Ki Hyun; Ding, Fran; Haitjema, Charles; Huang, Qingqiu; DeLisa, Matthew P.; Ke, Ailong

    2012-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeats) system is a prokaryotic RNA-based adaptive immune system against extrachromosomal genetic elements. Cas2 is a universally conserved core CRISPR-associated protein required for the acquisition of new spacers for CRISPR adaptation. It was previously characterized as an endoribonuclease with preference for single-stranded (ss)RNA. Here, we show using crystallography, mutagenesis, and isothermal titration calorimetry that the Bacillus halodurans Cas2 (Bha_Cas2) from the subtype I-C/Dvulg CRISPR instead possesses metal-dependent endonuclease activity against double-stranded (ds)DNA. This activity is consistent with its putative function in producing new spacers for insertion into the 5′-end of the CRISPR locus. Mutagenesis and isothermal titration calorimetry studies revealed that a single divalent metal ion (Mg2+ or Mn2+), coordinated by a symmetric Asp pair in the Bha_Cas2 dimer, is involved in the catalysis. We envision that a pH-dependent conformational change switches Cas2 into a metal-binding competent conformation for catalysis. We further propose that the distinct substrate preferences among Cas2 proteins may be determined by the sequence and structure in the β1–α1 loop. PMID:22942283

  9. Development of Proteogenomic Approaches to Analyze the Role of Virus-Microbe Interactions in Shaping Natural Microbial Communities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Banfield, Jillian; Breitbart, Mya; VerBerkmoes, Nathan

    CRISPRs (clustered regularly interspaced short palindromic repeats) are adaptive immune systems in Bacteria and Archaea. Transcripts of the spacers that separate the repeats confer immunity through sequence identity with a targeted region (proto-spacer) in phage/viral, plasmid, or other foreign DNA. Short sequences immediately flanking the proto-spacer (proto-spacer adjacent motifs—PAMs) are important in both procuring spacers from and providing immunity to targeted sequences. New spacers are incorporated unidirectionally at the leader end of the CRISPR loci, thus recording a timeline of recent viral exposure. In the early phase of our research, we documented extremely rapid diversification of the CRISPR loci inmore » natural populations [Tyson and Banfield, 2008] matched by high levels of sequence variation in natural viral populations [Andersson and Banfield, 2008]. Since then, in a genetically tractable model laboratory system, we have 1) tracked phage mutation and CRISPR diversification, and in a natural model system, we have 2) examined population history via over time, 3) investigated the timescale over which spacers become ineffective and the process by which ineffective spacers are removed, and 4) analyzed viral diversity. In addition to research activities, our group has organized five international CRISPR meetings, the fifth to be held at University of California, Berkeley in June 2012. Most importantly, the project provided the majority of funding support for Christine Sun (Ph.D. 2012).« less

  10. Evolutionary conservation of sequence and secondary structures inCRISPR repeats

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kunin, Victor; Sorek, Rotem; Hugenholtz, Philip

    Clustered Regularly Interspaced Palindromic Repeats (CRISPRs) are a novel class of direct repeats, separated by unique spacer sequences of similar length, that are present in {approx}40% of bacterial and all archaeal genomes analyzed to date. More than 40 gene families, called CRISPR-associated sequences (CAS), appear in conjunction with these repeats and are thought to be involved in the propagation and functioning of CRISPRs. It has been proposed that the CRISPR/CAS system samples, maintains a record of, and inactivates invasive DNA that the cell has encountered, and therefore constitutes a prokaryotic analog of an immune system. Here we analyze CRISPR repeatsmore » identified in 195 microbial genomes and show that they can be organized into multiple clusters based on sequence similarity. All individual repeats in any given cluster were inferred to form characteristic RNA secondary structure, ranging from non-existent to pronounced. Stable secondary structures included G:U base pairs and exhibited multiple compensatory base changes in the stem region, indicating evolutionary conservation and functional importance. We also show that the repeat-based classification corresponds to, and expands upon, a previously reported CAS gene-based classification including specific relationships between CRISPR and CAS subtypes.« less

  11. Investigating CRISPR-Cas systems in Clostridium botulinum via bioinformatics tools.

    PubMed

    Negahdaripour, Manica; Nezafat, Navid; Hajighahramani, Nasim; Rahmatabadi, Seyyed Soheil; Ghasemi, Younes

    2017-10-01

    The Clustered regularly interspaced short palindromic repeats (CRISPR) systems are a type of innate immunity found in some prokaryotes, which protect them against alien genetic elements by targeting foreign nucleic acids. Some other functions are also attributed to these systems. Clostridium botulinum bacteria produce botulinum neurotoxins (BoNT), one of the deadliest known toxins for humans and some animals. Food poisoning due to these bacteria is still a challenge in food industries. On the other hand, BoNT has been widely investigated for therapeutic applications including different muscle disorders. Bont genes may be located on bacterial chromosomes, plasmids, or even prophages. Generally, the genomes of Cl. botulinum show a high level of plasticity. In order to investigate the presence and characteristics of CRISPRs in these anaerobe bacteria, an in silico study on 113 CRISPR arrays identified in 38 Cl. botulinum strains was performed. A high occurrence of CRISPR arrays (80%) were found, with a remarkable frequency on plasmids. Several (CRISPR-associated) Cas proteins from different types were recognized in the studied strains, which were mostly Cas6. The CRISPR-Cas systems were identified as type I or III, but no type II. The spacers showed more homology with bacterial plasmids than phages. Active CRISPR-Cas systems can prevent the transfer of foreign genes, which may also include bont genes. This study provides the first insight into the probable roles of CRISPR-Cas systems in Cl. botulinum strains such as toxigenicity. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. CRISPR-Cas9 Toolkit for Actinomycete Genome Editing.

    PubMed

    Tong, Yaojun; Robertsen, Helene Lunde; Blin, Kai; Weber, Tilmann; Lee, Sang Yup

    2018-01-01

    Bacteria of the order Actinomycetales are one of the most important sources of bioactive natural products, which are the source of many drugs. However, many of them still lack efficient genome editing methods, some strains even cannot be manipulated at all. This restricts systematic metabolic engineering approaches for boosting known and discovering novel natural products. In order to facilitate the genome editing for actinomycetes, we developed a CRISPR-Cas9 toolkit with high efficiency for actinomyces genome editing. This basic toolkit includes a software for spacer (sgRNA) identification, a system for in-frame gene/gene cluster knockout, a system for gene loss-of-function study, a system for generating a random size deletion library, and a system for gene knockdown. For the latter, a uracil-specific excision reagent (USER) cloning technology was adapted to simplify the CRISPR vector construction process. The application of this toolkit was successfully demonstrated by perturbation of genomes of Streptomyces coelicolor A3(2) and Streptomyces collinus Tü 365. The CRISPR-Cas9 toolkit and related protocol described here can be widely used for metabolic engineering of actinomycetes.

  13. Conserved DNA motifs in the type II-A CRISPR leader region.

    PubMed

    Van Orden, Mason J; Klein, Peter; Babu, Kesavan; Najar, Fares Z; Rajan, Rakhi

    2017-01-01

    The Clustered Regularly Interspaced Short Palindromic Repeats associated (CRISPR-Cas) systems consist of RNA-protein complexes that provide bacteria and archaea with sequence-specific immunity against bacteriophages, plasmids, and other mobile genetic elements. Bacteria and archaea become immune to phage or plasmid infections by inserting short pieces of the intruder DNA (spacer) site-specifically into the leader-repeat junction in a process called adaptation. Previous studies have shown that parts of the leader region, especially the 3' end of the leader, are indispensable for adaptation. However, a comprehensive analysis of leader ends remains absent. Here, we have analyzed the leader, repeat, and Cas proteins from 167 type II-A CRISPR loci. Our results indicate two distinct conserved DNA motifs at the 3' leader end: ATTTGAG (noted previously in the CRISPR1 locus of Streptococcus thermophilus DGCC7710) and a newly defined CTRCGAG, associated with the CRISPR3 locus of S. thermophilus DGCC7710. A third group with a very short CG DNA conservation at the 3' leader end is observed mostly in lactobacilli. Analysis of the repeats and Cas proteins revealed clustering of these CRISPR components that mirrors the leader motif clustering, in agreement with the coevolution of CRISPR-Cas components. Based on our analysis of the type II-A CRISPR loci, we implicate leader end sequences that could confer site-specificity for the adaptation-machinery in the different subsets of type II-A CRISPR loci.

  14. Conserved DNA motifs in the type II-A CRISPR leader region

    PubMed Central

    Babu, Kesavan; Najar, Fares Z.

    2017-01-01

    The Clustered Regularly Interspaced Short Palindromic Repeats associated (CRISPR-Cas) systems consist of RNA-protein complexes that provide bacteria and archaea with sequence-specific immunity against bacteriophages, plasmids, and other mobile genetic elements. Bacteria and archaea become immune to phage or plasmid infections by inserting short pieces of the intruder DNA (spacer) site-specifically into the leader-repeat junction in a process called adaptation. Previous studies have shown that parts of the leader region, especially the 3′ end of the leader, are indispensable for adaptation. However, a comprehensive analysis of leader ends remains absent. Here, we have analyzed the leader, repeat, and Cas proteins from 167 type II-A CRISPR loci. Our results indicate two distinct conserved DNA motifs at the 3′ leader end: ATTTGAG (noted previously in the CRISPR1 locus of Streptococcus thermophilus DGCC7710) and a newly defined CTRCGAG, associated with the CRISPR3 locus of S. thermophilus DGCC7710. A third group with a very short CG DNA conservation at the 3′ leader end is observed mostly in lactobacilli. Analysis of the repeats and Cas proteins revealed clustering of these CRISPR components that mirrors the leader motif clustering, in agreement with the coevolution of CRISPR-Cas components. Based on our analysis of the type II-A CRISPR loci, we implicate leader end sequences that could confer site-specificity for the adaptation-machinery in the different subsets of type II-A CRISPR loci. PMID:28392985

  15. Design of a CRISPR-Cas system to increase resistance of Bacillus subtilis to bacteriophage SPP1.

    PubMed

    Jakutyte-Giraitiene, Lina; Gasiunas, Giedrius

    2016-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) together with CRISPR-associated (cas) genes form an adaptive prokaryotic immune system which provides acquired resistance against viruses and plasmids. Bacillus subtilis presently is the best-characterized laboratory model for Gram-positive bacteria and also widely used for industrial production of enzymes, vitamins and antibiotics. In this study, we show that type II-A CRISPR-Cas system from Streptococcus thermophilus can be transferred into B. subtilis and provides heterologous protection against phage infection. We engineered a heterologous host by cloning S. thermophilus Cas9 and a spacer targeting bacteriophage SPP1 into the chromosome of B. subtilis, which does not harbor its own CRISPR-Cas systems. We found that the heterologous CRISPR-Cas system is functionally active in B. subtilis and provides resistance against bacteriophage SPP1 infection. The high efficiency of the acquired immunity against phage could be useful in generation of biotechnologically important B. subtilis strains with engineered chromosomes.

  16. Phage typing or CRISPR typing for epidemiological surveillance of Salmonella Typhimurium?

    PubMed

    Mohammed, Manal

    2017-11-07

    Salmonella Typhimurium is the most dominant Salmonella serovar around the world. It is associated with foodborne gastroenteritis outbreaks but has recently been associated with invasive illness and deaths. Characterization of S. Typhimurium is therefore very crucial for epidemiological surveillance. Phage typing has been used for decades for subtyping of S. Typhimurium to determine the epidemiological relation among isolates. Recent studies however have suggested that high throughput clustered regular interspaced short palindromic repeats (CRISPR) typing has the potential to replace phage typing. This study aimed to determine the efficacy of high-throughput CRISPR typing over conventional phage typing in epidemiological surveillance and outbreak investigation of S. Typhimurium. In silico analysis of whole genome sequences (WGS) of well-documented phage types of S. Typhimurium reveals the presence of different CRISPR type among strains belong to the same phage type. Furthermore, different phage types of S. Typhimurium share identical CRISPR type. Interestingly, identical spacers were detected among outbreak and non-outbreak associated DT8 strains of S. Typhimurium. Therefore, CRISPR typing is not useful for the epidemiological surveillance and outbreak investigation of S. Typhimurium and phage typing, until it is replaced by WGS, is still the gold standard method for epidemiological surveillance of S. Typhimurium.

  17. Rational design of inducible CRISPR guide RNAs for de novo assembly of transcriptional programs

    PubMed Central

    Ferry, Quentin R. V.; Lyutova, Radostina; Fulga, Tudor A.

    2017-01-01

    CRISPR-based transcription regulators (CRISPR-TRs) have transformed the current synthetic biology landscape by allowing specific activation or repression of any target gene. Here we report a modular and versatile framework enabling rapid implementation of inducible CRISPR-TRs in mammalian cells. This strategy relies on the design of a spacer-blocking hairpin (SBH) structure at the 5′ end of the single guide RNA (sgRNA), which abrogates the function of CRISPR-transcriptional activators. By replacing the SBH loop with ligand-controlled RNA-cleaving units, we demonstrate conditional activation of quiescent sgRNAs programmed to respond to genetically encoded or externally delivered triggers. We use this system to couple multiple synthetic and endogenous target genes with specific inducers, and assemble gene regulatory modules demonstrating parallel and orthogonal transcriptional programs. We anticipate that this ‘plug and play' approach will be a valuable addition to the synthetic biology toolkit, facilitating the understanding of natural gene circuits and the design of cell-based therapeutic strategies. PMID:28256578

  18. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease

    PubMed Central

    Buerger, Patrick; Wood-Charlson, Elisha M.; Weynberg, Karen D.; Willis, Bette L.; van Oppen, Madeleine J. H.

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico. Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called “CRISPRs.” Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium

  19. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease.

    PubMed

    Buerger, Patrick; Wood-Charlson, Elisha M; Weynberg, Karen D; Willis, Bette L; van Oppen, Madeleine J H

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico . Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called "CRISPRs." Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium AO1

  20. The action of Escherichia coli CRISPR-Cas system on lytic bacteriophages with different lifestyles and development strategies.

    PubMed

    Strotskaya, Alexandra; Savitskaya, Ekaterina; Metlitskaya, Anastasia; Morozova, Natalia; Datsenko, Kirill A; Semenova, Ekaterina; Severinov, Konstantin

    2017-02-28

    CRISPR-Cas systems provide prokaryotes with adaptive defense against bacteriophage infections. Given an enormous variety of strategies used by phages to overcome their hosts, one can expect that the efficiency of protective action of CRISPR-Cas systems against different viruses should vary. Here, we created a collection of Escherichia coli strains with type I-E CRISPR-Cas system targeting various positions in the genomes of bacteriophages λ, T5, T7, T4 and R1-37 and investigated the ability of these strains to resist the infection and acquire additional CRISPR spacers from the infecting phage. We find that the efficiency of CRISPR-Cas targeting by the host is determined by phage life style, the positions of the targeted protospacer within the genome, and the state of phage DNA. The results also suggest that during infection by lytic phages that are susceptible to CRISPR interference, CRISPR-Cas does not act as a true immunity system that saves the infected cell but rather enforces an abortive infection pathway leading to infected cell death with no phage progeny release. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  1. Survey of clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) systems in multiple sequenced strains of Klebsiella pneumoniae.

    PubMed

    Ostria-Hernández, Martha Lorena; Sánchez-Vallejo, Carlos Javier; Ibarra, J Antonio; Castro-Escarpulli, Graciela

    2015-08-04

    In recent years the emergence of multidrug resistant Klebsiella pneumoniae strains has been an increasingly common event. This opportunistic species is one of the five main bacterial pathogens that cause hospital infections worldwide and multidrug resistance has been associated with the presence of high molecular weight plasmids. Plasmids are generally acquired through horizontal transfer and therefore is possible that systems that prevent the entry of foreign genetic material are inactive or absent. One of these systems is CRISPR/Cas. However, little is known regarding the clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) system in K. pneumoniae. The adaptive immune system CRISPR/Cas has been shown to limit the entry of foreign genetic elements into bacterial organisms and in some bacteria it has been shown to be involved in regulation of virulence genes. Thus in this work we used bioinformatics tools to determine the presence or absence of CRISPR/Cas systems in available K. pneumoniae genomes. The complete CRISPR/Cas system was identified in two out of the eight complete K. pneumoniae genomes sequences and in four out of the 44 available draft genomes sequences. The cas genes in these strains comprises eight cas genes similar to those found in Escherichia coli, suggesting they belong to the type I-E group, although their arrangement is slightly different. As for the CRISPR sequences, the average lengths of the direct repeats and spacers were 29 and 33 bp, respectively. BLAST searches demonstrated that 38 of the 116 spacer sequences (33%) are significantly similar to either plasmid, phage or genome sequences, while the remaining 78 sequences (67%) showed no significant similarity to other sequences. The region where the CRISPR/Cas systems were located is the same in all the Klebsiella genomes containing it, it has a syntenic architecture, and is located among genes encoding for proteins likely involved in

  2. Reconstituting the Evolutionary History of Cronobacter Driven by Differentiated CRISPR Activity.

    PubMed

    Zeng, Haiyan; Zhang, Jumei; Wu, Qingping; He, Wenjing; Wu, Haoming; Ye, Yingwang; Li, Chengsi; Ling, Na; Chen, Moutong; Wang, Juan; Cai, Shuzhen; Lei, Tao; Ding, Yu; Xue, Liang

    2018-03-09

    Cronobacter strains harboring the CRISPR-Cas system are important foodborne pathogens causing serious neonatal infections. However, the specific role of the CRISPR-Cas system in bacterial evolution remains relatively unexplored. In this study, we investigated the impact of CRISPR-Cas in Cronobacter evolution and obtained 137 new whole-genome sequences of Cronobacter by next-generation sequencing technology. Among the strains examined (n=240), 90.6% (193/213) of prevalent species Cronobacter sakazakii , Cronobacter malonaticus , and Cronobacter dublinensis strains had intact CRISPR-Cas systems. Two rare species, Cronobacter condimenti (n=2) and Cronobacter universalis (n=6), lacked and preserved the CRISPR-Cas system at a low frequency (1/6), respectively. These results suggest that the presence of one CRISPR-Cas system in Cronobacter is important for the species to maintain genome homeostasis for survival. The Cronobacter ancestral strain was likely to harbored both subtype I-E and I-F CRISPR-Cas systems, during the long evolutionary process, subtype I-E was retained, while subtype I-F selectively degenerated in Cronobacter species and was even lost in the major Cronobacter pathovars. Moreover, significantly higher CRISPR activity was observed in plant-associated species C. dublinensis than in the virulence-related species C. sakazakii and C. malonaticus Similar spacers of CRISPR arrays were rarely found among species, suggesting intensive change through adaptive acquisition and loss. Differentiated CRISPR activity appears to be the product of environmental selective pressure and might contribute to the bidirectional divergence and speciation of Cronobacter IMPORTANCE This study reports the evolutionary history of Cronobacter under the selective pressure of the CRISPR-Cas system. One CRISPR-Cas system in Cronobacter is important for maintaining genome homeostasis, whereas two types of systems may be redundant and not conducive for acquiring beneficial DNA for

  3. A newly discovered Bordetella species carries a transcriptionally active CRISPR-Cas with a small Cas9 endonuclease.

    PubMed

    Ivanov, Yury V; Shariat, Nikki; Register, Karen B; Linz, Bodo; Rivera, Israel; Hu, Kai; Dudley, Edward G; Harvill, Eric T

    2015-10-26

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (cas) are widely distributed among bacteria. These systems provide adaptive immunity against mobile genetic elements specified by the spacer sequences stored within the CRISPR. The CRISPR-Cas system has been identified using Basic Local Alignment Search Tool (BLAST) against other sequenced and annotated genomes and confirmed via CRISPRfinder program. Using Polymerase Chain Reactions (PCR) and Sanger DNA sequencing, we discovered CRISPRs in additional bacterial isolates of the same species of Bordetella. Transcriptional activity and processing of the CRISPR have been assessed via RT-PCR. Here we describe a novel Type II-C CRISPR and its associated genes-cas1, cas2, and cas9-in several isolates of a newly discovered Bordetella species. The CRISPR-cas locus, which is absent in all other Bordetella species, has a significantly lower GC-content than the genome-wide average, suggesting acquisition of this locus via horizontal gene transfer from a currently unknown source. The CRISPR array is transcribed and processed into mature CRISPR RNAs (crRNA), some of which have homology to prophages found in closely related species B. hinzii. Expression of the CRISPR-Cas system and processing of crRNAs with perfect homology to prophages present in closely related species, but absent in that containing this CRISPR-Cas system, suggest it provides protection against phage predation. The 3,117-bp cas9 endonuclease gene from this novel CRISPR-Cas system is 990 bp smaller than that of Streptococcus pyogenes, the 4,017-bp allele currently used for genome editing, and which may make it a useful tool in various CRISPR-Cas technologies.

  4. Genetic analysis and CRISPR typing of Salmonella enterica serovar Enteritidis from different sources revealed potential transmission from poultry and pig to human.

    PubMed

    Li, Qiuchun; Wang, Xin; Yin, Kequan; Hu, Yachen; Xu, Haiyan; Xie, Xiaolei; Xu, Lijuan; Fei, Xiao; Chen, Xiang; Jiao, Xinan

    2018-02-02

    Salmonella enterica serovar Enteritidis (S. Enteritidis) is one of the most prevalent serotypes in Salmonella isolated from poultry and the most commonly reported cause of human salmonellosis. In this study, we aimed to assess the genetic diversity of 329 S. Enteritidis strains isolated from different sources from 2009 to 2016 in China. Clustered regularly interspaced short palindromic repeat (CRISPR) typing was used to characterize these 262 chicken clinical isolates, 38 human isolates, 18 pig isolates, six duck isolates, three goose isolates and two isolates of unknown source. A total of 18 Enteritidis CRISPR types (ECTs) were identified, with ECT2, ECT8 and ECT4 as the top three ECTs. CRISPR typing identified ECT2 as the most prevalent ECT, which accounted for 41% of S. Enteritidis strains from all the sources except duck. ECT9 and ECT13 were identified in both pig and human isolates and revealed potential transmission from pig to human. A cluster analysis distributed 18 ECTs, including the top three ECTs, into four lineages with LI as the predominant lineage. Forty-eight out of 329 isolates were subjected to whole genome sequence typing, which divided them into four clusters, with Cluster I as the predominant cluster. Cluster I included 92% (34/37) of strains located in LI identified from the CRISPR typing, confirming the good correspondence between both typing methods. In addition, the CRISPR typing also revealed the close relationship between ECTs and isolated areas, confirming that CRISPR spacers might be obtained by bacteria from the unique phage or plasmid pools in the environment. However, further analysis is needed to determine the function of CRISPR-Cas systems in Salmonella and the relationship between spacers and the environment. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. CRISPR/Cas9 for genome editing: progress, implications and challenges.

    PubMed

    Zhang, Feng; Wen, Yan; Guo, Xiong

    2014-09-15

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system provides a robust and multiplexable genome editing tool, enabling researchers to precisely manipulate specific genomic elements, and facilitating the elucidation of target gene function in biology and diseases. CRISPR/Cas9 comprises of a nonspecific Cas9 nuclease and a set of programmable sequence-specific CRISPR RNA (crRNA), which can guide Cas9 to cleave DNA and generate double-strand breaks at target sites. Subsequent cellular DNA repair process leads to desired insertions, deletions or substitutions at target sites. The specificity of CRISPR/Cas9-mediated DNA cleavage requires target sequences matching crRNA and a protospacer adjacent motif locating at downstream of target sequences. Here, we review the molecular mechanism, applications and challenges of CRISPR/Cas9-mediated genome editing and clinical therapeutic potential of CRISPR/Cas9 in future. © The Author 2014. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  6. Evolution and classification of the CRISPR-Cas systems

    PubMed Central

    S. Makarova, Kira; H. Haft, Daniel; Barrangou, Rodolphe; J. J. Brouns, Stan; Charpentier, Emmanuelle; Horvath, Philippe; Moineau, Sylvain; J. M. Mojica, Francisco; I. Wolf, Yuri; Yakunin, Alexander F.; van der Oost, John; V. Koonin, Eugene

    2012-01-01

    The CRISPR–Cas (clustered regularly interspaced short palindromic repeats–CRISPR-associated proteins) modules are adaptive immunity systems that are present in many archaea and bacteria. These defence systems are encoded by operons that have an extraordinarily diverse architecture and a high rate of evolution for both the cas genes and the unique spacer content. Here, we provide an updated analysis of the evolutionary relationships between CRISPR–Cas systems and Cas proteins. Three major types of CRISPR–Cas system are delineated, with a further division into several subtypes and a few chimeric variants. Given the complexity of the genomic architectures and the extremely dynamic evolution of the CRISPR–Cas systems, a unified classification of these systems should be based on multiple criteria. Accordingly, we propose a `polythetic' classification that integrates the phylogenies of the most common cas genes, the sequence and organization of the CRISPR repeats and the architecture of the CRISPR–cas loci. PMID:21552286

  7. CRISPR-Cas and Contact-Dependent Secretion Systems Present on Excisable Pathogenicity Islands with Conserved Recombination Modules.

    PubMed

    Carpenter, Megan R; Kalburge, Sai S; Borowski, Joseph D; Peters, Molly C; Colwell, Rita R; Boyd, E Fidelma

    2017-05-15

    Pathogenicity islands (PAIs) are mobile integrated genetic elements that contain a diverse range of virulence factors. PAIs integrate into the host chromosome at a tRNA locus that contains their specific bacterial attachment site, attB , via integrase-mediated site-specific recombination generating attL and attR sites. We identified conserved recombination modules (integrases and att sites) previously described in choleragenic Vibrio cholerae PAIs but with novel cargo genes. Clustered regularly interspaced short palindromic repeat (CRISPR)-associated proteins (Cas proteins) and a type VI secretion system (T6SS) gene cluster were identified at the Vibrio pathogenicity island 1 (VPI-1) insertion site in 19 V. cholerae strains and contained the same recombination module. Two divergent type I-F CRISPR-Cas systems were identified, which differed in Cas protein homology and content. The CRISPR repeat sequence was identical among all V. cholerae strains, but the CRISPR spacer sequences and the number of spacers varied. In silico analysis suggests that the CRISPR-Cas systems were active against phages and plasmids. A type III secretion system (T3SS) was present in 12 V. cholerae strains on a 68-kb island inserted at the same tRNA-serine insertion site as VPI-2 and contained the same recombination module. Bioinformatics analysis showed that two divergent T3SSs exist among the strains examined. Both the CRISPR and T3SS islands excised site specifically from the bacterial chromosome as complete units, and the cognate integrases were essential for this excision. These data demonstrated that identical recombination modules that catalyze integration and excision from the chromosome can acquire diverse cargo genes, signifying a novel method of acquisition for both CRISPR-Cas systems and T3SSs. IMPORTANCE This work demonstrated the presence of CRISPR-Cas systems and T3SSs on PAIs. Our work showed that similar recombination modules can associate with different cargo genes and

  8. Interference activity of a minimal Type I CRISPR-Cas system from Shewanella putrefaciens.

    PubMed

    Dwarakanath, Srivatsa; Brenzinger, Susanne; Gleditzsch, Daniel; Plagens, André; Klingl, Andreas; Thormann, Kai; Randau, Lennart

    2015-10-15

    Type I CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas (CRISPR-associated) systems exist in bacterial and archaeal organisms and provide immunity against foreign DNA. The Cas protein content of the DNA interference complexes (termed Cascade) varies between different CRISPR-Cas subtypes. A minimal variant of the Type I-F system was identified in proteobacterial species including Shewanella putrefaciens CN-32. This variant lacks a large subunit (Csy1), Csy2 and Csy3 and contains two unclassified cas genes. The genome of S. putrefaciens CN-32 contains only five Cas proteins (Cas1, Cas3, Cas6f, Cas1821 and Cas1822) and a single CRISPR array with 81 spacers. RNA-Seq analyses revealed the transcription of this array and the maturation of crRNAs (CRISPR RNAs). Interference assays based on plasmid conjugation demonstrated that this CRISPR-Cas system is active in vivo and that activity is dependent on the recognition of the dinucleotide GG PAM (Protospacer Adjacent Motif) sequence and crRNA abundance. The deletion of cas1821 and cas1822 reduced the cellular crRNA pool. Recombinant Cas1821 was shown to form helical filaments bound to RNA molecules, which suggests its role as the Cascade backbone protein. A Cascade complex was isolated which contained multiple Cas1821 copies, Cas1822, Cas6f and mature crRNAs. © The Author(s) 2015. Published by Oxford University Press on behalf of Nucleic Acids Research.

  9. CRISPR Associated Diversity within a Population of Sulfolobus islandicus

    PubMed Central

    Held, Nicole L.; Herrera, Alfa; Cadillo-Quiroz, Hinsby; Whitaker, Rachel J.

    2010-01-01

    Background Predator-prey models for virus-host interactions predict that viruses will cause oscillations of microbial host densities due to an arms race between resistance and virulence. A new form of microbial resistance, CRISPRs (clustered regularly interspaced short palindromic repeats) are a rapidly evolving, sequence-specific immunity mechanism in which a short piece of invading viral DNA is inserted into the host's chromosome, thereby rendering the host resistant to further infection. Few studies have linked this form of resistance to population dynamics in natural microbial populations. Methodology/Principal Findings We examined sequence diversity in 39 strains of the archeaon Sulfolobus islandicus from a single, isolated hot spring from Kamchatka, Russia to determine the effects of CRISPR immunity on microbial population dynamics. First, multiple housekeeping genetic markers identify a large clonal group of identical genotypes coexisting with a diverse set of rare genotypes. Second, the sequence-specific CRISPR spacer arrays split the large group of isolates into two very different groups and reveal extensive diversity and no evidence for dominance of a single clone within the population. Conclusions/Significance The evenness of resistance genotypes found within this population of S. islandicus is indicative of a lack of strain dominance, in contrast to the prediction for a resistant strain in a simple predator-prey interaction. Based on evidence for the independent acquisition of resistant sequences, we hypothesize that CRISPR mediated clonal interference between resistant strains promotes and maintains diversity in this natural population. PMID:20927396

  10. The effect of Mycobacterium tuberculosis CRISPR-associated Cas2 (Rv2816c) on stress response genes expression, morphology and macrophage survival of Mycobacterium smegmatis.

    PubMed

    Huang, Qinqin; Luo, Hongping; Liu, Minqiang; Zeng, Jie; Abdalla, Abualgasim Elgaili; Duan, Xiangke; Li, Qiming; Xie, Jianping

    2016-06-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are present in the genome of 40% bacteria and 90% archaea. CRISPR and accompanying Cas proteins constitute an adaptive immune system against disruptive mobile genetic elements. Two CRISPRs and 9 genes encoding CRISPR-associated proteins have been found in the genome of Mycobacterium tuberculosis. The CRISPR-associated Cas2 is an endoribonuclease required for the acquisition of new spacers. In this study, Cas2 encoded by Rv2816c was expressed in Mycobacterium smegmatis lacking CRISPR-Cas system and its role in stress responses of M. smegmatis in vitro and within macrophages was studied. We found that Cas2 mediated M. smegmatis stress response changes were associated with the altered expression of sigma factors which involved in mycobacterial stress response and virulence. We also found that Cas2 decreased the survival of M. smegmatis within macrophages. This study provides new insights on the role of Cas2. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. CRISPR Recognition Tool (CRT): a tool for automatic detection ofclustered regularly interspaced palindromic repeats

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bland, Charles; Ramsey, Teresa L.; Sabree, Fareedah

    Clustered Regularly Interspaced Palindromic Repeats (CRISPRs) are a novel type of direct repeat found in a wide range of bacteria and archaea. CRISPRs are beginning to attract attention because of their proposed mechanism; that is, defending their hosts against invading extrachromosomal elements such as viruses. Existing repeat detection tools do a poor job of identifying CRISPRs due to the presence of unique spacer sequences separating the repeats. In this study, a new tool, CRT, is introduced that rapidly and accurately identifies CRISPRs in large DNA strings, such as genomes and metagenomes. CRT was compared to CRISPR detection tools, Patscan andmore » Pilercr. In terms of correctness, CRT was shown to be very reliable, demonstrating significant improvements over Patscan for measures precision, recall and quality. When compared to Pilercr, CRT showed improved performance for recall and quality. In terms of speed, CRT also demonstrated superior performance, especially for genomes containing large numbers of repeats. In this paper a new tool was introduced for the automatic detection of CRISPR elements. This tool, CRT, was shown to be a significant improvement over the current techniques for CRISPR identification. CRT's approach to detecting repetitive sequences is straightforward. It uses a simple sequential scan of a DNA sequence and detects repeats directly without any major conversion or preprocessing of the input. This leads to a program that is easy to describe and understand; yet it is very accurate, fast and memory efficient, being O(n) in space and O(nm/l) in time.« less

  12. CRISPR-Cas: evolution of an RNA-based adaptive immunity system in prokaryotes.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2013-05-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR-associated genes) is an adaptive immunity system in bacteria and archaea that functions via a distinct self-non-self recognition mechanism that is partially analogous to the mechanism of eukaryotic RNA interference (RNAi). The CRISPR-Cas system incorporates fragments of virus or plasmid DNA into the CRISPR repeat cassettes and employs the processed transcripts of these spacers as guide RNAs to cleave the cognate foreign DNA or RNA. The Cas proteins, however, are not homologous to the proteins involved in RNAi and comprise numerous, highly diverged families. The majority of the Cas proteins contain diverse variants of the RNA recognition motif (RRM), a widespread RNA-binding domain. Despite the fast evolution that is typical of the cas genes, the presence of diverse versions of the RRM in most Cas proteins provides for a simple scenario for the evolution of the three distinct types of CRISPR-cas systems. In addition to several proteins that are directly implicated in the immune response, the cas genes encode a variety of proteins that are homologous to prokaryotic toxins that typically possess nuclease activity. The predicted toxins associated with CRISPR-Cas systems include the essential Cas2 protein, proteins of COG1517 that, in addition to a ligand-binding domain and a helix-turn-helix domain, typically contain different nuclease domains and several other predicted nucleases. The tight association of the CRISPR-Cas immunity systems with predicted toxins that, upon activation, would induce dormancy or cell death suggests that adaptive immunity and dormancy/suicide response are functionally coupled. Such coupling could manifest in the persistence state being induced and potentially providing conditions for more effective action of the immune system or in cell death being triggered when immunity fails.

  13. DNA motifs determining the accuracy of repeat duplication during CRISPR adaptation in Haloarcula hispanica

    PubMed Central

    Wang, Rui; Li, Ming; Gong, Luyao; Hu, Songnian; Xiang, Hua

    2016-01-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPRs) acquire new spacers to generate adaptive immunity in prokaryotes. During spacer integration, the leader-preceded repeat is always accurately duplicated, leading to speculations of a repeat-length ruler. Here in Haloarcula hispanica, we demonstrate that the accurate duplication of its 30-bp repeat requires two conserved mid-repeat motifs, AACCC and GTGGG. The AACCC motif was essential and needed to be ∼10 bp downstream from the leader-repeat junction site, where duplication consistently started. Interestingly, repeat duplication terminated sequence-independently and usually with a specific distance from the GTGGG motif, which seemingly served as an anchor site for a molecular ruler. Accordingly, altering the spacing between the two motifs led to an aberrant duplication size (29, 31, 32 or 33 bp). We propose the adaptation complex may recognize these mid-repeat elements to enable measuring the repeat DNA for spacer integration. PMID:27085805

  14. Optimization of genome editing through CRISPR-Cas9 engineering.

    PubMed

    Zhang, Jian-Hua; Adikaram, Poorni; Pandey, Mritunjay; Genis, Allison; Simonds, William F

    2016-04-01

    CRISPR (Clustered Regularly-Interspaced Short Palindromic Repeats)-Cas9 (CRISPR associated protein 9) has rapidly become the most promising genome editing tool with great potential to revolutionize medicine. Through guidance of a 20 nucleotide RNA (gRNA), CRISPR-Cas9 finds and cuts target protospacer DNA precisely 3 base pairs upstream of a PAM (Protospacer Adjacent Motif). The broken DNA ends are repaired by either NHEJ (Non-Homologous End Joining) resulting in small indels, or by HDR (Homology Directed Repair) for precise gene or nucleotide replacement. Theoretically, CRISPR-Cas9 could be used to modify any genomic sequences, thereby providing a simple, easy, and cost effective means of genome wide gene editing. However, the off-target activity of CRISPR-Cas9 that cuts DNA sites with imperfect matches with gRNA have been of significant concern because clinical applications require 100% accuracy. Additionally, CRISPR-Cas9 has unpredictable efficiency among different DNA target sites and the PAM requirements greatly restrict its genome editing frequency. A large number of efforts have been made to address these impeding issues, but much more is needed to fully realize the medical potential of CRISPR-Cas9. In this article, we summarize the existing problems and current advances of the CRISPR-Cas9 technology and provide perspectives for the ultimate perfection of Cas9-mediated genome editing.

  15. Optimization of genome editing through CRISPR-Cas9 engineering

    PubMed Central

    Zhang, Jian-Hua; Adikaram, Poorni; Pandey, Mritunjay; Genis, Allison; Simonds, William F.

    2016-01-01

    ABSTRACT CRISPR (Clustered Regularly-Interspaced Short Palindromic Repeats)-Cas9 (CRISPR associated protein 9) has rapidly become the most promising genome editing tool with great potential to revolutionize medicine. Through guidance of a 20 nucleotide RNA (gRNA), CRISPR-Cas9 finds and cuts target protospacer DNA precisely 3 base pairs upstream of a PAM (Protospacer Adjacent Motif). The broken DNA ends are repaired by either NHEJ (Non-Homologous End Joining) resulting in small indels, or by HDR (Homology Directed Repair) for precise gene or nucleotide replacement. Theoretically, CRISPR-Cas9 could be used to modify any genomic sequences, thereby providing a simple, easy, and cost effective means of genome wide gene editing. However, the off-target activity of CRISPR-Cas9 that cuts DNA sites with imperfect matches with gRNA have been of significant concern because clinical applications require 100% accuracy. Additionally, CRISPR-Cas9 has unpredictable efficiency among different DNA target sites and the PAM requirements greatly restrict its genome editing frequency. A large number of efforts have been made to address these impeding issues, but much more is needed to fully realize the medical potential of CRISPR-Cas9. In this article, we summarize the existing problems and current advances of the CRISPR-Cas9 technology and provide perspectives for the ultimate perfection of Cas9-mediated genome editing. PMID:27340770

  16. Crystal Structure of Streptococcus pyogenes Cas1 and Its Interaction with Csn2 in the Type II CRISPR-Cas System.

    PubMed

    Ka, Donghyun; Lee, Hasup; Jung, Yi-Deun; Kim, Kyunggon; Seok, Chaok; Suh, Nayoung; Bae, Euiyoung

    2016-01-05

    CRISPRs and Cas proteins constitute an RNA-guided microbial immune system against invading nucleic acids. Cas1 is a universal Cas protein found in all three types of CRISPR-Cas systems, and its role is implicated in new spacer acquisition during CRISPR-mediated adaptive immunity. Here, we report the crystal structure of Streptococcus pyogenes Cas1 (SpCas1) in a type II CRISPR-Cas system and characterize its interaction with S. pyogenes Csn2 (SpCsn2). The SpCas1 structure reveals a unique conformational state distinct from type I Cas1 structures, resulting in a more extensive dimerization interface, a more globular overall structure, and a disruption of potential metal-binding sites for catalysis. We demonstrate that SpCas1 directly interacts with SpCsn2, and identify the binding interface and key residues for Cas complex formation. These results provide structural information for a type II Cas1 protein, and lay a foundation for studying multiprotein Cas complexes functioning in type II CRISPR-Cas systems. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. CRISPR recognition tool (CRT): a tool for automatic detection of clustered regularly interspaced palindromic repeats.

    PubMed

    Bland, Charles; Ramsey, Teresa L; Sabree, Fareedah; Lowe, Micheal; Brown, Kyndall; Kyrpides, Nikos C; Hugenholtz, Philip

    2007-06-18

    Clustered Regularly Interspaced Palindromic Repeats (CRISPRs) are a novel type of direct repeat found in a wide range of bacteria and archaea. CRISPRs are beginning to attract attention because of their proposed mechanism; that is, defending their hosts against invading extrachromosomal elements such as viruses. Existing repeat detection tools do a poor job of identifying CRISPRs due to the presence of unique spacer sequences separating the repeats. In this study, a new tool, CRT, is introduced that rapidly and accurately identifies CRISPRs in large DNA strings, such as genomes and metagenomes. CRT was compared to CRISPR detection tools, Patscan and Pilercr. In terms of correctness, CRT was shown to be very reliable, demonstrating significant improvements over Patscan for measures precision, recall and quality. When compared to Pilercr, CRT showed improved performance for recall and quality. In terms of speed, CRT proved to be a huge improvement over Patscan. Both CRT and Pilercr were comparable in speed, however CRT was faster for genomes containing large numbers of repeats. In this paper a new tool was introduced for the automatic detection of CRISPR elements. This tool, CRT, showed some important improvements over current techniques for CRISPR identification. CRT's approach to detecting repetitive sequences is straightforward. It uses a simple sequential scan of a DNA sequence and detects repeats directly without any major conversion or preprocessing of the input. This leads to a program that is easy to describe and understand; yet it is very accurate, fast and memory efficient, being O(n) in space and O(nm/l) in time.

  18. Development of a novel molecular detection method for clustered regularly interspaced short palindromic repeats (CRISPRs) in Taylorella organisms.

    PubMed

    Hara, Yasushi; Nakajima, Takuya; Akamatsu, Marie; Yahiro, Motoki; Kagawa, Shizuko; Petry, Sandrine; Matsuda, Motoo; Moore, John E

    2015-07-01

    Contagious equine metritis is a bacterial infectious disease of horses caused by Taylorella equigenitalis, a Gram-negative eubacterium. The disease has been described in several continents, including Europe, North America and Asia. A novel molecular method was developed to detect clustered regularly interspaced short palindromic repeats (CRISPRs), which were separated by non-repetitive unique spacer regions (NRUSRs) of similar length, in the Taylorella equigenitalis EQ59 strain using a primer pair, f-/r-TeCRISPR-ladder, by PCR amplification. In total, 31 Taylorella isolates (17 T. equigenitalis and 14 Taylorella asinigenitalis) were examined. The T. equigenitalis isolates came from thoroughbred and cold-blooded horses from nine countries during 1980-1996, whilst the T. asinigenitalis isolates all originated from donkey jacks in France and the USA during 1997-2006. PAGE fractionated all of the 13 CRISPRs separated by 12 NRUSRs in T. equigenitalis EQ59. Permutation examples of CRISPRs, which were separated by NRUSRs for small-sized ladders, consisting of two doublet bands were shown. Putative CRISPRs separated by NRUSRs were amplified with 14/17 (82.4 %) geographically disparate T. equigenitalis isolates using the newly designed primer pair. Approximately 82.4 % of the T. equigenitalis isolates had CRISPRs separated by NRUSRs. The CRISPR locus was also found in the French T. asinigenitalis strain MCE3. Putative CRISPRs separated by NRUSRs were detected similarly in 4/14 (28.6 %) T. asinigenitalis isolates. Overall, a more detailed understanding of the molecular biology of CRISPRs within Taylorella organisms may help elucidate the pathogenic virulence and transmission mechanisms associated with this important equine pathogen.

  19. Multiple mechanisms for CRISPR-Cas inhibition by anti-CRISPR proteins.

    PubMed

    Bondy-Denomy, Joseph; Garcia, Bianca; Strum, Scott; Du, Mingjian; Rollins, MaryClare F; Hidalgo-Reyes, Yurima; Wiedenheft, Blake; Maxwell, Karen L; Davidson, Alan R

    2015-10-01

    The battle for survival between bacteria and the viruses that infect them (phages) has led to the evolution of many bacterial defence systems and phage-encoded antagonists of these systems. Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated (cas) genes comprise an adaptive immune system that is one of the most widespread means by which bacteria defend themselves against phages. We identified the first examples of proteins produced by phages that inhibit a CRISPR-Cas system. Here we performed biochemical and in vivo investigations of three of these anti-CRISPR proteins, and show that each inhibits CRISPR-Cas activity through a distinct mechanism. Two block the DNA-binding activity of the CRISPR-Cas complex, yet do this by interacting with different protein subunits, and using steric or non-steric modes of inhibition. The third anti-CRISPR protein operates by binding to the Cas3 helicase-nuclease and preventing its recruitment to the DNA-bound CRISPR-Cas complex. In vivo, this anti-CRISPR can convert the CRISPR-Cas system into a transcriptional repressor, providing the first example-to our knowledge-of modulation of CRISPR-Cas activity by a protein interactor. The diverse sequences and mechanisms of action of these anti-CRISPR proteins imply an independent evolution, and foreshadow the existence of other means by which proteins may alter CRISPR-Cas function.

  20. CRISPR-DAV: CRISPR NGS data analysis and visualization pipeline.

    PubMed

    Wang, Xuning; Tilford, Charles; Neuhaus, Isaac; Mintier, Gabe; Guo, Qi; Feder, John N; Kirov, Stefan

    2017-12-01

    The simplicity and precision of CRISPR/Cas9 system has brought in a new era of gene editing. Screening for desired clones with CRISPR-mediated genomic edits in a large number of samples is made possible by next generation sequencing (NGS) due to its multiplexing. Here we present CRISPR-DAV (CRISPR Data Analysis and Visualization) pipeline to analyze the CRISPR NGS data in a high throughput manner. In the pipeline, Burrows-Wheeler Aligner and Assembly Based ReAlignment are used for small and large indel detection, and results are presented in a comprehensive set of charts and interactive alignment view. CRISPR-DAV is available at GitHub and Docker Hub repositories: https://github.com/pinetree1/crispr-dav.git and https://hub.docker.com/r/pinetree1/crispr-dav/. xuning.wang@bms.com. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com

  1. Molecular identification and characterization of clustered regularly interspaced short palindromic repeat (CRISPR) gene cluster in Taylorella equigenitalis.

    PubMed

    Hara, Yasushi; Hayashi, Kyohei; Nakajima, Takuya; Kagawa, Shizuko; Tazumi, Akihiro; Moore, John E; Matsuda, Motoo

    2013-09-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs), of approximately 10,000 base pairs (bp) in length, were shown to occur in the Japanese Taylorella equigenitalis strain, EQ59. The locus was composed of the putative CRISPRs-associated with 5 (cas5), RAMP csd1, csd2, recB, cas1, a leader region, 13 CRISPR consensus sequence repeats (each 32 bp; 5'-TCAGCCACGTTCGCGTGGCTGTGTGTTTAAAG-3'). These were in turn separated by 12 non repetitive unique spacer regions of similar length. In addition, a leader region, a transposase/IS protein, a leader region, and cas3 were also seen. All seven putative open reading frames carry their ribosome binding sites. Promoter consensus sequences at the -35 and -10 regions and putative intrinsic ρ-independent transcription terminator regions also occurred. A possible long overlap of 170 bp in length occurred between the recB and cas1 loci. Positive reverse transcription PCR signals of cas5, RAMP csd1, csd2-recB/cas1, and cas3 were generated. A putative secondary structure of the CRISPR consensus repeats was constructed. Following this, CRISPR results of the T. equigenitalis EQ59 isolate were subsequently compared with those from the Taylorella asinigenitalis MCE3 isolate.

  2. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2017-10-01

    The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin-antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the "guns for hire" paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution 2017. This work is written by US Government employees and is in the public

  3. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back

    PubMed Central

    Makarova, Kira S.

    2017-01-01

    Abstract The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin–antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the “guns for hire” paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. PMID:28985291

  4. Increasing on-target cleavage efficiency for CRISPR/Cas9-induced large fragment deletion in Myxococcus xanthus.

    PubMed

    Yang, Ying-Jie; Wang, Ye; Li, Zhi-Feng; Gong, Ya; Zhang, Peng; Hu, Wen-Chao; Sheng, Duo-Hong; Li, Yue-Zhong

    2017-08-16

    The CRISPR/Cas9 system is a powerful tool for genome editing, in which the sgRNA binds and guides the Cas9 protein for the sequence-specific cleavage. The protocol is employable in different organisms, but is often limited by cell damage due to the endonuclease activity of the introduced Cas9 and the potential off-target DNA cleavage from incorrect guide by the 20 nt spacer. In this study, after resolving some critical limits, we have established an efficient CRISPR/Cas9 system for the deletion of large genome fragments related to the biosynthesis of secondary metabolites in Myxococcus xanthus cells. We revealed that the high expression of a codon-optimized cas9 gene in M. xanthus was cytotoxic, and developed a temporally high expression strategy to reduce the cell damage from high expressions of Cas9. We optimized the deletion protocol by using the tRNA-sgRNA-tRNA chimeric structure to ensure correct sgRNA sequence. We found that, in addition to the position-dependent nucleotide preference, the free energy of a 20 nt spacer was a key factor for the deletion efficiency. By using the developed protocol, we achieved the CRISPR/Cas9-induced deletion of large biosynthetic gene clusters for secondary metabolites in M. xanthus DK1622 and its epothilone-producing mutant. The findings and the proposals described in this paper were suggested to be workable in other organisms, for example, other Gram negative bacteria with high GC content.

  5. The CRISPR-Associated Gene cas2 of Legionella pneumophila Is Required for Intracellular Infection of Amoebae

    PubMed Central

    Gunderson, Felizza F.; Cianciotto, Nicholas P.

    2013-01-01

    ABSTRACT Recent studies have shown that the clustered regularly interspaced palindromic repeats (CRISPR) array and its associated (cas) genes can play a key role in bacterial immunity against phage and plasmids. Upon analysis of the Legionella pneumophila strain 130b chromosome, we detected a subtype II-B CRISPR-Cas locus that contains cas9, cas1, cas2, cas4, and an array with 60 repeats and 58 unique spacers. Reverse transcription (RT)-PCR analysis demonstrated that the entire CRISPR-Cas locus is expressed during 130b extracellular growth in both rich and minimal media as well as during intracellular infection of macrophages and aquatic amoebae. Quantitative reverse transcription-PCR (RT-PCR) further showed that the levels of cas transcripts, especially those of cas1 and cas2, are elevated during intracellular growth relative to exponential-phase growth in broth. Mutants lacking components of the CRISPR-Cas locus were made and found to grow normally in broth and on agar media. cas9, cas1, cas4, and CRISPR array mutants also grew normally in macrophages and amoebae. However, cas2 mutants, although they grew typically in macrophages, were significantly impaired for infection of both Hartmannella and Acanthamoeba species. A complemented cas2 mutant infected the amoebae at wild-type levels, confirming that cas2 is required for intracellular infection of these host cells. PMID:23481601

  6. CRISPR-FOCUS: A web server for designing focused CRISPR screening experiments.

    PubMed

    Cao, Qingyi; Ma, Jian; Chen, Chen-Hao; Xu, Han; Chen, Zhi; Li, Wei; Liu, X Shirley

    2017-01-01

    The recently developed CRISPR screen technology, based on the CRISPR/Cas9 genome editing system, enables genome-wide interrogation of gene functions in an efficient and cost-effective manner. Although many computational algorithms and web servers have been developed to design single-guide RNAs (sgRNAs) with high specificity and efficiency, algorithms specifically designed for conducting CRISPR screens are still lacking. Here we present CRISPR-FOCUS, a web-based platform to search and prioritize sgRNAs for CRISPR screen experiments. With official gene symbols or RefSeq IDs as the only mandatory input, CRISPR-FOCUS filters and prioritizes sgRNAs based on multiple criteria, including efficiency, specificity, sequence conservation, isoform structure, as well as genomic variations including Single Nucleotide Polymorphisms and cancer somatic mutations. CRISPR-FOCUS also provides pre-defined positive and negative control sgRNAs, as well as other necessary sequences in the construct (e.g., U6 promoters to drive sgRNA transcription and RNA scaffolds of the CRISPR/Cas9). These features allow users to synthesize oligonucleotides directly based on the output of CRISPR-FOCUS. Overall, CRISPR-FOCUS provides a rational and high-throughput approach for sgRNA library design that enables users to efficiently conduct a focused screen experiment targeting up to thousands of genes. (CRISPR-FOCUS is freely available at http://cistrome.org/crispr-focus/).

  7. Fragmentation of the CRISPR-Cas Type I-B signature protein Cas8b.

    PubMed

    Richter, Hagen; Rompf, Judith; Wiegel, Julia; Rau, Kristina; Randau, Lennart

    2017-11-01

    CRISPR arrays are transcribed into long precursor RNA species, which are further processed into mature CRISPR RNAs (crRNAs). Cas proteins utilize these crRNAs, which contain spacer sequences that can be derived from mobile genetic elements, to mediate immunity during a reoccurring virus infection. Type I CRISPR-Cas systems are defined by the presence of different Cascade interference complexes containing large and small subunits that play major roles during target DNA selection. Here, we produce the protein and crRNA components of the Type I-B CRISPR-Cas complex of Clostridium thermocellum and Methanococcus maripaludis. The C. thermocellum Cascade complexes were reconstituted and analyzed via size-exclusion chromatography. Activity of the heterologous M. maripaludis CRISPR-Cas system was followed using phage lambda plaques assays. The reconstituted Type-I-B Cascade complex contains Cas7, Cas5, Cas6b and the large subunit Cas8b. Cas6b can be omitted from the reconstitution protocol. The large subunit Cas8b was found to be represented by two tightly associated protein fragments and a small C-terminal Cas8b segment was identified in recombinant complexes and C. thermocellum cell lysate. Production of Cas8b generates a small C-terminal fragment, which is suggested to fulfill the role of the missing small subunit. A heterologous, synthetic M. maripaludis Type I-B system is active in E. coli against phage lambda, highlighting a potential for genome editing using endogenous Type-I-B CRISPR-Cas machineries. This article is part of a Special Issue entitled "Biochemistry of Synthetic Biology - Recent Developments" Guest Editor: Dr. Ilka Heinemann and Dr. Patrick O'Donoghue. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Real-Life Outcomes for Patients with Asthma Prescribed Spacers for Use with Either Extrafine- or Fine-Particle Inhaled Corticosteroids.

    PubMed

    Guilbert, Theresa W; Colice, Gene; Grigg, Jonathan; van Aalderen, Wim; Martin, Richard J; Israel, Elliot; Postma, Dirkje S; Roche, Nicolas; Phipatanakul, Wanda; Hillyer, Elizabeth V; Evans, Jennifer M; Dolovich, Myrna B; Price, David B

    Spacers are often used with pressurized metered-dose inhalers (pMDIs) to eliminate the need for coordinating inhalation with actuation. To investigate the real-life effectiveness of spacers prescribed for use with either extrafine- or fine-particle inhaled corticosteroids (ICSs). This historical matched cohort study examined anonymous medical record data over 2 years (1-year baseline, 1-year outcome) for patients with asthma aged 12 to 80 years initiating ICSs by pMDI with or without prescribed spacer. We compared outcomes for spacer versus no-spacer arms, matched for key baseline and asthma-related characteristics, within 2 ICS cohorts: (1) extrafine-particle ICS (beclomethasone) and (2) fine-particle ICS (fluticasone). Effectiveness end points were compared using conditional regression methods. Matched spacer and no-spacer arms of the extrafine-particle ICS cohort each included 2090 patients (69% females; median age, 46-47 years) and the 2 arms of the fine-particle ICS cohort each included 444 patients (67% females; median age, 45 years). With extrafine-particle ICS, we observed no significant difference between spacer and no-spacer arms in severe exacerbation rate (primary end point): adjusted rate ratio, 1.01 (95% CI, 0.83-1.23). With fine-particle ICS, the severe exacerbation rate ratio with spacers was 0.77 (0.47-1.25). Oropharyngeal candidiasis incidence was low and similar in spacer and no-spacer arms for both ICS cohorts. We found no evidence that prescribed spacer devices are associated with improved asthma outcomes for extrafine- or fine-particle ICS administered by pMDI. These findings challenge long-standing assumptions that spacers should improve pMDI effectiveness and indicate the need for pragmatic trials of spacers in clinical practice. Copyright © 2016 American Academy of Allergy, Asthma & Immunology. Published by Elsevier Inc. All rights reserved.

  9. Characterization of a novel lytic bacteriophage from an industrial Escherichia coli fermentation process and elimination of virulence using a heterologous CRISPR-Cas9 system.

    PubMed

    Halter, Mathew C; Zahn, James A

    2018-03-01

    Bacterial-bacteriophage interactions are a well-studied and ecologically-important aspect of microbiology. Many commercial fermentation processes are susceptible to bacteriophage infections due to the use of high-density, clonal cell populations. Lytic infections of bacterial cells in these fermentations are especially problematic due to their negative impacts on product quality, asset utilization, and fouling of downstream equipment. Here, we report the isolation and characterization of a novel lytic bacteriophage, referred to as bacteriophage DTL that is capable of rapid lytic infections of an Escherichia coli K12 strain used for commercial production of 1,3-propanediol (PDO). The bacteriophage genome was sequenced and annotated, which identified 67 potential open-reading frames (ORF). The tail fiber ORF, the largest in the genome, was most closely related to bacteriophage RTP, a T1-like bacteriophage reported from a commercial E. coli fermentation process in Germany. To eliminate virulence, both a fully functional Streptococcus thermophilus CRISPR3 plasmid and a customized S. thermophilus CRISPR3 plasmid with disabled spacer acquisition elements and seven spacers targeting the bacteriophage DTL genome were constructed. Both plasmids were separately integrated into a PDO production strain, which was subsequently infected with bacteriophage DTL. The native S. thermophilus CRISPR3 operon was shown to decrease phage susceptibility by approximately 96%, while the customized CRISPR3 operon provided complete resistance to bacteriophage DTL. The results indicate that the heterologous bacteriophage-resistance system described herein is useful in eliminating lytic infections of bacteriophage DTL, which was prevalent in environment surrounding the manufacturing facility.

  10. H-NS Mutation-Mediated CRISPR-Cas Activation Inhibits Phage Release and Toxin Production of Escherichia coli Stx2 Phage Lysogen.

    PubMed

    Fu, Qiang; Li, Shiyu; Wang, Zhaofei; Shan, Wenya; Ma, Jingjiao; Cheng, Yuqiang; Wang, Hengan; Yan, Yaxian; Sun, Jianhe

    2017-01-01

    Shiga toxin-converting bacteriophages (Stx phages) carry the stx gene and convert nonpathogenic bacterial strains into Shiga toxin-producing bacteria. There is limited understanding of the effect that an Escherichia coli ( E. coli ) clustered regularly interspaced short palindromic repeats (CRISPR)-Cas adaptive immune system has on Stx phage lysogen. We investigated heat-stable nucleoid-structuring (H-NS) mutation-mediated CRISPR-Cas activation and its effect on E. coli Stx2 phage lysogen. The Δ hns mutant (MG1655Δ hns ) of the E. coli K-12 strain MG1655 was obtained. The Δ hns mutant lysogen that was generated after Stx phage lysogenic infection had a repressed growth status and showed subdued group behavior, including biofilm formation and swarming motility, in comparison to the wild-type strain. The de-repression effect of the H-NS mutation on CRISPR-Cas activity was then verified. The results showed that cas gene expression was upregulated and the transformation efficiency of the wild-type CRISPR plasmids was decreased, which may indicate activation of the CRISPR-Cas system. Furthermore, the function of CRISPR-Cas on Stx2 phage lysogen was investigated by activating the CRISPR-Cas system, which contains an insertion of the protospacer regions of the Stx2 phage Min27. The phage release and toxin production of four lysogens harboring the engineered CRISPRs were investigated. Notably, in the supernatant of the Δ hns mutant lysogen harboring the Min27 spacer, both the progeny phage release and the toxin production were inhibited after mitomycin C induction. These observations demonstrate that the H-NS mutation-activated CRISPR-Cas system plays a role in modifying the effects of the Stx2 phage lysogen. Our findings indicated that H-NS mutation-mediated CRISPR-Cas activation in E. coli protects bacteria against Stx2 phage lysogeny by inhibiting the phage release and toxin production of the lysogen.

  11. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments

    PubMed Central

    Pearson, Bruce M.; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H.M.

    2015-01-01

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. PMID:26338188

  12. CRISPR-cas subtype I-Fb in Acinetobacter baumannii: evolution and utilization for strain subtyping.

    PubMed

    Karah, Nabil; Samuelsen, Ørjan; Zarrilli, Raffaele; Sahl, Jason W; Wai, Sun Nyunt; Uhlin, Bernt Eric

    2015-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are polymorphic elements found in the genome of some or all strains of particular bacterial species, providing them with a system of acquired immunity against invading bacteriophages and plasmids. Two CRISPR-Cas systems have been identified in Acinetobacter baumannii, an opportunistic pathogen with a remarkable capacity for clonal dissemination. In this study, we investigated the mode of evolution and diversity of spacers of the CRISPR-cas subtype I-Fb locus in a global collection of 76 isolates of A. baumannii obtained from 14 countries and 4 continents. The locus has basically evolved from a common ancestor following two main lineages and several pathways of vertical descent. However, this vertical passage has been interrupted by occasional events of horizontal transfer of the whole locus between distinct isolates. The isolates were assigned into 40 CRISPR-based sequence types (CST). CST1 and CST23-24 comprised 18 and 9 isolates, representing two main sub-clones of international clones CC1 and CC25, respectively. Epidemiological data showed that some of the CST1 isolates were acquired or imported from Iraq, where it has probably been endemic for more than one decade and occasionally been able to spread to USA, Canada, and Europe. CST23-24 has shown a remarkable ability to cause national outbreaks of infections in Sweden, Argentina, UAE, and USA. The three isolates of CST19 were independently imported from Thailand to Sweden and Norway, raising a concern about the prevalence of CST19 in Thailand. Our study highlights the dynamic nature of the CRISPR-cas subtype I-Fb locus in A. baumannii, and demonstrates the possibility of using a CRISPR-based approach for subtyping a significant part of the global population of A. baumannii.

  13. CRISPR-cas Subtype I-Fb in Acinetobacter baumannii: Evolution and Utilization for Strain Subtyping

    PubMed Central

    Karah, Nabil; Samuelsen, Ørjan; Zarrilli, Raffaele; Sahl, Jason W.; Wai, Sun Nyunt; Uhlin, Bernt Eric

    2015-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are polymorphic elements found in the genome of some or all strains of particular bacterial species, providing them with a system of acquired immunity against invading bacteriophages and plasmids. Two CRISPR-Cas systems have been identified in Acinetobacter baumannii, an opportunistic pathogen with a remarkable capacity for clonal dissemination. In this study, we investigated the mode of evolution and diversity of spacers of the CRISPR-cas subtype I-Fb locus in a global collection of 76 isolates of A. baumannii obtained from 14 countries and 4 continents. The locus has basically evolved from a common ancestor following two main lineages and several pathways of vertical descent. However, this vertical passage has been interrupted by occasional events of horizontal transfer of the whole locus between distinct isolates. The isolates were assigned into 40 CRISPR-based sequence types (CST). CST1 and CST23-24 comprised 18 and 9 isolates, representing two main sub-clones of international clones CC1 and CC25, respectively. Epidemiological data showed that some of the CST1 isolates were acquired or imported from Iraq, where it has probably been endemic for more than one decade and occasionally been able to spread to USA, Canada, and Europe. CST23-24 has shown a remarkable ability to cause national outbreaks of infections in Sweden, Argentina, UAE, and USA. The three isolates of CST19 were independently imported from Thailand to Sweden and Norway, raising a concern about the prevalence of CST19 in Thailand. Our study highlights the dynamic nature of the CRISPR-cas subtype I-Fb locus in A. baumannii, and demonstrates the possibility of using a CRISPR-based approach for subtyping a significant part of the global population of A. baumannii. PMID:25706932

  14. Prespacer processing and specific integration in a Type I-A CRISPR system

    PubMed Central

    Rollie, Clare; Graham, Shirley; Rouillon, Christophe

    2018-01-01

    Abstract The CRISPR–Cas system for prokaryotic adaptive immunity provides RNA-mediated protection from viruses and mobile genetic elements. Adaptation is dependent on the Cas1 and Cas2 proteins along with varying accessory proteins. Here we analyse the process in Sulfolobus solfataricus, showing that while Cas1 and Cas2 catalyze spacer integration in vitro, host factors are required for specificity. Specific integration also requires at least 400 bp of the leader sequence, and is dependent on the presence of hydrolysable ATP, suggestive of an active process that may involve DNA remodelling. Specific spacer integration is associated with processing of prespacer 3′ ends in a PAM-dependent manner. This is reflected in PAM-dependent processing of prespacer 3′ ends in vitro in the presence of cell lysate or the Cas4 nuclease, in a reaction consistent with PAM-directed binding and protection of prespacer DNA. These results highlight the diverse interplay between CRISPR–Cas elements and host proteins across CRISPR types. PMID:29228332

  15. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments.

    PubMed

    Pearson, Bruce M; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H M

    2015-09-02

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. © The Author(s) 2015. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  16. CRISPR-Cas encoding of a digital movie into the genomes of a population of living bacteria.

    PubMed

    Shipman, Seth L; Nivala, Jeff; Macklis, Jeffrey D; Church, George M

    2017-07-20

    DNA is an excellent medium for archiving data. Recent efforts have illustrated the potential for information storage in DNA using synthesized oligonucleotides assembled in vitro. A relatively unexplored avenue of information storage in DNA is the ability to write information into the genome of a living cell by the addition of nucleotides over time. Using the Cas1-Cas2 integrase, the CRISPR-Cas microbial immune system stores the nucleotide content of invading viruses to confer adaptive immunity. When harnessed, this system has the potential to write arbitrary information into the genome. Here we use the CRISPR-Cas system to encode the pixel values of black and white images and a short movie into the genomes of a population of living bacteria. In doing so, we push the technical limits of this information storage system and optimize strategies to minimize those limitations. We also uncover underlying principles of the CRISPR-Cas adaptation system, including sequence determinants of spacer acquisition that are relevant for understanding both the basic biology of bacterial adaptation and its technological applications. This work demonstrates that this system can capture and stably store practical amounts of real data within the genomes of populations of living cells.

  17. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein.

    PubMed

    Pawluk, April; Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F; Davidson, Alan R; Maxwell, Karen L

    2017-12-12

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. IMPORTANCE The CRISPR-Cas immune system provides bacteria with resistance to invasion by potentially harmful viruses, plasmids, and other foreign mobile genetic elements. This study presents the first structural and mechanistic insight into a phage-encoded protein that inactivates the type I-E CRISPR-Cas system in Pseudomonas aeruginosa The interaction of this anti-CRISPR protein with the CRISPR-associated helicase/nuclease proteins Cas3 shuts down the CRISPR-Cas system and protects phages carrying this gene from destruction. This interaction also allows the repurposing of the endogenous type I-E CRISPR system into a programmable transcriptional repressor, providing a new biotechnological tool for genetic studies of bacteria encoding this type I-E CRISPR-Cas system. Copyright © 2017 Pawluk et al.

  18. CrisprGE: a central hub of CRISPR/Cas-based genome editing.

    PubMed

    Kaur, Karambir; Tandon, Himani; Gupta, Amit Kumar; Kumar, Manoj

    2015-01-01

    CRISPR system is a powerful defense mechanism in bacteria and archaea to provide immunity against viruses. Recently, this process found a new application in intended targeting of the genomes. CRISPR-mediated genome editing is performed by two main components namely single guide RNA and Cas9 protein. Despite the enormous data generated in this area, there is a dearth of high throughput resource. Therefore, we have developed CrisprGE, a central hub of CRISPR/Cas-based genome editing. Presently, this database holds a total of 4680 entries of 223 unique genes from 32 model and other organisms. It encompasses information about the organism, gene, target gene sequences, genetic modification, modifications length, genome editing efficiency, cell line, assay, etc. This depository is developed using the open source LAMP (Linux Apache MYSQL PHP) server. User-friendly browsing, searching facility is integrated for easy data retrieval. It also includes useful tools like BLAST CrisprGE, BLAST NTdb and CRISPR Mapper. Considering potential utilities of CRISPR in the vast area of biology and therapeutics, we foresee this platform as an assistance to accelerate research in the burgeoning field of genome engineering. © The Author(s) 2015. Published by Oxford University Press.

  19. Structural insights into the inactivation of CRISPR-Cas systems by diverse anti-CRISPR proteins.

    PubMed

    Zhu, Yuwei; Zhang, Fan; Huang, Zhiwei

    2018-03-19

    A molecular arms race is progressively being unveiled between prokaryotes and viruses. Prokaryotes utilize CRISPR-mediated adaptive immune systems to kill the invading phages and mobile genetic elements, and in turn, the viruses evolve diverse anti-CRISPR proteins to fight back. The structures of several anti-CRISPR proteins have now been reported, and here we discuss their structural features, with a particular emphasis on topology, to discover their similarities and differences. We summarize the CRISPR-Cas inhibition mechanisms of these anti-CRISPR proteins in their structural context. Considering anti-CRISPRs in this way will provide important clues for studying their origin and evolution.

  20. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein

    PubMed Central

    Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F.

    2017-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. PMID:29233895

  1. CRISPR/cas Loci of Type II Propionibacterium acnes Confer Immunity against Acquisition of Mobile Elements Present in Type I P. acnes

    PubMed Central

    Brüggemann, Holger; Lomholt, Hans B.; Tettelin, Hervé; Kilian, Mogens

    2012-01-01

    Propionibacterium acnes is a skin commensal that occasionally acts as an opportunistic pathogen. The population structure of this species shows three main lineages (I–III). While type I strains are mainly associated with sebaceous follicles of human skin and inflammatory acne, types II and III strains are more often associated with deep tissue infections. We investigated the occurrence and distribution of the clustered regularly interspaced short palindromic repeats (CRISPR) in P. acnes, assessed their immunological memory, and addressed the question if such a system could account for type-specific properties of the species. A collection of 108 clinical isolates covering all known phylotypes of P. acnes was screened for the existence of CRISPR/cas loci. We found that CRISPR loci are restricted to type II P. acnes strains. Sequence analyses of the CRISPR spacers revealed that the system confers immunity to P. acnes-specific phages and to two mobile genetic elements. These elements are found almost exclusively in type I P. acnes strains. Genome sequencing of a type I P. acnes isolate revealed that one element, 54 kb in size, encodes a putative secretion/tight adherence (TAD) system. Thus, CRISPR/cas loci in P. acnes recorded the exposure of type II strains to mobile genetic elements of type I strains. The CRISPR/cas locus is deleted in type I strains, which conceivably accounts for their ability to horizontally acquire fitness or virulence traits and might indicate that type I strains constitute a younger subpopulation of P. acnes. PMID:22479553

  2. CRISPR-UMI: single-cell lineage tracing of pooled CRISPR-Cas9 screens.

    PubMed

    Michlits, Georg; Hubmann, Maria; Wu, Szu-Hsien; Vainorius, Gintautas; Budusan, Elena; Zhuk, Sergei; Burkard, Thomas R; Novatchkova, Maria; Aichinger, Martin; Lu, Yiqing; Reece-Hoyes, John; Nitsch, Roberto; Schramek, Daniel; Hoepfner, Dominic; Elling, Ulrich

    2017-12-01

    Pooled CRISPR screens are a powerful tool for assessments of gene function. However, conventional analysis is based exclusively on the relative abundance of integrated single guide RNAs (sgRNAs) between populations, which does not discern distinct phenotypes and editing outcomes generated by identical sgRNAs. Here we present CRISPR-UMI, a single-cell lineage-tracing methodology for pooled screening to account for cell heterogeneity. We generated complex sgRNA libraries with unique molecular identifiers (UMIs) that allowed for screening of clonally expanded, individually tagged cells. A proof-of-principle CRISPR-UMI negative-selection screen provided increased sensitivity and robustness compared with conventional analysis by accounting for underlying cellular and editing-outcome heterogeneity and detection of outlier clones. Furthermore, a CRISPR-UMI positive-selection screen uncovered new roadblocks in reprogramming mouse embryonic fibroblasts as pluripotent stem cells, distinguishing reprogramming frequency and speed (i.e., effect size and probability). CRISPR-UMI boosts the predictive power, sensitivity, and information content of pooled CRISPR screens.

  3. Assessing the intra-species genetic variability in the clonal pathogen Campylobacter fetus: CRISPRs are highly polymorphic DNA markers.

    PubMed

    Calleros, Lucía; Betancor, Laura; Iraola, Gregorio; Méndez, Alejandra; Morsella, Claudia; Paolicchi, Fernando; Silveyra, Silvia; Velilla, Alejandra; Pérez, Ruben

    2017-01-01

    Campylobacter fetus is a Gram-negative, microaerophilic bacterium that infects animals and humans. The subspecies Campylobacter fetus subsp. fetus (Cff) affects a broad range of vertebrate hosts and induces abortion in cows and sheep. Campylobacter fetus subsp. venerealis (Cfv) is restricted to cattle and causes the endemic disease bovine genital campylobacteriosis, which triggers reproductive problems and is responsible for major economic losses. Campylobacter fetus subsp. testudinum (Cft) has been isolated mostly from apparently healthy reptiles belonging to different species but also from ill snakes and humans. Genotypic differentiation of Cff and Cfv is difficult, and epidemiological information is scarce because there are few methods to study the genetic diversity of the strains. We analyze the efficacy of MLST, ribosomal sequences (23S gene and internal spacer region), and CRISPRs to assess the genetic variability of C. fetus in bovine and human isolates. Sequences retrieved from complete genomes were included in the analysis for comparative purposes. MLST and ribosomal sequences had scarce or null variability, while the CRISPR-cas system structure and the sequence of CRISPR1 locus showed remarkable diversity. None of the sequences here analyzed provided evidence of a genetic differentiation of Cff and Cfv in bovine isolates. Comparison of bovine and human isolates with Cft strains showed a striking divergence. Inter-host differences raise the possibility of determining the original host of human infections using CRISPR sequences. CRISPRs are the most variable sequences analyzed in C. fetus so far, and constitute excellent representatives of a dynamic fraction of the genome. CRISPR typing is a promising tool to characterize isolates and to track the source and transmission route of C. fetus infections. Copyright © 2016 Elsevier B.V. All rights reserved.

  4. RNA Interference in the Age of CRISPR: Will CRISPR Interfere with RNAi?

    PubMed Central

    Unniyampurath, Unnikrishnan; Pilankatta, Rajendra; Krishnan, Manoj N.

    2016-01-01

    The recent emergence of multiple technologies for modifying gene structure has revolutionized mammalian biomedical research and enhanced the promises of gene therapy. Over the past decade, RNA interference (RNAi) based technologies widely dominated various research applications involving experimental modulation of gene expression at the post-transcriptional level. Recently, a new gene editing technology, Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and the CRISPR-associated protein 9 (Cas9) (CRISPR/Cas9) system, has received unprecedented acceptance in the scientific community for a variety of genetic applications. Unlike RNAi, the CRISPR/Cas9 system is bestowed with the ability to introduce heritable precision insertions and deletions in the eukaryotic genome. The combination of popularity and superior capabilities of CRISPR/Cas9 system raises the possibility that this technology may occupy the roles currently served by RNAi and may even make RNAi obsolete. We performed a comparative analysis of the technical aspects and applications of the CRISPR/Cas9 system and RNAi in mammalian systems, with the purpose of charting out a predictive picture on whether the CRISPR/Cas9 system will eclipse the existence and future of RNAi. The conclusion drawn from this analysis is that RNAi will still occupy specific domains of biomedical research and clinical applications, under the current state of development of these technologies. However, further improvements in CRISPR/Cas9 based technology may ultimately enable it to dominate RNAi in the long term. PMID:26927085

  5. RNA Interference in the Age of CRISPR: Will CRISPR Interfere with RNAi?

    PubMed

    Unniyampurath, Unnikrishnan; Pilankatta, Rajendra; Krishnan, Manoj N

    2016-02-26

    The recent emergence of multiple technologies for modifying gene structure has revolutionized mammalian biomedical research and enhanced the promises of gene therapy. Over the past decade, RNA interference (RNAi) based technologies widely dominated various research applications involving experimental modulation of gene expression at the post-transcriptional level. Recently, a new gene editing technology, Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and the CRISPR-associated protein 9 (Cas9) (CRISPR/Cas9) system, has received unprecedented acceptance in the scientific community for a variety of genetic applications. Unlike RNAi, the CRISPR/Cas9 system is bestowed with the ability to introduce heritable precision insertions and deletions in the eukaryotic genome. The combination of popularity and superior capabilities of CRISPR/Cas9 system raises the possibility that this technology may occupy the roles currently served by RNAi and may even make RNAi obsolete. We performed a comparative analysis of the technical aspects and applications of the CRISPR/Cas9 system and RNAi in mammalian systems, with the purpose of charting out a predictive picture on whether the CRISPR/Cas9 system will eclipse the existence and future of RNAi. The conclusion drawn from this analysis is that RNAi will still occupy specific domains of biomedical research and clinical applications, under the current state of development of these technologies. However, further improvements in CRISPR/Cas9 based technology may ultimately enable it to dominate RNAi in the long term.

  6. Self-Cloning CRISPR.

    PubMed

    Arbab, Mandana; Sherwood, Richard I

    2016-08-17

    CRISPR/Cas9-gene editing has emerged as a revolutionary technology to easily modify specific genomic loci by designing complementary sgRNA sequences and introducing these into cells along with Cas9. Self-cloning CRISPR/Cas9 (scCRISPR) uses a self-cleaving palindromic sgRNA plasmid (sgPal) that recombines with short PCR-amplified site-specific sgRNA sequences within the target cell by homologous recombination to circumvent the process of sgRNA plasmid construction. Through this mechanism, scCRISPR enables gene editing within 2 hr once sgRNA oligos are available, with high efficiency equivalent to conventional sgRNA targeting: >90% gene knockout in both mouse and human embryonic stem cells and cancer cell lines. Furthermore, using PCR-based addition of short homology arms, we achieve efficient site-specific knock-in of transgenes such as GFP without traditional plasmid cloning or genome-integrated selection cassette (2% to 4% knock-in rate). The methods in this paper describe the most rapid and efficient means of CRISPR gene editing. © 2016 by John Wiley & Sons, Inc. Copyright © 2016 John Wiley & Sons, Inc.

  7. Cloning-free CRISPR

    PubMed Central

    Arbab, Mandana; Srinivasan, Sharanya; Hashimoto, Tatsunori; Geijsen, Niels; Sherwood, Richard I.

    2015-01-01

    Summary We present self-cloning CRISPR/Cas9 (scCRISPR), a technology that allows for CRISPR/Cas9-mediated genomic mutation and site-specific knockin transgene creation within several hours by circumventing the need to clone a site-specific single-guide RNA (sgRNA) or knockin homology construct for each target locus. We introduce a self-cleaving palindromic sgRNA plasmid and a short double-stranded DNA sequence encoding the desired locus-specific sgRNA into target cells, allowing them to produce a locus-specific sgRNA plasmid through homologous recombination. scCRISPR enables efficient generation of gene knockouts (∼88% mutation rate) at approximately one-sixth the cost of plasmid-based sgRNA construction with only 2 hr of preparation for each targeted site. Additionally, we demonstrate efficient site-specific knockin of GFP transgenes without any plasmid cloning or genome-integrated selection cassette in mouse and human embryonic stem cells (2%–4% knockin rate) through PCR-based addition of short homology arms. scCRISPR substantially lowers the bar on mouse and human transgenesis. PMID:26527385

  8. CRISPR-mediated Ophthalmic Genome Surgery.

    PubMed

    Cho, Galaxy Y; Abdulla, Yazeed; Sengillo, Jesse D; Justus, Sally; Schaefer, Kellie A; Bassuk, Alexander G; Tsang, Stephen H; Mahajan, Vinit B

    2017-09-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) is a genome engineering system with great potential for clinical applications due to its versatility and programmability. This review highlights the development and use of CRISPR-mediated ophthalmic genome surgery in recent years. Diverse CRISPR techniques are in development to target a wide array of ophthalmic conditions, including inherited and acquired conditions. Preclinical disease modeling and recent successes in gene editing suggest potential efficacy of CRISPR as a therapeutic for inherited conditions. In particular, the treatment of Leber congenital amaurosis with CRISPR-mediated genome surgery is expected to reach clinical trials in the near future. Treatment options for inherited retinal dystrophies are currently limited. CRISPR-mediated genome surgery methods may be able to address this unmet need in the future.

  9. Bacterial CRISPR: Accomplishments and Prospects

    PubMed Central

    Peters, Jason M.; Silvis, Melanie R.; Zhao, Dehua; Hawkins, John S.; Gross, Carol A.; Qi, Lei S.

    2015-01-01

    In this review we briefly describe the development of CRISPR tools for genome editing and control of transcription in bacteria. We focus on the Type II CRISPR/Cas9 system, provide specific examples for use of the system, and highlight the advantages and disadvantages of CRISPR versus other techniques. We suggest potential strategies for combining CRISPR tools with high-throughput approaches to elucidate gene function in bacteria. PMID:26363124

  10. Benefits of Genomic Insights and CRISPR-Cas Signatures to Monitor Potential Pathogens across Drinking Water Production and Distribution Systems

    PubMed Central

    Zhang, Ya; Kitajima, Masaaki; Whittle, Andrew J.; Liu, Wen-Tso

    2017-01-01

    The occurrence of pathogenic bacteria in drinking water distribution systems (DWDSs) is a major health concern, and our current understanding is mostly related to pathogenic species such as Legionella pneumophila and Mycobacterium avium but not to bacterial species closely related to them. In this study, genomic-based approaches were used to characterize pathogen-related species in relation to their abundance, diversity, potential pathogenicity, genetic exchange, and distribution across an urban drinking water system. Nine draft genomes recovered from 10 metagenomes were identified as Legionella (4 draft genomes), Mycobacterium (3 draft genomes), Parachlamydia (1 draft genome), and Leptospira (1 draft genome). The pathogenicity potential of these genomes was examined by the presence/absence of virulence machinery, including genes belonging to Type III, IV, and VII secretion systems and their effectors. Several virulence factors known to pathogenic species were detected with these retrieved draft genomes except the Leptospira-related genome. Identical clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins (CRISPR-Cas) genetic signatures were observed in two draft genomes recovered at different stages of the studied system, suggesting that the spacers in CRISPR-Cas could potentially be used as a biomarker in the monitoring of Legionella related strains at an evolutionary scale of several years across different drinking water production and distribution systems. Overall, metagenomics approach was an effective and complementary tool of culturing techniques to gain insights into the pathogenic characteristics and the CRISPR-Cas signatures of pathogen-related species in DWDSs. PMID:29097994

  11. CRISPR-Cas: Adapting to change.

    PubMed

    Jackson, Simon A; McKenzie, Rebecca E; Fagerlund, Robert D; Kieper, Sebastian N; Fineran, Peter C; Brouns, Stan J J

    2017-04-07

    Bacteria and archaea are engaged in a constant arms race to defend against the ever-present threats of viruses and invasion by mobile genetic elements. The most flexible weapons in the prokaryotic defense arsenal are the CRISPR-Cas adaptive immune systems. These systems are capable of selective identification and neutralization of foreign DNA and/or RNA. CRISPR-Cas systems rely on stored genetic memories to facilitate target recognition. Thus, to keep pace with a changing pool of hostile invaders, the CRISPR memory banks must be regularly updated with new information through a process termed CRISPR adaptation. In this Review, we outline the recent advances in our understanding of the molecular mechanisms governing CRISPR adaptation. Specifically, the conserved protein machinery Cas1-Cas2 is the cornerstone of adaptive immunity in a range of diverse CRISPR-Cas systems. Copyright © 2017, American Association for the Advancement of Science.

  12. Structure and Genetic Content of the Megaplasmids of Neurotoxigenic Clostridium butyricum Type E Strains from Italy

    PubMed Central

    Iacobino, Angelo; Scalfaro, Concetta; Franciosa, Giovanna

    2013-01-01

    We determined the genetic maps of the megaplasmids of six neutoroxigenic Clostridium butyricum type E strains from Italy using molecular and bioinformatics techniques. The megaplasmids are circular, not linear as we had previously proposed. The differently-sized megaplasmids share a genetic region that includes structural, metabolic and regulatory genes. In addition, we found that a 168 kb genetic region is present only in the larger megaplasmids of two tested strains, whereas it is absent from the smaller megaplasmids of the four remaining strains. The genetic region unique to the larger megaplasmids contains, among other features, a locus for clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR associated (cas) genes, i.e. a bacterial adaptive immune system providing sequence-specific protection from invading genetic elements. Some CRISPR spacer sequences of the neurotoxigenic C. butyricum type E strains showed homology to prophage, phage and plasmid sequences from closely related clostridia species or from distant species, all sharing the intestinal habitat, suggesting that the CRISPR locus might be involved in the microorganism adaptation to the human or animal intestinal environment. Besides, we report here that each of four distinct CRISPR spacers partially matched DNA sequences of different prophages and phages, at identical nucleotide locations. This suggests that, at least in neurotoxigenic C. butyricum type E, the CRISPR locus is potentially able to recognize the same conserved DNA sequence of different invading genetic elements, besides targeting sequences unique to previously encountered invading DNA, as currently predicted for a CRISPR locus. Thus, the results of this study introduce the possibility that CRISPR loci can provide resistance to a wider range of invading DNA elements than previously appreciated. Whether it is more advantageous for the peculiar neurotoxigenic C. butyricum type E strains to maintain or to lose the

  13. Cancer CRISPR Screens In Vivo.

    PubMed

    Chow, Ryan D; Chen, Sidi

    2018-05-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) screening is a powerful toolset for investigating diverse biological processes. Most CRISPR screens to date have been performed with in vitro cultures or cellular transplant models. To interrogate cancer in animal models that more closely recapitulate the human disease, autochthonous direct in vivo CRISPR screens have recently been developed that can identify causative drivers in the native tissue microenvironment. By empowering multiplexed mutagenesis in fully immunocompetent animals, direct in vivo CRISPR screens enable the rapid generation of patient-specific avatars that can guide precision medicine. This Opinion article discusses the current status of in vivo CRISPR screens in cancer and offers perspectives on future applications. Copyright © 2018 Elsevier Inc. All rights reserved.

  14. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting.

    PubMed

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D

    2017-04-07

    Bacterial CRISPR-Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR-Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR-Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification.

  15. A New Group of Phage Anti-CRISPR Genes Inhibits the Type I-E CRISPR-Cas System of Pseudomonas aeruginosa

    PubMed Central

    Pawluk, April; Bondy-Denomy, Joseph; Cheung, Vivian H. W.; Maxwell, Karen L.; Davidson, Alan R.

    2014-01-01

    ABSTRACT CRISPR-Cas systems are one of the most widespread phage resistance mechanisms in prokaryotes. Our lab recently identified the first examples of phage-borne anti-CRISPR genes that encode protein inhibitors of the type I-F CRISPR-Cas system of Pseudomonas aeruginosa. A key question arising from this work was whether there are other types of anti-CRISPR genes. In the current work, we address this question by demonstrating that some of the same phages carrying type I-F anti-CRISPR genes also possess genes that mediate inhibition of the type I-E CRISPR-Cas system of P. aeruginosa. We have discovered four distinct families of these type I-E anti-CRISPR genes. These genes do not inhibit the type I-F CRISPR-Cas system of P. aeruginosa or the type I-E system of Escherichia coli. Type I-E and I-F anti-CRISPR genes are located at the same position in the genomes of a large group of related P. aeruginosa phages, yet they are found in a variety of combinations and arrangements. We have also identified functional anti-CRISPR genes within nonprophage Pseudomonas genomic regions that are likely mobile genetic elements. This work emphasizes the potential importance of anti-CRISPR genes in phage evolution and lateral gene transfer and supports the hypothesis that more undiscovered families of anti-CRISPR genes exist. Finally, we provide the first demonstration that the type I-E CRISPR-Cas system of P. aeruginosa is naturally active without genetic manipulation, which contrasts with E. coli and other previously characterized I-E systems. PMID:24736222

  16. CRISPR Gene Editing in the Kidney.

    PubMed

    Cruz, Nelly M; Freedman, Benjamin S

    2018-06-01

    CRISPR is a nuclease guidance system that enables rapid and efficient gene editing of specific DNA sequences within genomes. We review applications of CRISPR for the study and treatment of kidney disease. CRISPR enables functional experiments in cell lines and model organisms to validate candidate genes arising from genetic studies. CRISPR has furthermore been used to establish the first models of genetic disease in human kidney organoids derived from pluripotent stem cells. These gene-edited organoids are providing new insight into the cellular mechanisms of polycystic kidney disease and nephrotic syndrome. CRISPR-engineered cell therapies are currently in clinical trials for cancers and immunologic syndromes, an approach that may be applicable to inflammatory conditions such as lupus nephritis. Use of CRISPR in large domestic species such as pigs raises the possibility of farming kidneys for transplantation to alleviate the shortage of donor organs. However, significant challenges remain, including how to effectively deliver CRISPR to kidneys and how to control gene editing events within the genome. Thorough testing of CRISPR in preclinical models will be critical to the safe and efficacious translation of this powerful young technology into therapies. Copyright © 2018 National Kidney Foundation, Inc. Published by Elsevier Inc. All rights reserved.

  17. Using CRISPR-Cas systems as antimicrobials.

    PubMed

    Bikard, David; Barrangou, Rodolphe

    2017-06-01

    Although CRISPR-Cas systems naturally evolved to provide adaptive immunity in bacteria and archaea, Cas nucleases can be co-opted to target chromosomal sequences rather than invasive genetic elements. Although genome editing is the primary outcome of self-targeting using CRISPR-based technologies in eukaryotes, self-targeting by CRISPR is typically lethal in bacteria. Here, we discuss how DNA damage introduced by Cas nucleases in bacteria can efficiently and specifically lead to plasmid curing or drive cell death. Specifically, we discuss how various CRISPR-Cas systems can be engineered and delivered using phages or phagemids as vectors. These principles establish CRISPR-Cas systems as potent and programmable antimicrobials, and open new avenues for the development of CRISPR-based tools for selective removal of bacterial pathogens and precise microbiome composition alteration. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. Virus-Host and CRISPR Dynamics in Archaea-Dominated Hypersaline Lake Tyrrell, Victoria, Australia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Emerson, Joanne B.; Andrade, Karen; Thomas, Brian C.

    The study of natural archaeal assemblages requires community context, namely, a concurrent assessment of the dynamics of archaeal, bacterial, and viral populations. Here, we use filter size-resolved metagenomic analyses to report the dynamics of 101 archaeal and bacterial OTUs and 140 viral populations across 17 samples collected over different timescales from 2007–2010 from Australian hypersaline Lake Tyrrell (LT). All samples were dominated by Archaea (75–95%). Archaeal, bacterial, and viral populations were found to be dynamic on timescales of months to years, and different viral assemblages were present in planktonic, relative to host-associated (active and provirus) size fractions. Analyses of clusteredmore » regularly interspaced short palindromic repeat (CRISPR) regions indicate that both rare and abundant viruses were targeted, primarily by lower abundance hosts. Although very few spacers had hits to the NCBI nr database or to the 140 LT viral populations, 21% had hits to unassembled LT viral concentrate reads. This suggests local adaptation to LT-specific viruses and/or undersampling of haloviral assemblages in public databases, along with successful CRISPR-mediated maintenance of viral populations at abundances low enough to preclude genomic assembly. This is the first metagenomic report evaluating widespread archaeal dynamics at the population level on short timescales in a hypersaline system.« less

  19. Virus-Host and CRISPR Dynamics in Archaea-Dominated Hypersaline Lake Tyrrell, Victoria, Australia

    DOE PAGES

    Emerson, Joanne B.; Andrade, Karen; Thomas, Brian C.; ...

    2013-01-01

    The study of natural archaeal assemblages requires community context, namely, a concurrent assessment of the dynamics of archaeal, bacterial, and viral populations. Here, we use filter size-resolved metagenomic analyses to report the dynamics of 101 archaeal and bacterial OTUs and 140 viral populations across 17 samples collected over different timescales from 2007–2010 from Australian hypersaline Lake Tyrrell (LT). All samples were dominated by Archaea (75–95%). Archaeal, bacterial, and viral populations were found to be dynamic on timescales of months to years, and different viral assemblages were present in planktonic, relative to host-associated (active and provirus) size fractions. Analyses of clusteredmore » regularly interspaced short palindromic repeat (CRISPR) regions indicate that both rare and abundant viruses were targeted, primarily by lower abundance hosts. Although very few spacers had hits to the NCBI nr database or to the 140 LT viral populations, 21% had hits to unassembled LT viral concentrate reads. This suggests local adaptation to LT-specific viruses and/or undersampling of haloviral assemblages in public databases, along with successful CRISPR-mediated maintenance of viral populations at abundances low enough to preclude genomic assembly. This is the first metagenomic report evaluating widespread archaeal dynamics at the population level on short timescales in a hypersaline system.« less

  20. Surface plasmon resonance in electrodynamically coupled Au NPs monolayer/dielectric spacer/Al film nanostructure: tuning by variation of spacer thickness

    NASA Astrophysics Data System (ADS)

    Yeshchenko, Oleg A.; Kozachenko, Viktor V.; Liakhov, Yuriy F.; Tomchuk, Anastasiya V.; Haftel, Michael; Pinchuk, Anatoliy O.

    2017-10-01

    Effects of plasmonic coupling between metal nanoparticles and thin metal films separated by thin dielectric film-spacers have been studied by means of light extinction in three-layer planar Au NPs monolayer/dielectric (shellac) film/Al film nanostructure. The influence of coupling on the spectral characteristics of the Au NPs SPR extinction peak has been analyzed with spacer thickness, varied from 3 to 200 nm. The main observed features are a strong red shift (160 nm), and non-monotonical behavior of the magnitude and width of Au NPs SPR, as the spacer thickness decreased. The appearance of an intensive gap mode peak was observed at a spacer thickness smaller than approximately 30 nm, caused by the hybridization of the Au NPs SPR mode and gap mode in the presence of the Al film. Additionally, the appreciable enhancement (5.6 times) of light extinction by the Au NPs monolayer in the presence of Al film has been observed. A certain value of dielectric spacer thickness (70 nm) exists at which such enhancement is maximal.

  1. Function and Regulation of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) / CRISPR Associated (Cas) Systems

    PubMed Central

    Richter, Corinna; Chang, James T.; Fineran, Peter C.

    2012-01-01

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous ‘innate’ mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific ‘adaptive’ immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems. PMID:23202464

  2. Function and regulation of clustered regularly interspaced short palindromic repeats (CRISPR) / CRISPR associated (Cas) systems.

    PubMed

    Richter, Corinna; Chang, James T; Fineran, Peter C

    2012-10-19

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous 'innate' mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific 'adaptive' immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems.

  3. CRISPR editing in biological and biomedical investigation.

    PubMed

    Huang, Jiaojiao; Wang, Yanfang; Zhao, Jianguo

    2018-05-01

    Recently, clustered regularly interspaced short palindromic repeats (CRISPR) based genomic editing technologies have armed researchers with powerful new tools to biological and biomedical investigations. To further improve and expand its functionality, natural, and engineered CRISPR associated nine proteins (Cas9s) have been investigated, various CRISPR delivery strategies have been tested and optimized, and multiple schemes have been developed to ensure precise mammalian genome editing. Benefiting from those in-depth understanding and further development of CRISPR, versatile CRISPR-based platforms for genome editing have been rapidly developed to advance investigations in biology and biomedicine. In biological research area, CRISPR has been widely adopted in both fundamental and applied research fields, such as accurate base editing, transcriptional regulation, and genome-wide screening. In biomedical research area, CRISPR has also shown its extensive applicability in the establishment of animal models for genetic disorders especially those large animals and non-human primates models, and gene therapy to combat virus infectious diseases, to correct monogenic disorders in vivo or in pluripotent cells. In this prospect article, after highlighting recent developments of CRISPR systems, we outline different applications and current limitations of CRISPR use in biological and biomedical investigation. Finally, we provide a perspective for future development and potential risks of this multifunctional technology. © 2017 Wiley Periodicals, Inc.

  4. CRISPR: express delivery to any DNA address.

    PubMed

    Peterson, A

    2017-01-01

    The sudden emergence and worldwide adoption of CRISPR gene-editing technology confronts humanity with unprecedented opportunities and choices. CRISPR's transformative impact on our future understanding of biology, along with its potential to unleash control over the most fundamental of biological processes, is predictable by already achieved applications. Although its origin, composition, and function were revealed only recently, close to 3000 CRISPR-based publications have appeared including insightful and diversely focused reviews referenced here. Adding further to scientific and public awareness, a recent symposium addressed the ethical implications of interfacing CRISPR technology and human biology. However, the magnitude of CRISPR's rapidly emerging power mandates its broadest assessment. Only with the participation of a diverse and informed community can the most effective and humanity-positive CRISPR applications be defined. This brief review is aimed at those with little previous exposure to the CRISPR revolution. The molecules that constitute CRISPR's core components and their functional organization are described along with how the mechanism has been harnessed to edit genome structure and modulate gene function. Additionally, a glimpse into CRISPR's potential to unleash genetic changes with far-reaching consequences is presented. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  5. Annotation and Classification of CRISPR-Cas Systems

    PubMed Central

    Makarova, Kira S.; Koonin, Eugene V.

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods. PMID:25981466

  6. Annotation and Classification of CRISPR-Cas Systems.

    PubMed

    Makarova, Kira S; Koonin, Eugene V

    2015-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods.

  7. CRISPR Editing in Biological and Biomedical Investigation.

    PubMed

    Ju, Xing-Da; Xu, Jing; Sun, Zhong Sheng

    2018-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas (CRISPR-associated protein) system, a prokaryotic RNA-based adaptive immune system against viral infection, is emerging as a powerful genome editing tool in broad research areas. To further improve and expand its functionality, various CRISPR delivery strategies have been tested and optimized, and key CRISPR system components such as Cas protein have been engineered with different purposes. Benefiting from more in-depth understanding and further development of CRISPR, versatile CRISPR-based platforms for genome editing have been rapidly developed to advance investigations in biology and biomedicine. In biological research area, CRISPR has been widely adopted in both fundamental and applied research fields, such as genomic and epigenomic modification, genome-wide screening, cell and animal research, agriculture transforming, livestock breeding, food manufacture, industrial biotechnology, and gene drives in disease agents control. In biomedical research area, CRISPR has also shown its extensive applicability in the establishment of animal models for genetic disorders, generation of tissue donors, implementation of antimicrobial and antiviral studies, identification and assessment of new drugs, and even treatment for clinical diseases. However, there are still several problems to consider, and the biggest concerns are the off-target effects and ethical issues of this technology. In this prospect article, after highlighting recent development of CRISPR systems, we outline different applications and current limitations of CRISPR in biological and biomedical investigation. Finally, we provide a perspective on future development and potential risks of this multifunctional technology. J. Cell. Biochem. 119: 52-61, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  8. Naturally Occurring Off-Switches for CRISPR-Cas9.

    PubMed

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J; Maxwell, Karen L; Davidson, Alan R

    2016-12-15

    CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These "anti-CRISPRs" were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9) and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable "off-switches" for CRISPR-Cas9 activity and provide a genetically encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. VIDEO ABSTRACT. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. CRISPR applications in ophthalmologic genome surgery.

    PubMed

    Cabral, Thiago; DiCarlo, James E; Justus, Sally; Sengillo, Jesse D; Xu, Yu; Tsang, Stephen H

    2017-05-01

    The present review seeks to summarize and discuss the application of clustered regularly interspaced short palindromic repeats (CRISPR)-associated systems (Cas) for genome editing, also called genome surgery, in the field of ophthalmology. Precision medicine is an emerging approach for disease treatment and prevention that takes into account the variability of an individual's genetic sequence. Various groups have used CRISPR-Cas genome editing to make significant progress in mammalian preclinical models of eye disease, the basic science of eye development in zebrafish, the in vivo modification of ocular tissue, and the correction of stem cells with therapeutic applications. In addition, investigators have creatively used the targeted mutagenic potential of CRISPR-Cas systems to target pathogenic alleles in vitro. Over the past year, CRISPR-Cas genome editing has been used to correct pathogenic mutations in vivo and in transplantable stem cells. Although off-target mutagenesis remains a concern, improvement in CRISPR-Cas technology and careful screening for undesired mutations will likely lead to clinical eye therapeutics employing CRISPR-Cas systems in the near future.

  10. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system.

    PubMed

    Yosef, Ido; Goren, Moran G; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-12-13

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3.

  11. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system

    PubMed Central

    Yosef, Ido; Goren, Moran G.; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-01-01

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3. PMID:22114197

  12. Naturally occurring off-switches for CRISPR-Cas9

    PubMed Central

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J.; Maxwell, Karen L.; Davidson, Alan R.

    2017-01-01

    Summary CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These “anti-CRISPRs” were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9), and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable “off-switches” for CRISPR-Cas9 activity, and provide a genetically-encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. PMID:27984730

  13. Phenotypic novelty by CRISPR in plants.

    PubMed

    Liu, Chen; Moschou, Panagiotis N

    2018-03-15

    Genome editing by CRISPR is now routinely used in plant biology for unravelling gene functions and improving agronomical traits. CRISPR opens up the possibility of genome manipulations which would have been unthinkable a few years ago. In this perspective, we discuss and suggest CRISPR-mediated approaches for steering plant development, also highlighting potential challenges. Copyright © 2018 Elsevier Inc. All rights reserved.

  14. Efficient mouse genome engineering by CRISPR-EZ technology.

    PubMed

    Modzelewski, Andrew J; Chen, Sean; Willis, Brandon J; Lloyd, K C Kent; Wood, Joshua A; He, Lin

    2018-06-01

    CRISPR/Cas9 technology has transformed mouse genome editing with unprecedented precision, efficiency, and ease; however, the current practice of microinjecting CRISPR reagents into pronuclear-stage embryos remains rate-limiting. We thus developed CRISPR ribonucleoprotein (RNP) electroporation of zygotes (CRISPR-EZ), an electroporation-based technology that outperforms pronuclear and cytoplasmic microinjection in efficiency, simplicity, cost, and throughput. In C57BL/6J and C57BL/6N mouse strains, CRISPR-EZ achieves 100% delivery of Cas9/single-guide RNA (sgRNA) RNPs, facilitating indel mutations (insertions or deletions), exon deletions, point mutations, and small insertions. In a side-by-side comparison in the high-throughput KnockOut Mouse Project (KOMP) pipeline, CRISPR-EZ consistently outperformed microinjection. Here, we provide an optimized protocol covering sgRNA synthesis, embryo collection, RNP electroporation, mouse generation, and genotyping strategies. Using CRISPR-EZ, a graduate-level researcher with basic embryo-manipulation skills can obtain genetically modified mice in 6 weeks. Altogether, CRISPR-EZ is a simple, economic, efficient, and high-throughput technology that is potentially applicable to other mammalian species.

  15. WGE: a CRISPR database for genome engineering.

    PubMed

    Hodgkins, Alex; Farne, Anna; Perera, Sajith; Grego, Tiago; Parry-Smith, David J; Skarnes, William C; Iyer, Vivek

    2015-09-15

    The rapid development of CRISPR-Cas9 mediated genome editing techniques has given rise to a number of online and stand-alone tools to find and score CRISPR sites for whole genomes. Here we describe the Wellcome Trust Sanger Institute Genome Editing database (WGE), which uses novel methods to compute, visualize and select optimal CRISPR sites in a genome browser environment. The WGE database currently stores single and paired CRISPR sites and pre-calculated off-target information for CRISPRs located in the mouse and human exomes. Scoring and display of off-target sites is simple, and intuitive, and filters can be applied to identify high-quality CRISPR sites rapidly. WGE also provides a tool for the design and display of gene targeting vectors in the same genome browser, along with gene models, protein translation and variation tracks. WGE is open, extensible and can be set up to compute and present CRISPR sites for any genome. The WGE database is freely available at www.sanger.ac.uk/htgt/wge : vvi@sanger.ac.uk or skarnes@sanger.ac.uk Supplementary data are available at Bioinformatics online. © The Author 2015. Published by Oxford University Press.

  16. Self-aligned quadruple patterning using spacer on spacer integration optimization for N5

    NASA Astrophysics Data System (ADS)

    Thibaut, Sophie; Raley, Angélique; Mohanty, Nihar; Kal, Subhadeep; Liu, Eric; Ko, Akiteru; O'Meara, David; Tapily, Kandabara; Biolsi, Peter

    2017-04-01

    To meet scaling requirements, the semiconductor industry has extended 193nm immersion lithography beyond its minimum pitch limitation using multiple patterning schemes such as self-aligned double patterning, self-aligned quadruple patterning and litho-etch / litho etch iterations. Those techniques have been declined in numerous options in the last few years. Spacer on spacer pitch splitting integration has been proven to show multiple advantages compared to conventional pitch splitting approach. Reducing the number of pattern transfer steps associated with sacrificial layers resulted in significant decrease of cost and an overall simplification of the double pitch split technique. While demonstrating attractive aspects, SAQP spacer on spacer flow brings challenges of its own. Namely, material set selections and etch chemistry development for adequate selectivities, mandrel shape and spacer shape engineering to improve edge placement error (EPE). In this paper we follow up and extend upon our previous learning and proceed into more details on the robustness of the integration in regards to final pattern transfer and full wafer critical dimension uniformity. Furthermore, since the number of intermediate steps is reduced, one will expect improved uniformity and pitch walking control. This assertion will be verified through a thorough pitch walking analysis.

  17. Invasive Species Management on Military Lands: Clustered Regularly Interspaced Short Palindromic Repeat/ CRISPR associated protein 9 (CRISPR/Cas9) based Gene Drives

    DTIC Science & Technology

    2017-06-30

    Clustered Regularly Interspaced Short Palindromic Repeat/ CRISPR -associated protein 9 ( CRISPR /Cas9)-based Gene Drives En vi ro nm en ta l L ab or at...Management on Military Lands Clustered Regularly Interspaced Short Palindromic Repeat/ CRISPR -associated protein 9 ( CRISPR /Cas9)-based Gene Drives Ping... CRISPR /Cas9-based Gene Drives for Invasive Species Management on Military Lands” ERDC/EL SR-17-2 ii Abstract Applications of genetic engineering

  18. CRISPR-Cas9 Genetic Analysis of Virus-Host Interactions.

    PubMed

    Gebre, Makda; Nomburg, Jason L; Gewurz, Benjamin E

    2018-01-30

    Clustered regularly interspaced short palindromic repeats (CRISPR) has greatly expanded the ability to genetically probe virus-host interactions. CRISPR systems enable focused or systematic, genomewide studies of nearly all aspects of a virus lifecycle. Combined with its relative ease of use and high reproducibility, CRISPR is becoming an essential tool in studies of the host factors important for viral pathogenesis. Here, we review the use of CRISPR-Cas9 for the loss-of-function analysis of host dependency factors. We focus on the use of CRISPR-pooled screens for the systematic identification of host dependency factors, particularly in Epstein-Barr virus-transformed B cells. We also discuss the use of CRISPR interference (CRISPRi) and gain-of-function CRISPR activation (CRISPRa) approaches to probe virus-host interactions. Finally, we comment on the future directions enabled by combinatorial CRISPR screens.

  19. Spacer grid assembly and locking mechanism

    DOEpatents

    Snyder, Jr., Harold J.; Veca, Anthony R.; Donck, Harry A.

    1982-01-01

    A spacer grid assembly is disclosed for retaining a plurality of fuel rods in substantially parallel spaced relation, the spacer grids being formed with rhombic openings defining contact means for engaging from one to four fuel rods arranged in each opening, the spacer grids being of symmetric configuration with their rhombic openings being asymmetrically offset to permit inversion and relative rotation of the similar spacer grids for improved support of the fuel rods. An improved locking mechanism includes tie bars having chordal surfaces to facilitate their installation in slotted circular openings of the spacer grids, the tie rods being rotatable into locking engagement with the slotted openings.

  20. CRISPR-Cas Genome Surgery in Ophthalmology

    PubMed Central

    DiCarlo, James E.; Sengillo, Jesse D.; Justus, Sally; Cabral, Thiago; Tsang, Stephen H.; Mahajan, Vinit B.

    2017-01-01

    Genetic disease affecting vision can significantly impact patient quality of life. Gene therapy seeks to slow the progression of these diseases by treating the underlying etiology at the level of the genome. Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated systems (Cas) represent powerful tools for studying diseases through the creation of model organisms generated by targeted modification and by the correction of disease mutations for therapeutic purposes. CRISPR-Cas systems have been applied successfully to the visual sciences and study of ophthalmic disease – from the modification of zebrafish and mammalian models of eye development and disease, to the correction of pathogenic mutations in patient-derived stem cells. Recent advances in CRISPR-Cas delivery and optimization boast improved functionality that continues to enhance genome-engineering applications in the eye. This review provides a synopsis of the recent implementations of CRISPR-Cas tools in the field of ophthalmology. PMID:28573077

  1. Efficient thermal diode with ballistic spacer

    NASA Astrophysics Data System (ADS)

    Chen, Shunda; Donadio, Davide; Benenti, Giuliano; Casati, Giulio

    2018-03-01

    Thermal rectification is of importance not only for fundamental physics, but also for potential applications in thermal manipulations and thermal management. However, thermal rectification effect usually decays rapidly with system size. Here, we show that a mass-graded system, with two diffusive leads separated by a ballistic spacer, can exhibit large thermal rectification effect, with the rectification factor independent of system size. The underlying mechanism is explained in terms of the effective size-independent thermal gradient and the match or mismatch of the phonon bands. We also show the robustness of the thermal diode upon variation of the model's parameters. Our finding suggests a promising way for designing realistic efficient thermal diodes.

  2. Clustered Regularly Interspaced Short Palindromic Repeats Are emm Type-Specific in Highly Prevalent Group A Streptococci.

    PubMed

    Zheng, Po-Xing; Chan, Yuen-Chi; Chiou, Chien-Shun; Chiang-Ni, Chuan; Wang, Shu-Ying; Tsai, Pei-Jane; Chuang, Woei-Jer; Lin, Yee-Shin; Liu, Ching-Chuan; Wu, Jiunn-Jong

    2015-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are the bacterial adaptive immune system against foreign nucleic acids. Given the variable nature of CRISPR, it could be a good marker for molecular epidemiology. Group A streptococcus is one of the major human pathogens. It has two CRISPR loci, including CRISPR01 and CRISPR02. The aim of this study was to analyze the distribution of CRISPR-associated gene cassettes (cas) and CRISPR arrays in highly prevalent emm types. The cas cassette and CRISPR array in two CRISPR loci were analyzed in a total of 332 strains, including emm1, emm3, emm4, emm12, and emm28 strains. The CRISPR type was defined by the spacer content of each CRISPR array. All strains had at least one cas cassette or CRISPR array. More than 90% of the spacers were found in one emm type, specifically. Comparing the consistency between emm and CRISPR types by Simpson's index of diversity and the adjusted Wallace coefficient, CRISPR01 type was concordant to emm type, and CRISPR02 showed unidirectional congruence to emm type, suggesting that at least for the majority of isolates causing infection in high income countries, the emm type can be inferred from CRISPR analysis, which can further discriminate isolates sharing the same emm type.

  3. Sandia National Laboratories: CRISPR genome-editing technology

    Science.gov Websites

    boom sets records, forges ties "Like a jar of jellybeans, a pooled CRISPR library is a complex like individually wrapped and labeled jellybeans. When you identify a particular CRISPR of interest arrayed libraries, the CRISPR of interest is already labeled, therefore hit identification is much easier

  4. CRISPR-Cpf1: A New Tool for Plant Genome Editing.

    PubMed

    Zaidi, Syed Shan-E-Ali; Mahfouz, Magdy M; Mansoor, Shahid

    2017-07-01

    Clustered regularly interspaced palindromic repeats (CRISPR)-CRISPR-associated proteins (CRISPR-Cas), a groundbreaking genome-engineering tool, has facilitated targeted trait improvement in plants. Recently, CRISPR-CRISPR from Prevotella and Francisella 1 (Cpf1) has emerged as a new tool for efficient genome editing, including DNA-free editing in plants, with higher efficiency, specificity, and potentially wider applications than CRISPR-Cas9. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Application of CRISPR/Cas9 in plant biology.

    PubMed

    Liu, Xuan; Wu, Surui; Xu, Jiao; Sui, Chun; Wei, Jianhe

    2017-05-01

    The CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) system was first identified in bacteria and archaea and can degrade exogenous substrates. It was developed as a gene editing technology in 2013. Over the subsequent years, it has received extensive attention owing to its easy manipulation, high efficiency, and wide application in gene mutation and transcriptional regulation in mammals and plants. The process of CRISPR/Cas is optimized constantly and its application has also expanded dramatically. Therefore, CRISPR/Cas is considered a revolutionary technology in plant biology. Here, we introduce the mechanism of the type II CRISPR/Cas called CRISPR/Cas9, update its recent advances in various applications in plants, and discuss its future prospects to provide an argument for its use in the study of medicinal plants.

  6. CRISPR RNA and anti-CRISPR protein binding to the Xanthomonas albilineans Csy1-Csy2 heterodimer in the type I-F CRISPR-Cas system.

    PubMed

    Hong, Suji; Ka, Donghyun; Yoon, Seo Jeong; Suh, Nayoung; Jeong, Migyeong; Suh, Jeong-Yong; Bae, Euiyoung

    2018-02-23

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins provide microbial adaptive immunity against bacteriophages. In type I-F CRISPR-Cas systems, multiple Cas proteins (Csy1-4) compose a surveillance complex (Csy complex) with CRISPR RNA (crRNA) for target recognition. Here, we report the biochemical characterization of the Csy1-Csy2 subcomplex from Xanthomonas albilineans , including the analysis of its interaction with crRNA and AcrF2, an anti-CRISPR (Acr) protein from a phage that infects Pseudomonas aeruginosa The X. albilineans Csy1 and Csy2 proteins (XaCsy1 and XaCsy2, respectively) formed a stable heterodimeric complex that specifically bound the 8-nucleotide (nt) 5'-handle of the crRNA. In contrast, the XaCsy1-XaCsy2 heterodimer exhibited reduced affinity for the 28-nt X. albilineans CRISPR repeat RNA containing the 5'-handle sequence. Chromatographic and calorimetric analyses revealed tight binding between the Acr protein from the P. aeruginosa phage and the heterodimeric subunit of the X. albilineans Csy complex, suggesting that AcrF2 recognizes conserved features of Csy1-Csy2 heterodimers. We found that neither XaCsy1 nor XaCsy2 alone forms a stable complex with AcrF2 and the 5'-handle RNA, indicating that XaCsy1-XaCsy2 heterodimerization is required for binding them. We also solved the crystal structure of AcrF2 to a resolution of 1.34 Å, enabling a more detailed structural analysis of the residues involved in the interactions with the Csy1-Csy2 heterodimer. Our results provide information about the order of events during the formation of the multisubunit crRNA-guided surveillance complex and suggest that the Acr protein inactivating type I-F CRISPR-Cas systems has broad specificity. © 2018 by The American Society for Biochemistry and Molecular Biology, Inc.

  7. Short communication: Determination of Salmonella clustered regularly interspaced short palindromic repeats (CRISPR) diversity on dairy farms in Wisconsin and Minnesota.

    PubMed

    Wehnes, C A; Rehberger, T G; Barrangou, R; Smith, A H

    2014-10-01

    Salmonella enterica ssp. enterica is a foodborne pathogen able to cause disease in both humans and animals. Diverse serovars of this pathogen exist, some of which are host specific, causing a range of clinical symptoms from asymptomatic infection through morbidity and mortality. According to a 2007 survey by the USDA National Animal Health Monitoring System, fecal shedding of Salmonella from healthy cows occurs on 39.7% of dairy farms in the United States. Certain serovars are frequently isolated from dairy farms and the majority of isolates from the National Animal Health Monitoring System study were represented by 5 serovars; however, genotypic diversity was not examined. The objective of this study was to determine the diversity of clustered regularly interspaced short palindromic repeats (CRISPR) loci in Salmonella collected from 8 dairy farms with a previous history of salmonellosis. None of the cows or calves sampled on 2 of the 8 dairy farms were shedding Salmonella, although Salmonella was detected in a cow bedding sample on 1 of these farms. Salmonella populations were discrete on each farm, according to CRISPR typing, with the exception of an Anatum var. 15+ type on farms 5 and 6 and the Montevideo type on farms 1 and 2. One to 4 distinct CRISPR genotypes were identified per farm. The CRISPR typing differed within serovars, as Montevideo, Anatum var. 15+, and Muenster serovars had no overlap of spacer content, even on the same farm, reflecting between- and within-serovar genetic diversity. The dynamic nature of Salmonella populations was shown in a farm that was sampled longitudinally over 13.5 mo. Changes in serovar from 3,19:-:z27 to Montevideo was observed between the first sampling time and 8 mo later, with concomitant change in CRISPR alleles. The results indicate that Salmonella strains present in smaller dairy herds (<500 head) are specific to that farm and new Salmonella strains may emerge over time. Copyright © 2014 American Dairy Science

  8. Chromosome evolution in the Thermotogales: large-scale inversions and strain diversification of CRISPR sequences.

    PubMed

    DeBoy, Robert T; Mongodin, Emmanuel F; Emerson, Joanne B; Nelson, Karen E

    2006-04-01

    In the present study, the chromosomes of two members of the Thermotogales were compared. A whole-genome alignment of Thermotoga maritima MSB8 and Thermotoga neapolitana NS-E has revealed numerous large-scale DNA rearrangements, most of which are associated with CRISPR DNA repeats and/or tRNA genes. These DNA rearrangements do not include the putative origin of DNA replication but move within the same replichore, i.e., the same replicating half of the chromosome (delimited by the replication origin and terminus). Based on cumulative GC skew analysis, both the T. maritima and T. neapolitana lineages contain one or two major inverted DNA segments. Also, based on PCR amplification and sequence analysis of the DNA joints that are associated with the major rearrangements, the overall chromosome architecture was found to be conserved at most DNA joints for other strains of T. neapolitana. Taken together, the results from this analysis suggest that the observed chromosomal rearrangements in the Thermotogales likely occurred by successive inversions after their divergence from a common ancestor and before strain diversification. Finally, sequence analysis shows that size polymorphisms in the DNA joints associated with CRISPRs can be explained by expansion and possibly contraction of the DNA repeat and spacer unit, providing a tool for discerning the relatedness of strains from different geographic locations.

  9. The CRISPR-Cas system in Enterobacteriaceae.

    PubMed

    Medina-Aparicio, Liliana; Dávila, Sonia; Rebollar-Flores, Javier E; Calva, Edmundo; Hernández-Lucas, Ismael

    2018-02-01

    In nature, microorganisms are constantly exposed to multiple viral infections and thus have developed many strategies to survive phage attack and invasion by foreign DNA. One of such strategies is the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated proteins (Cas) bacterial immunological system. This defense mechanism is widespread in prokaryotes including several families such as Enterobacteriaceae. Much knowledge about the CRISPR-Cas system has been generated, including its biological functions, transcriptional regulation, distribution, utility as a molecular marker and as a tool for specific genome editing. This review focuses on these aspects and describes the state of the art of the CRISPR-Cas system in the Enterobacteriaceae bacterial family. © FEMS 2018. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  10. Homology-integrated CRISPR-Cas (HI-CRISPR) system for one-step multigene disruption in Saccharomyces cerevisiae.

    PubMed

    Bao, Zehua; Xiao, Han; Liang, Jing; Zhang, Lu; Xiong, Xiong; Sun, Ning; Si, Tong; Zhao, Huimin

    2015-05-15

    One-step multiple gene disruption in the model organism Saccharomyces cerevisiae is a highly useful tool for both basic and applied research, but it remains a challenge. Here, we report a rapid, efficient, and potentially scalable strategy based on the type II Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated proteins (Cas) system to generate multiple gene disruptions simultaneously in S. cerevisiae. A 100 bp dsDNA mutagenizing homologous recombination donor is inserted between two direct repeats for each target gene in a CRISPR array consisting of multiple donor and guide sequence pairs. An ultrahigh copy number plasmid carrying iCas9, a variant of wild-type Cas9, trans-encoded RNA (tracrRNA), and a homology-integrated crRNA cassette is designed to greatly increase the gene disruption efficiency. As proof of concept, three genes, CAN1, ADE2, and LYP1, were simultaneously disrupted in 4 days with an efficiency ranging from 27 to 87%. Another three genes involved in an artificial hydrocortisone biosynthetic pathway, ATF2, GCY1, and YPR1, were simultaneously disrupted in 6 days with 100% efficiency. This homology-integrated CRISPR (HI-CRISPR) strategy represents a powerful tool for creating yeast strains with multiple gene knockouts.

  11. Engineered CRISPR Systems for Next Generation Gene Therapies.

    PubMed

    Pineda, Michael; Moghadam, Farzaneh; Ebrahimkhani, Mo R; Kiani, Samira

    2017-09-15

    An ideal in vivo gene therapy platform provides safe, reprogrammable, and precise strategies which modulate cell and tissue gene regulatory networks with a high temporal and spatial resolution. Clustered regularly interspaced short palindromic repeats (CRISPR), a bacterial adoptive immune system, and its CRISPR-associated protein 9 (Cas9), have gained attention for the ability to target and modify DNA sequences on demand with unprecedented flexibility and precision. The precision and programmability of Cas9 is derived from its complexation with a guide-RNA (gRNA) that is complementary to a desired genomic sequence. CRISPR systems open-up widespread applications including genetic disease modeling, functional screens, and synthetic gene regulation. The plausibility of in vivo genetic engineering using CRISPR has garnered significant traction as a next generation in vivo therapeutic. However, there are hurdles that need to be addressed before CRISPR-based strategies are fully implemented. Some key issues center on the controllability of the CRISPR platform, including minimizing genomic-off target effects and maximizing in vivo gene editing efficiency, in vivo cellular delivery, and spatial-temporal regulation. The modifiable components of CRISPR systems: Cas9 protein, gRNA, delivery platform, and the form of CRISPR system delivered (DNA, RNA, or ribonucleoprotein) have recently been engineered independently to design a better genome engineering toolbox. This review focuses on evaluating CRISPR potential as a next generation in vivo gene therapy platform and discusses bioengineering advancements that can address challenges associated with clinical translation of this emerging technology.

  12. Fouling resilient perforated feed spacers for membrane filtration.

    PubMed

    Kerdi, Sarah; Qamar, Adnan; Vrouwenvelder, Johannes S; Ghaffour, Noreddine

    2018-04-24

    The improvement of feed spacers with optimal geometry remains a key challenge for spiral-wound membrane systems in water treatment due to their impact on the hydrodynamic performance and fouling development. In this work, novel spacer designs are proposed by intrinsically modifying cylindrical filaments through perforations. Three symmetric perforated spacers (1-Hole, 2-Hole, and 3-Hole) were in-house 3D-printed and experimentally evaluated in terms of permeate flux, feed channel pressure drop and membrane fouling. Spacer performance is characterized and compared with standard no perforated (0-Hole) design under constant feed pressure and constant feed flow rate. Perforations in the spacer filaments resulted in significantly lowering the net pressure drop across the spacer filled channel. The 3-Hole spacer was found to have the lowest pressure drop (50%-61%) compared to 0-Hole spacer for various average flow velocities. Regarding permeate flux production, the 0-Hole spacer produced 5.7 L m -2 .h -1 and 6.6 L m -2 .h -1 steady state flux for constant pressure and constant feed flow rate, respectively. The 1-Hole spacer was found to be the most efficient among the perforated spacers with 75% and 23% increase in permeate production at constant pressure and constant feed flow, respectively. Furthermore, membrane surface of 1-Hole spacer was found to be cleanest in terms of fouling, contributing to maintain higher permeate flux production. Hydrodynamic understanding of these perforated spacers is also quantified by performing Direct Numerical Simulation (DNS). The performance enhancement of these perforated spacers is attributed to the formation of micro-jets in the spacer cell that aided in producing enough unsteadiness/turbulence to clean the membrane surface and mitigate fouling phenomena. In the case of 1-Hole spacer, the unsteadiness intensity at the outlet of micro-jets and the shear stress fluctuations created inside the cells are higher than those

  13. Control of gene expression by CRISPR-Cas systems

    PubMed Central

    2013-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) loci and their associated cas (CRISPR-associated) genes provide adaptive immunity against viruses (phages) and other mobile genetic elements in bacteria and archaea. While most of the early work has largely been dominated by examples of CRISPR-Cas systems directing the cleavage of phage or plasmid DNA, recent studies have revealed a more complex landscape where CRISPR-Cas loci might be involved in gene regulation. In this review, we summarize the role of these loci in the regulation of gene expression as well as the recent development of synthetic gene regulation using engineered CRISPR-Cas systems. PMID:24273648

  14. CRISPR adaptive immune systems of Archaea

    PubMed Central

    Vestergaard, Gisle; Garrett, Roger A; Shah, Shiraz A

    2014-01-01

    CRISPR adaptive immune systems were analyzed for all available completed genomes of archaea, which included representatives of each of the main archaeal phyla. Initially, all proteins encoded within, and proximal to, CRISPR-cas loci were clustered and analyzed using a profile–profile approach. Then cas genes were assigned to gene cassettes and to functional modules for adaptation and interference. CRISPR systems were then classified primarily on the basis of their concatenated Cas protein sequences and gene synteny of the interference modules. With few exceptions, they could be assigned to the universal Type I or Type III systems. For Type I, subtypes I-A, I-B, and I-D dominate but the data support the division of subtype I-B into two subtypes, designated I-B and I-G. About 70% of the Type III systems fall into the universal subtypes III-A and III-B but the remainder, some of which are phyla-specific, diverge significantly in Cas protein sequences, and/or gene synteny, and they are classified separately. Furthermore, a few CRISPR systems that could not be assigned to Type I or Type III are categorized as variant systems. Criteria are presented for assigning newly sequenced archaeal CRISPR systems to the different subtypes. Several accessory proteins were identified that show a specific gene linkage, especially to Type III interference modules, and these may be cofunctional with the CRISPR systems. Evidence is presented for extensive exchange having occurred between adaptation and interference modules of different archaeal CRISPR systems, indicating the wide compatibility of the functionally diverse interference complexes with the relatively conserved adaptation modules. PMID:24531374

  15. CRISPR-Cas9 and CRISPR-Cpf1 mediated targeting of a stomatal developmental gene EPFL9 in rice.

    PubMed

    Yin, Xiaojia; Biswal, Akshaya K; Dionora, Jacqueline; Perdigon, Kristel M; Balahadia, Christian P; Mazumdar, Shamik; Chater, Caspar; Lin, Hsiang-Chun; Coe, Robert A; Kretzschmar, Tobias; Gray, Julie E; Quick, Paul W; Bandyopadhyay, Anindya

    2017-05-01

    CRISPR-Cas9/Cpf1 system with its unique gene targeting efficiency, could be an important tool for functional study of early developmental genes through the generation of successful knockout plants. The introduction and utilization of systems biology approaches have identified several genes that are involved in early development of a plant and with such knowledge a robust tool is required for the functional validation of putative candidate genes thus obtained. The development of the CRISPR-Cas9/Cpf1 genome editing system has provided a convenient tool for creating loss of function mutants for genes of interest. The present study utilized CRISPR/Cas9 and CRISPR-Cpf1 technology to knock out an early developmental gene EPFL9 (Epidermal Patterning Factor like-9, a positive regulator of stomatal development in Arabidopsis) orthologue in rice. Germ-line mutants that were generated showed edits that were carried forward into the T2 generation when Cas9-free homozygous mutants were obtained. The homozygous mutant plants showed more than an eightfold reduction in stomatal density on the abaxial leaf surface of the edited rice plants. Potential off-target analysis showed no significant off-target effects. This study also utilized the CRISPR-LbCpf1 (Lachnospiracae bacterium Cpf1) to target the same OsEPFL9 gene to test the activity of this class-2 CRISPR system in rice and found that Cpf1 is also capable of genome editing and edits get transmitted through generations with similar phenotypic changes seen with CRISPR-Cas9. This study demonstrates the application of CRISPR-Cas9/Cpf1 to precisely target genomic locations and develop transgene-free homozygous heritable gene edits and confirms that the loss of function analysis of the candidate genes emerging from different systems biology based approaches, could be performed, and therefore, this system adds value in the validation of gene function studies.

  16. Viral Diversity Threshold for Adaptive Immunity in Prokaryotes

    PubMed Central

    Weinberger, Ariel D.; Wolf, Yuri I.; Lobkovsky, Alexander E.; Gilmore, Michael S.; Koonin, Eugene V.

    2012-01-01

    ABSTRACT Bacteria and archaea face continual onslaughts of rapidly diversifying viruses and plasmids. Many prokaryotes maintain adaptive immune systems known as clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (Cas). CRISPR-Cas systems are genomic sensors that serially acquire viral and plasmid DNA fragments (spacers) that are utilized to target and cleave matching viral and plasmid DNA in subsequent genomic invasions, offering critical immunological memory. Only 50% of sequenced bacteria possess CRISPR-Cas immunity, in contrast to over 90% of sequenced archaea. To probe why half of bacteria lack CRISPR-Cas immunity, we combined comparative genomics and mathematical modeling. Analysis of hundreds of diverse prokaryotic genomes shows that CRISPR-Cas systems are substantially more prevalent in thermophiles than in mesophiles. With sequenced bacteria disproportionately mesophilic and sequenced archaea mostly thermophilic, the presence of CRISPR-Cas appears to depend more on environmental temperature than on bacterial-archaeal taxonomy. Mutation rates are typically severalfold higher in mesophilic prokaryotes than in thermophilic prokaryotes. To quantitatively test whether accelerated viral mutation leads microbes to lose CRISPR-Cas systems, we developed a stochastic model of virus-CRISPR coevolution. The model competes CRISPR-Cas-positive (CRISPR-Cas+) prokaryotes against CRISPR-Cas-negative (CRISPR-Cas−) prokaryotes, continually weighing the antiviral benefits conferred by CRISPR-Cas immunity against its fitness costs. Tracking this cost-benefit analysis across parameter space reveals viral mutation rate thresholds beyond which CRISPR-Cas cannot provide sufficient immunity and is purged from host populations. These results offer a simple, testable viral diversity hypothesis to explain why mesophilic bacteria disproportionately lack CRISPR-Cas immunity. More generally, fundamental limits on the adaptability of biological

  17. Diagnosis and therapy with CRISPR advanced CRISPR based tools for point of care diagnostics and early therapies.

    PubMed

    Uppada, Vanita; Gokara, Mahesh; Rasineni, Girish Kumar

    2018-05-20

    Molecular diagnostics is of critical importance to public health worldwide. It facilitates not only detection and characterization of diseases, but also monitors drug responses, assists in the identification of genetic modifiers and disease susceptibility. Based upon DNA variation, a wide range of molecular-based tests are available to assess/diagnose diseases. The CRISPR-Cas9 system has recently emerged as a versatile tool for biological and medical research. In this system, a single guide RNA (sgRNA) directs the endonuclease Cas9 to a targeted DNA sequence for site-specific manipulation. As designing CRISPR-guided nucleases can be done easily and relatively fast, the CRISPR/Cas9 system has evolved as widely used DNA editing tool. This technique led to a large number of gene editing studies in variety of organisms. CRISPR/Cas9-mediated diagnosis and therapy has picked up pace due to specificity and accuracy of CRISPR. The aim is not only to identify specific pathogens, especially virus but also to repair disease-causing alleles by changing the DNA sequence at the exact location on the chromosome. At present, PCR-based molecular diagnostic testing predominates; however, alternative technologies aimed at reducing genome complexity without PCR are anticipated to gain momentum in the coming years. Furthermore, development of integrated chip devices should allow point-of-care testing and facilitate genetic readouts from single cells and molecules. Together with molecular based therapy CRISPR based diagnostic testing will be a revolution in modern health care settings. In this review, we emphasize on current developing diagnostic techniques based upon CRISPR Cas approach along with short insights on its therapeutic usage. Copyright © 2018 Elsevier B.V. All rights reserved.

  18. CRISPRcompar: a website to compare clustered regularly interspaced short palindromic repeats.

    PubMed

    Grissa, Ibtissem; Vergnaud, Gilles; Pourcel, Christine

    2008-07-01

    Clustered regularly interspaced short palindromic repeat (CRISPR) elements are a particular family of tandem repeats present in prokaryotic genomes, in almost all archaea and in about half of bacteria, and which participate in a mechanism of acquired resistance against phages. They consist in a succession of direct repeats (DR) of 24-47 bp separated by similar sized unique sequences (spacers). In the large majority of cases, the direct repeats are highly conserved, while the number and nature of the spacers are often quite diverse, even among strains of a same species. Furthermore, the acquisition of new units (DR + spacer) was shown to happen almost exclusively on one side of the locus. Therefore, the CRISPR presents an interesting genetic marker for comparative and evolutionary analysis of closely related bacterial strains. CRISPRcompar is a web service created to assist biologists in the CRISPR typing process. Two tools facilitates the in silico investigation: CRISPRcomparison and CRISPRtionary. This website is freely accessible at http://crispr.u-psud.fr/CRISPRcompar/.

  19. CRISPRFinder: a web tool to identify clustered regularly interspaced short palindromic repeats.

    PubMed

    Grissa, Ibtissem; Vergnaud, Gilles; Pourcel, Christine

    2007-07-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) constitute a particular family of tandem repeats found in a wide range of prokaryotic genomes (half of eubacteria and almost all archaea). They consist of a succession of highly conserved regions (DR) varying in size from 23 to 47 bp, separated by similarly sized unique sequences (spacer) of usually viral origin. A CRISPR cluster is flanked on one side by an AT-rich sequence called the leader and assumed to be a transcriptional promoter. Recent studies suggest that this structure represents a putative RNA-interference-based immune system. Here we describe CRISPRFinder, a web service offering tools to (i) detect CRISPRs including the shortest ones (one or two motifs); (ii) define DRs and extract spacers; (iii) get the flanking sequences to determine the leader; (iv) blast spacers against Genbank database and (v) check if the DR is found elsewhere in prokaryotic sequenced genomes. CRISPRFinder is freely accessible at http://crispr.u-psud.fr/Server/CRISPRfinder.php.

  20. Clustered Regularly Interspaced Short Palindromic Repeats Are emm Type-Specific in Highly Prevalent Group A Streptococci

    PubMed Central

    Zheng, Po-Xing; Chan, Yuen-Chi; Chiou, Chien-Shun; Chiang-Ni, Chuan; Wang, Shu-Ying; Tsai, Pei-Jane; Chuang, Woei-Jer; Lin, Yee-Shin; Liu, Ching-Chuan; Wu, Jiunn-Jong

    2015-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are the bacterial adaptive immune system against foreign nucleic acids. Given the variable nature of CRISPR, it could be a good marker for molecular epidemiology. Group A streptococcus is one of the major human pathogens. It has two CRISPR loci, including CRISPR01 and CRISPR02. The aim of this study was to analyze the distribution of CRISPR-associated gene cassettes (cas) and CRISPR arrays in highly prevalent emm types. The cas cassette and CRISPR array in two CRISPR loci were analyzed in a total of 332 strains, including emm1, emm3, emm4, emm12, and emm28 strains. The CRISPR type was defined by the spacer content of each CRISPR array. All strains had at least one cas cassette or CRISPR array. More than 90% of the spacers were found in one emm type, specifically. Comparing the consistency between emm and CRISPR types by Simpson’s index of diversity and the adjusted Wallace coefficient, CRISPR01 type was concordant to emm type, and CRISPR02 showed unidirectional congruence to emm type, suggesting that at least for the majority of isolates causing infection in high income countries, the emm type can be inferred from CRISPR analysis, which can further discriminate isolates sharing the same emm type. PMID:26710228

  1. Single-cell sequencing unveils the lifestyle and CRISPR-based population history of Hydrotalea sp. in acid mine drainage.

    PubMed

    Medeiros, J D; Leite, L R; Pylro, V S; Oliveira, F S; Almeida, V M; Fernandes, G R; Salim, A C M; Araújo, F M G; Volpini, A C; Oliveira, G; Cuadros-Orellana, S

    2017-10-01

    Acid mine drainage (AMD) is characterized by an acid and metal-rich run-off that originates from mining systems. Despite having been studied for many decades, much remains unknown about the microbial community dynamics in AMD sites, especially during their early development, when the acidity is moderate. Here, we describe draft genome assemblies from single cells retrieved from an early-stage AMD sample. These cells belong to the genus Hydrotalea and are closely related to Hydrotalea flava. The phylogeny and average nucleotide identity analysis suggest that all single amplified genomes (SAGs) form two clades that may represent different strains. These cells have the genomic potential for denitrification, copper and other metal resistance. Two coexisting CRISPR-Cas loci were recovered across SAGs, and we observed heterogeneity in the population with regard to the spacer sequences, together with the loss of trailer-end spacers. Our results suggest that the genomes of Hydrotalea sp. strains studied here are adjusting to a quickly changing selective pressure at the microhabitat scale, and an important form of this selective pressure is infection by foreign DNA. © 2017 John Wiley & Sons Ltd.

  2. Generator stator core vent duct spacer posts

    DOEpatents

    Griffith, John Wesley; Tong, Wei

    2003-06-24

    Generator stator cores are constructed by stacking many layers of magnetic laminations. Ventilation ducts may be inserted between these layers by inserting spacers into the core stack. The ventilation ducts allow for the passage of cooling gas through the core during operation. The spacers or spacer posts are positioned between groups of the magnetic laminations to define the ventilation ducts. The spacer posts are secured with longitudinal axes thereof substantially parallel to the core axis. With this structure, core tightness can be assured while maximizing ventilation duct cross section for gas flow and minimizing magnetic loss in the spacers.

  3. CRISPR-Cas systems: prokaryotes upgrade to adaptive immunity

    PubMed Central

    Barrangou, Rodolphe; Marraffini, Luciano A.

    2014-01-01

    Summary Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing, and can be repurposed for numerous DNA targeting applications including transcriptional control. PMID:24766887

  4. CRISPR-Cas systems: Prokaryotes upgrade to adaptive immunity.

    PubMed

    Barrangou, Rodolphe; Marraffini, Luciano A

    2014-04-24

    Clustered regularly interspaced short palindromic repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing and can be repurposed for numerous DNA targeting applications including transcriptional control. Copyright © 2014 Elsevier Inc. All rights reserved.

  5. CRISPR-Cas Technologies and Applications in Food Bacteria.

    PubMed

    Stout, Emily; Klaenhammer, Todd; Barrangou, Rodolphe

    2017-02-28

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins form adaptive immune systems that occur in many bacteria and most archaea. In addition to protecting bacteria from phages and other invasive mobile genetic elements, CRISPR-Cas molecular machines can be repurposed as tool kits for applications relevant to the food industry. A primary concern of the food industry has long been the proper management of food-related bacteria, with a focus on both enhancing the outcomes of beneficial microorganisms such as starter cultures and probiotics and limiting the presence of detrimental organisms such as pathogens and spoilage microorganisms. This review introduces CRISPR-Cas as a novel set of technologies to manage food bacteria and offers insights into CRISPR-Cas biology. It primarily focuses on the applications of CRISPR-Cas systems and tools in starter cultures and probiotics, encompassing strain-typing, phage resistance, plasmid vaccination, genome editing, and antimicrobial activity.

  6. CRISPR-Cas9 Structures and Mechanisms.

    PubMed

    Jiang, Fuguo; Doudna, Jennifer A

    2017-05-22

    Many bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) systems employ the dual RNA-guided DNA endonuclease Cas9 to defend against invading phages and conjugative plasmids by introducing site-specific double-stranded breaks in target DNA. Target recognition strictly requires the presence of a short protospacer adjacent motif (PAM) flanking the target site, and subsequent R-loop formation and strand scission are driven by complementary base pairing between the guide RNA and target DNA, Cas9-DNA interactions, and associated conformational changes. The use of CRISPR-Cas9 as an RNA-programmable DNA targeting and editing platform is simplified by a synthetic single-guide RNA (sgRNA) mimicking the natural dual trans-activating CRISPR RNA (tracrRNA)-CRISPR RNA (crRNA) structure. This review aims to provide an in-depth mechanistic and structural understanding of Cas9-mediated RNA-guided DNA targeting and cleavage. Molecular insights from biochemical and structural studies provide a framework for rational engineering aimed at altering catalytic function, guide RNA specificity, and PAM requirements and reducing off-target activity for the development of Cas9-based therapies against genetic diseases.

  7. CRISPR/Cas9-mediated correction of human genetic disease.

    PubMed

    Men, Ke; Duan, Xingmei; He, Zhiyao; Yang, Yang; Yao, Shaohua; Wei, Yuquan

    2017-05-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system (CRISPR/Cas9) provides a powerful tool for targeted genetic editing. Directed by programmable sequence-specific RNAs, this system introduces cleavage and double-stranded breaks at target sites precisely. Compared to previously developed targeted nucleases, the CRISPR/Cas9 system demonstrates several promising advantages, including simplicity, high specificity, and efficiency. Several broad genome-editing studies with the CRISPR/Cas9 system in different species in vivo and ex vivo have indicated its strong potential, raising hopes for therapeutic genome editing in clinical settings. Taking advantage of non-homologous end-joining (NHEJ) and homology directed repair (HDR)-mediated DNA repair, several studies have recently reported the use of CRISPR/Cas9 to successfully correct disease-causing alleles ranging from single base mutations to large insertions. In this review, we summarize and discuss recent preclinical studies involving the CRISPR/Cas9-mediated correction of human genetic diseases.

  8. Industrially prefabricated cement spacers: do vancomycin- and gentamicin-impregnated spacers offer any advantage?

    PubMed

    Corona, Pablo S; Barro, Victor; Mendez, Marye; Cáceres, Enric; Flores, Xavier

    2014-03-01

    Industrially preformed antibiotic-loaded cement spacers are useful to facilitate the second stage of two-stage exchange arthroplasty for infected THAs and TKAs. However, whether gentamicin alone or a combination of antibiotics (such as vancomycin and gentamicin) is more effective is not known. We therefore sought to compare industrially prefabricated spacers containing either gentamicin or gentamicin and vancomycin with respect to (1) infection control, (2) complications, and (3) quality of life, pain, and patient satisfaction. We performed a review of 51 patients with chronic infections treated at one center using either gentamicin or vancomycin and gentamicin-prefabricated spacers. The former were used exclusively from January 2006 until May 2009, and the latter from June 2009 until July 2011, and there was no overlap. We collected data on demographics, immunologic status (McPherson classification), prosthetic joint infection location, type of prosthesis, microbiologic results, and time between stages. We evaluated the primary outcome of infection control or recurrence after at least 12 months followup. We also recorded complications. Each patient completed a quality-of-life survey, VAS, and a self-administered satisfaction scale. The overall infection control rate was 83% after a mean followup of 35 months (range, 12.4-64.7 months). There were no differences between gentamicin and vancomycin and gentamicin spacers in terms of infection eradication (80 % versus 85 %, respectively; p = 0.73), nor in terms of complications, quality of life, pain, or satisfaction scores. Prefabricated, antibiotic-loaded cement spacers has been proven effective for infection control in TKAs and THAs but with the numbers available, we did not find any differences between a gentamicin or vancomycin and gentamicin-prefabricated spacer, and therefore, we are unable to validate the superiority of the combination of vancomycin and gentamicin over gentamicin alone. Because of the higher

  9. The Anti-CRISPR Story: A Battle for Survival.

    PubMed

    Maxwell, Karen L

    2017-10-05

    The last decade has seen the fields of molecular biology and genetics transformed by the development of CRISPR-based gene editing technologies. These technologies were derived from bacterial defense systems that protect against viral invasion. Elegant studies focused on the evolutionary battle between CRISPR-encoding bacteria and the viruses that infect and kill them revealed the next step in this arms race, the anti-CRISPR proteins. Investigation of these proteins has provided important new insight into how CRISPR-Cas systems work and how bacterial genomes evolve. They have also led to the development of important biotechnological tools that can be used for genetic engineering, including off switches for CRISPR-Cas9 genome editing in human cells. Copyright © 2017 Elsevier Inc. All rights reserved.

  10. CRISPR, Patents, and the Public Health.

    PubMed

    Sherkow, Jacob S

    2017-12-01

    Patent issues surrounding CRISPR, the revolutionary genetic editing technology, may have important implications for the public health. Patents maintain high prices for novel therapies, limiting patient access. Relatedly, insurance coverage for expensive therapies is waning. Patents also misallocate research and development resources to profitable disease indications rather than those that necessarily impinge on the public health. And it is unclear how CRISPR therapies will figure into the current regulatory framework for biosimilars. Policy makers and physicians should consider these issues now, before CRISPR therapies become widely adopted-and entrenched-in the marketplace.

  11. Fundamental CRISPR-Cas9 tools and current applications in microbial systems.

    PubMed

    Tian, Pingfang; Wang, Jia; Shen, Xiaolin; Rey, Justin Forrest; Yuan, Qipeng; Yan, Yajun

    2017-09-01

    Derived from the bacterial adaptive immune system, CRISPR technology has revolutionized conventional genetic engineering methods and unprecedentedly facilitated strain engineering. In this review, we outline the fundamental CRISPR tools that have been employed for strain optimization. These tools include CRISPR editing, CRISPR interference, CRISPR activation and protein imaging. To further characterize the CRISPR technology, we present current applications of these tools in microbial systems, including model- and non-model industrial microorganisms. Specially, we point out the major challenges of the CRISPR tools when utilized for multiplex genome editing and sophisticated expression regulation. To address these challenges, we came up with strategies that place emphasis on the amelioration of DNA repair efficiency through CRISPR-Cas9-assisted recombineering. Lastly, multiple promising research directions were proposed, mainly focusing on CRISPR-based construction of microbial ecosystems toward high production of desired chemicals.

  12. Mathematical modelling of CRISPR-Cas system effects on biofilm formation.

    PubMed

    Ali, Qasim; Wahl, Lindi M

    2017-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), linked with CRISPR associated (Cas) genes, can confer adaptive immunity to bacteria, against bacteriophage infections. Thus from a therapeutic standpoint, CRISPR immunity increases biofilm resistance to phage therapy. Recently, however, CRISPR-Cas genes have been implicated in reducing biofilm formation in lysogenized cells. Thus CRISPR immunity can have complex effects on phage-host-lysogen interactions, particularly in a biofilm. In this contribution, we develop and analyse a series of dynamical systems to elucidate and disentangle these interactions. Two competition models are used to study the effects of lysogens (first model) and CRISPR-immune bacteria (second model) in the biofilm. In the third model, the effect of delivering lysogens to a CRISPR-immune biofilm is investigated. Using standard analyses of equilibria, stability and bifurcations, our models predict that lysogens may be able to displace CRISPR-immune bacteria in a biofilm, and thus suggest strategies to eliminate phage-resistant biofilms.

  13. Identification of genomic sites for CRISPR/Cas9-based genome editing in the Vitis vinifera genome.

    PubMed

    Wang, Yi; Liu, Xianju; Ren, Chong; Zhong, Gan-Yuan; Yang, Long; Li, Shaohua; Liang, Zhenchang

    2016-04-21

    CRISPR/Cas9 has been recently demonstrated as an effective and popular genome editing tool for modifying genomes of humans, animals, microorganisms, and plants. Success of such genome editing is highly dependent on the availability of suitable target sites in the genomes to be edited. Many specific target sites for CRISPR/Cas9 have been computationally identified for several annual model and crop species, but such sites have not been reported for perennial, woody fruit species. In this study, we identified and characterized five types of CRISPR/Cas9 target sites in the widely cultivated grape species Vitis vinifera and developed a user-friendly database for editing grape genomes in the future. A total of 35,767,960 potential CRISPR/Cas9 target sites were identified from grape genomes in this study. Among them, 22,597,817 target sites were mapped to specific genomic locations and 7,269,788 were found to be highly specific. Protospacers and PAMs were found to distribute uniformly and abundantly in the grape genomes. They were present in all the structural elements of genes with the coding region having the highest abundance. Five PAM types, TGG, AGG, GGG, CGG and NGG, were observed. With the exception of the NGG type, they were abundantly present in the grape genomes. Synteny analysis of similar genes revealed that the synteny of protospacers matched the synteny of homologous genes. A user-friendly database containing protospacers and detailed information of the sites was developed and is available for public use at the Grape-CRISPR website ( http://biodb.sdau.edu.cn/gc/index.html ). Grape genomes harbour millions of potential CRISPR/Cas9 target sites. These sites are widely distributed among and within chromosomes with predominant abundance in the coding regions of genes. We developed a publicly-accessible Grape-CRISPR database for facilitating the use of the CRISPR/Cas9 system as a genome editing tool for functional studies and molecular breeding of grapes. Among

  14. Advancing chimeric antigen receptor T cell therapy with CRISPR/Cas9.

    PubMed

    Ren, Jiangtao; Zhao, Yangbing

    2017-09-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (CRISPR/Cas9) system, an RNA-guided DNA targeting technology, is triggering a revolution in the field of biology. CRISPR/Cas9 has demonstrated great potential for genetic manipulation. In this review, we discuss the current development of CRISPR/Cas9 technologies for therapeutic applications, especially chimeric antigen receptor (CAR) T cell-based adoptive immunotherapy. Different methods used to facilitate efficient CRISPR delivery and gene editing in T cells are compared. The potential of genetic manipulation using CRISPR/Cas9 system to generate universal CAR T cells and potent T cells that are resistant to exhaustion and inhibition is explored. We also address the safety concerns associated with the use of CRISPR/Cas9 gene editing and provide potential solutions and future directions of CRISPR application in the field of CAR T cell immunotherapy. As an integration-free gene insertion method, CRISPR/Cas9 holds great promise as an efficient gene knock-in platform. Given the tremendous progress that has been made in the past few years, we believe that the CRISPR/Cas9 technology holds immense promise for advancing immunotherapy.

  15. CRISPR technologies for bacterial systems: Current achievements and future directions.

    PubMed

    Choi, Kyeong Rok; Lee, Sang Yup

    2016-11-15

    Throughout the decades of its history, the advances in bacteria-based bio-industries have coincided with great leaps in strain engineering technologies. Recently unveiled clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated proteins (Cas) systems are now revolutionizing biotechnology as well as biology. Diverse technologies have been derived from CRISPR/Cas systems in bacteria, yet the applications unfortunately have not been actively employed in bacteria as extensively as in eukaryotic organisms. A recent trend of engineering less explored strains in industrial microbiology-metabolic engineering, synthetic biology, and other related disciplines-is demanding facile yet robust tools, and various CRISPR technologies have potential to cater to the demands. Here, we briefly review the science in CRISPR/Cas systems and the milestone inventions that enabled numerous CRISPR technologies. Next, we describe CRISPR/Cas-derived technologies for bacterial strain development, including genome editing and gene expression regulation applications. Then, other CRISPR technologies possessing great potential for industrial applications are described, including typing and tracking of bacterial strains, virome identification, vaccination of bacteria, and advanced antimicrobial approaches. For each application, we note our suggestions for additional improvements as well. In the same context, replication of CRISPR/Cas-based chromosome imaging technologies developed originally in eukaryotic systems is introduced with its potential impact on studying bacterial chromosomal dynamics. Also, the current patent status of CRISPR technologies is reviewed. Finally, we provide some insights to the future of CRISPR technologies for bacterial systems by proposing complementary techniques to be developed for the use of CRISPR technologies in even wider range of applications. Copyright © 2016. Published by Elsevier Inc.

  16. [Clustered regularly interspaced short palindromic repeats (CRISPR) site in Bacillus anthracis].

    PubMed

    Gao, Zhiqi; Wang, Dongshu; Feng, Erling; Wang, Bingxiang; Hui, Yiming; Han, Shaobo; Jiao, Lei; Liu, Xiankai; Wang, Hengliang

    2014-11-04

    To investigate the polymorphism of clustered regularly interspaced short palindromic repeats (CRISPR) in Bacillu santhracis and the application to molecular typing based on the polymorphism of CRISPR in B. anthracis. We downloaded the whole genome sequence of 6 B. anthracis strains and extracted the CRISPR sites. We designed the primers of CRISPR sites and amplified the CRISPR fragments in 193 B. anthracis strains by PCR and sequenced these fragments. In order to reveal the polymorphism of CRISPR in B. anthracis, wealigned all the extracted sequences and sequenced results by local blasting. At the same time, we also analyzed the CRISPR sites in B. cereus and B. thuringiensis. We did not find any polymorphism of CRISPR in B. anthracis. The molecular typing approach based on CRISPR polymorphism is not suitable for B. anthracis, but it is possible for us to distinguish B. anthracis from B. cereus and B. thuringiensis.

  17. CRISPR/Cas9 for cancer research and therapy.

    PubMed

    Zhan, Tianzuo; Rindtorff, Niklas; Betge, Johannes; Ebert, Matthias P; Boutros, Michael

    2018-04-16

    CRISPR/Cas9 has become a powerful method for making changes to the genome of many organisms. First discovered in bacteria as part of an adaptive immune system, CRISPR/Cas9 and modified versions have found a widespread use to engineer genomes and to activate or to repress the expression of genes. As such, CRISPR/Cas9 promises to accelerate cancer research by providing an efficient technology to dissect mechanisms of tumorigenesis, identify targets for drug development, and possibly arm cells for cell-based therapies. Here, we review current applications of the CRISPR/Cas9 technology for cancer research and therapy. We describe novel Cas9 variants and how they are used in functional genomics to discover novel cancer-specific vulnerabilities. Furthermore, we highlight the impact of CRISPR/Cas9 in generating organoid and mouse models of cancer. Finally, we provide an overview of the first clinical trials that apply CRISPR/Cas9 as a therapeutic approach against cancer. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  18. CRISPR Detection From Short Reads Using Partial Overlap Graphs.

    PubMed

    Ben-Bassat, Ilan; Chor, Benny

    2016-06-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) are structured regions in bacterial and archaeal genomes, which are part of an adaptive immune system against phages. CRISPRs are important for many microbial studies and are playing an essential role in current gene editing techniques. As such, they attract substantial research interest. The exponential growth in the amount of bacterial sequence data in recent years enables the exploration of CRISPR loci in more and more species. Most of the automated tools that detect CRISPR loci rely on fully assembled genomes. However, many assemblers do not handle repetitive regions successfully. The first tool to work directly on raw sequence data is Crass, which requires reads that are long enough to contain two copies of the same repeat. We present a method to identify CRISPR repeats from raw sequence data of short reads. The algorithm is based on an observation differentiating CRISPR repeats from other types of repeats, and it involves a series of partial constructions of the overlap graph. This enables us to avoid many of the difficulties that assemblers face, as we merely aim to identify the repeats that belong to CRISPR loci. A preliminary implementation of the algorithm shows good results and detects CRISPR repeats in cases where other existing tools fail to do so.

  19. Applications of CRISPR/Cas System to Bacterial Metabolic Engineering.

    PubMed

    Cho, Suhyung; Shin, Jongoh; Cho, Byung-Kwan

    2018-04-05

    The clustered regularly interspaced short palindromic repeats/CRISPR-associated (CRISPR/Cas) adaptive immune system has been extensively used for gene editing, including gene deletion, insertion, and replacement in bacterial and eukaryotic cells owing to its simple, rapid, and efficient activities in unprecedented resolution. Furthermore, the CRISPR interference (CRISPRi) system including deactivated Cas9 (dCas9) with inactivated endonuclease activity has been further investigated for regulation of the target gene transiently or constitutively, avoiding cell death by disruption of genome. This review discusses the applications of CRISPR/Cas for genome editing in various bacterial systems and their applications. In particular, CRISPR technology has been used for the production of metabolites of high industrial significance, including biochemical, biofuel, and pharmaceutical products/precursors in bacteria. Here, we focus on methods to increase the productivity and yield/titer scan by controlling metabolic flux through individual or combinatorial use of CRISPR/Cas and CRISPRi systems with introduction of synthetic pathway in industrially common bacteria including Escherichia coli . Further, we discuss additional useful applications of the CRISPR/Cas system, including its use in functional genomics.

  20. Anti-CRISPR proteins: Counterattack of phages on bacterial defense (CRISPR/Cas) system.

    PubMed

    Chaudhary, Kulbhushan; Chattopadhyay, Anirudha; Pratap, Dharmendra

    2018-01-01

    Since the dawn of life there is a never ending strife between bacteria and phages. Both are perpetually changing their strategies to take over each other. CRISPR/Cas is the most widespread defense system used by bacteria against mobile genetic elements (MGEs) such as phages, cojugative palsmids, transoposons, and pathogenicity islands. This system utilizes small guide RNA molecules to protect against phages infection and invasion by MGEs. Phages circumvent to these antiviral barriers by point mutation in PAM (protospacer-adjacent motif) sequence, genome rearrangements and by using anti-CRISPR proteins. © 2017 Wiley Periodicals, Inc.

  1. A Comprehensive Curation Shows the Dynamic Evolutionary Patterns of Prokaryotic CRISPRs.

    PubMed

    Mai, Guoqin; Ge, Ruiquan; Sun, Guoquan; Meng, Qinghan; Zhou, Fengfeng

    2016-01-01

    Motivation. Clustered regularly interspaced short palindromic repeat (CRISPR) is a genetic element with active regulation roles for foreign invasive genes in the prokaryotic genomes and has been engineered to work with the CRISPR-associated sequence (Cas) gene Cas9 as one of the modern genome editing technologies. Due to inconsistent definitions, the existing CRISPR detection programs seem to have missed some weak CRISPR signals. Results. This study manually curates all the currently annotated CRISPR elements in the prokaryotic genomes and proposes 95 updates to the annotations. A new definition is proposed to cover all the CRISPRs. The comprehensive comparison of CRISPR numbers on the taxonomic levels of both domains and genus shows high variations for closely related species even in the same genus. The detailed investigation of how CRISPRs are evolutionarily manipulated in the 8 completely sequenced species in the genus Thermoanaerobacter demonstrates that transposons act as a frequent tool for splitting long CRISPRs into shorter ones along a long evolutionary history.

  2. Holding a grudge

    PubMed Central

    Mick, Eran; Stern, Adi; Sorek, Rotem

    2013-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeats)/Cas (CRISPR-associated) system of bacteria and archaea constitutes a mechanism of acquired adaptive immunity against phages, which is based on genome-encoded markers of previously infecting phage sequences (“spacers”). As a repository of phage sequences, these spacers make the system particularly suitable for elucidating phage-bacteria interactions in metagenomic studies. Recent metagenomic analyses of CRISPRs associated with the human microbiome intriguingly revealed conserved “memory spacers” shared by bacteria in multiple unrelated, geographically separated individuals. Here, we discuss possible avenues for explaining this phenomenon by integrating insights from CRISPR biology and phage-bacteria ecology, with a special focus on the human gut. We further explore the growing body of evidence for the role of CRISPR/Cas in regulating the interplay between bacteria and lysogenic phages, which may be intimately related to the presence of memory spacers and sheds new light on the multifaceted biological and ecological modes of action of CRISPR/Cas. PMID:23439321

  3. Harnessing CRISPR-Cas systems for bacterial genome editing.

    PubMed

    Selle, Kurt; Barrangou, Rodolphe

    2015-04-01

    Manipulation of genomic sequences facilitates the identification and characterization of key genetic determinants in the investigation of biological processes. Genome editing via clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) constitutes a next-generation method for programmable and high-throughput functional genomics. CRISPR-Cas systems are readily reprogrammed to induce sequence-specific DNA breaks at target loci, resulting in fixed mutations via host-dependent DNA repair mechanisms. Although bacterial genome editing is a relatively unexplored and underrepresented application of CRISPR-Cas systems, recent studies provide valuable insights for the widespread future implementation of this technology. This review summarizes recent progress in bacterial genome editing and identifies fundamental genetic and phenotypic outcomes of CRISPR targeting in bacteria, in the context of tool development, genome homeostasis, and DNA repair. Copyright © 2015 Elsevier Ltd. All rights reserved.

  4. Exploiting CRISPR/Cas: Interference Mechanisms and Applications

    PubMed Central

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-01-01

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries. PMID:23857052

  5. CRISPR guide RNA design for research applications.

    PubMed

    Mohr, Stephanie E; Hu, Yanhui; Ewen-Campen, Benjamin; Housden, Benjamin E; Viswanatha, Raghuvir; Perrimon, Norbert

    2016-09-01

    The rapid rise of CRISPR as a technology for genome engineering and related research applications has created a need for algorithms and associated online tools that facilitate design of on-target and effective guide RNAs (gRNAs). Here, we review the state of the art in CRISPR gRNA design for research applications of the CRISPR-Cas9 system, including knockout, activation, and inhibition. Notably, achieving good gRNA design is not solely dependent on innovations in CRISPR technology. Good design and design tools also rely on availability of high-quality genome sequence and gene annotations, as well as on availability of accumulated data regarding off-targets and effectiveness metrics. © 2016 The Authors. The FEBS Journal published by John Wiley & Sons Ltd on behalf of Federation of European Biochemical Societies.

  6. Exploiting CRISPR/Cas: interference mechanisms and applications.

    PubMed

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-07-12

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries.

  7. CRISPR-Cpf1 assisted genome editing of Corynebacterium glutamicum

    PubMed Central

    Jiang, Yu; Qian, Fenghui; Yang, Junjie; Liu, Yingmiao; Dong, Feng; Xu, Chongmao; Sun, Bingbing; Chen, Biao; Xu, Xiaoshu; Li, Yan; Wang, Renxiao; Yang, Sheng

    2017-01-01

    Corynebacterium glutamicum is an important industrial metabolite producer that is difficult to genetically engineer. Although the Streptococcus pyogenes (Sp) CRISPR-Cas9 system has been adapted for genome editing of multiple bacteria, it cannot be introduced into C. glutamicum. Here we report a Francisella novicida (Fn) CRISPR-Cpf1-based genome-editing method for C. glutamicum. CRISPR-Cpf1, combined with single-stranded DNA (ssDNA) recombineering, precisely introduces small changes into the bacterial genome at efficiencies of 86–100%. Large gene deletions and insertions are also obtained using an all-in-one plasmid consisting of FnCpf1, CRISPR RNA, and homologous arms. The two CRISPR-Cpf1-assisted systems enable N iterative rounds of genome editing in 3N+4 or 3N+2 days. A proof-of-concept, codon saturation mutagenesis at G149 of γ-glutamyl kinase relieves L-proline inhibition using Cpf1-assisted ssDNA recombineering. Thus, CRISPR-Cpf1-based genome editing provides a highly efficient tool for genetic engineering of Corynebacterium and other bacteria that cannot utilize the Sp CRISPR-Cas9 system. PMID:28469274

  8. CRISPR-Cas type I-A Cascade complex couples viral infection surveillance to host transcriptional regulation in the dependence of Csa3b

    PubMed Central

    He, Fei; Vestergaard, Gisle; Peng, Wenfang; She, Qunxin

    2017-01-01

    Abstract CRISPR-Cas (clustered regularly interspaced short palindromic repeats and the associated genes) constitute adaptive immune systems in bacteria and archaea and they provide sequence specific immunity against foreign nucleic acids. CRISPR-Cas systems are activated by viral infection. However, little is known about how CRISPR-Cas systems are activated in response to viral infection or how their expression is controlled in the absence of viral infection. Here, we demonstrate that both the transcriptional regulator Csa3b, and the type I-A interference complex Cascade, are required to transcriptionally repress the interference gene cassette in the archaeon Sulfolobus. Csa3b binds to two palindromic repeat sites in the promoter region of the cassette and facilitates binding of the Cascade to the promoter region. Upon viral infection, loading of Cascade complexes onto crRNA-matching protospacers leads to relief of the transcriptional repression. Our data demonstrate a mechanism coupling CRISPR-Cas surveillance of protospacers to transcriptional regulation of the interference gene cassette thereby allowing a fast response to viral infection. PMID:27980065

  9. Advances in therapeutic CRISPR/Cas9 genome editing.

    PubMed

    Savić, Nataša; Schwank, Gerald

    2016-02-01

    Targeted nucleases are widely used as tools for genome editing. Two years ago the clustered regularly interspaced short palindromic repeat (CRISPR)-associated Cas9 nuclease was used for the first time, and since then has largely revolutionized the field. The tremendous success of the CRISPR/Cas9 genome editing tool is powered by the ease design principle of the guide RNA that targets Cas9 to the desired DNA locus, and by the high specificity and efficiency of CRISPR/Cas9-generated DNA breaks. Several studies recently used CRISPR/Cas9 to successfully modulate disease-causing alleles in vivo in animal models and ex vivo in somatic and induced pluripotent stem cells, raising hope for therapeutic genome editing in the clinics. In this review, we will summarize and discuss such preclinical CRISPR/Cas9 gene therapy reports. Copyright © 2016 Elsevier Inc. All rights reserved.

  10. Improving CRISPR-Cas specificity with chemical modifications in single-guide RNAs.

    PubMed

    Ryan, Daniel E; Taussig, David; Steinfeld, Israel; Phadnis, Smruti M; Lunstad, Benjamin D; Singh, Madhurima; Vuong, Xuan; Okochi, Kenji D; McCaffrey, Ryan; Olesiak, Magdalena; Roy, Subhadeep; Yung, Chong Wing; Curry, Bo; Sampson, Jeffrey R; Bruhn, Laurakay; Dellinger, Douglas J

    2018-01-25

    CRISPR systems have emerged as transformative tools for altering genomes in living cells with unprecedented ease, inspiring keen interest in increasing their specificity for perfectly matched targets. We have developed a novel approach for improving specificity by incorporating chemical modifications in guide RNAs (gRNAs) at specific sites in their DNA recognition sequence ('guide sequence') and systematically evaluating their on-target and off-target activities in biochemical DNA cleavage assays and cell-based assays. Our results show that a chemical modification (2'-O-methyl-3'-phosphonoacetate, or 'MP') incorporated at select sites in the ribose-phosphate backbone of gRNAs can dramatically reduce off-target cleavage activities while maintaining high on-target performance, as demonstrated in clinically relevant genes. These findings reveal a unique method for enhancing specificity by chemically modifying the guide sequence in gRNAs. Our approach introduces a versatile tool for augmenting the performance of CRISPR systems for research, industrial and therapeutic applications. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  11. Temperature effect on CRISPR-Cas9 mediated genome editing.

    PubMed

    Xiang, Guanghai; Zhang, Xingying; An, Chenrui; Cheng, Chen; Wang, Haoyi

    2017-04-20

    Zinc-finger nuclease (ZFN), transcription activator-like effector nuclease (TALEN), and clustered regularly interspaced short palindromic repeats/CRISPR-associated protein 9 (CRISPR-Cas9) are the most commonly used genome editing tools. Previous studies demonstrated that hypothermia treatment increased the mutation rates induced by ZFNs and TALENs in mammalian cells. Here, we characterize the effect of different culture temperatures on CRISPR-Cas9 mediated genome editing and find that the genome editing efficiency of CRISPR-Cas9 is significantly hampered by hypothermia treatment, unlike ZFN and TALEN. In addition, hyperthermia culture condition enhances genome editing by CRISPR-Cas9 in some cell lines, due to the higher enzyme activity and sgRNA expression level at higher temperature. Our study has implications on CRISPR-Cas9 applications in a broad spectrum of species, many of which do not live at 37°C. Copyright © 2017 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  12. Design principles for nuclease-deficient CRISPR-based transcriptional regulators

    PubMed Central

    Jensen, Michael K

    2018-01-01

    Abstract The engineering of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR-associated proteins continues to expand the toolkit available for genome editing, reprogramming gene regulation, genome visualisation and epigenetic studies of living organisms. In this review, the emerging design principles on the use of nuclease-deficient CRISPR-based reprogramming of gene expression will be presented. The review will focus on the designs implemented in yeast both at the level of CRISPR proteins and guide RNA (gRNA), but will lend due credits to the seminal studies performed in other species where relevant. In addition to design principles, this review also highlights applications benefitting from the use of CRISPR-mediated transcriptional regulation and discusses the future directions to further expand the toolkit for nuclease-deficient reprogramming of genomes. As such, this review should be of general interest for experimentalists to get familiarised with the parameters underlying the power of reprogramming genomic functions by use of nuclease-deficient CRISPR technologies. PMID:29726937

  13. Design principles for nuclease-deficient CRISPR-based transcriptional regulators.

    PubMed

    Jensen, Michael K

    2018-06-01

    The engineering of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR-associated proteins continues to expand the toolkit available for genome editing, reprogramming gene regulation, genome visualisation and epigenetic studies of living organisms. In this review, the emerging design principles on the use of nuclease-deficient CRISPR-based reprogramming of gene expression will be presented. The review will focus on the designs implemented in yeast both at the level of CRISPR proteins and guide RNA (gRNA), but will lend due credits to the seminal studies performed in other species where relevant. In addition to design principles, this review also highlights applications benefitting from the use of CRISPR-mediated transcriptional regulation and discusses the future directions to further expand the toolkit for nuclease-deficient reprogramming of genomes. As such, this review should be of general interest for experimentalists to get familiarised with the parameters underlying the power of reprogramming genomic functions by use of nuclease-deficient CRISPR technologies.

  14. CRISPR-Cas9 technology and its application in haematological disorders

    PubMed Central

    Zhang, Han; McCarty, Nami

    2018-01-01

    Summary The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. PMID:27619566

  15. CRISPR-Cas9 technology and its application in haematological disorders.

    PubMed

    Zhang, Han; McCarty, Nami

    2016-10-01

    The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. © 2016 John Wiley & Sons Ltd.

  16. Comparison of dual-k spacer and single-k spacer for single NWFET and 3-stack NWFET

    NASA Astrophysics Data System (ADS)

    Ko, Hyungwoo; Kim, Jongsu; Kim, Minsoo; Kang, Myounggon; Shin, Hyungcheol

    2018-02-01

    The investigation of the Dual-k spacer through comparative analysis of single nanowire-FET(NWFET)/3-stack NWFET and underlap/overlap channel is conducted. It is known that the dug 3-stack NWFET has better delay characteristics than single NWFET with the use of high permittivity material of Cin in Dual-k spacer structure. In addition, there is no difference of delay between overlap and underlap channel when it used Dual-k spacer structure but underlap channel of Dual-k 3-stack NWFET shows better short channel immunity.

  17. [Advances in application of clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 system in stem cells research].

    PubMed

    Sun, S J; Huo, J H; Geng, Z J; Sun, X Y; Fu, X B

    2018-04-20

    Gene engineering has attracted worldwide attention because of its ability of precise location of disease mutations in genome. As a new gene editing technology, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (Cas9) system is simple, fast, and accurate to operate at a specific gene site. It overcomes the long-standing problem of conventional operation. At the same time, stem cells are a good foundation for establishing disease model in vitro. Therefore, it has great significance to combine stem cells with the rapidly developing gene manipulation techniques. In this review, we mainly focus on the mechanism of CRISPR/Cas9 technology and its application in stem cell genomic editing, so as to pave the way for promoting rapid application and development of CRISPR/Cas9 technology.

  18. Using CRISPR-Cas9 to Study ERK Signaling in Drosophila.

    PubMed

    Forés, Marta; Papagianni, Aikaterini; Rodríguez-Muñoz, Laura; Jiménez, Gerardo

    2017-01-01

    Genome engineering using the clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR associated nuclease 9 (Cas9) technology is revolutionizing biomedical research. CRISPR-Cas9 enables precise editing of genes in a wide variety of cells and organisms, thereby accelerating molecular studies via targeted mutagenesis, epitope tagging, and other custom genetic modifications. Here, we illustrate the CRISPR-Cas9 methodology by focusing on Capicua (Cic), a nuclear transcriptional repressor directly phosphorylated and inactivated by ERK/MAPK. Specifically, we use CRISPR-Cas9 for targeting an ERK docking site of Drosophila Cic, thus generating ERK-insensitive mutants of this important signaling sensor.

  19. CRISPR, Patents, and the Public Health



    PubMed Central

    Sherkow, Jacob S.

    2017-01-01

    Patent issues surrounding CRISPR, the revolutionary genetic editing technology, may have important implications for the public health. Patents maintain high prices for novel therapies, limiting patient access. Relatedly, insurance coverage for expensive therapies is waning. Patents also misallocate research and development resources to profitable disease indications rather than those that necessarily impinge on the public health. And it is unclear how CRISPR therapies will figure into the current regulatory framework for biosimilars. Policy makers and physicians should consider these issues now, before CRISPR therapies become widely adopted—and entrenched—in the marketplace. PMID:29259531

  20. Spacer effect on nanostructures and self-assembly in organogels via some bolaform cholesteryl imide derivatives with different spacers

    PubMed Central

    2013-01-01

    In this paper, new bolaform cholesteryl imide derivatives with different spacers were designed and synthesized. Their gelation behaviors in 23 solvents were investigated, and some of them were found to be low molecular mass organic gelators. The experimental results indicated that these as-formed organogels can be regulated by changing the flexible/rigid segments in spacers and organic solvents. Suitable combination of flexible/rigid segments in molecular spacers in the present cholesteryl gelators is favorable for the gelation of organic solvents. Scanning electron microscopy and atomic force microscopy observations revealed that the gelator molecules self-assemble into different aggregates, from wrinkle and belt to fiber with the change of spacers and solvents. Spectral studies indicated that there existed different H-bond formations between imide groups and assembly modes, depending on the substituent spacers in molecular skeletons. The present work may give some insight into the design and character of new organogelators and soft materials with special molecular structures. PMID:24083361

  1. Spacer effect on nanostructures and self-assembly in organogels via some bolaform cholesteryl imide derivatives with different spacers

    NASA Astrophysics Data System (ADS)

    Jiao, Tifeng; Gao, Fengqing; Zhang, Qingrui; Zhou, Jingxin; Gao, Faming

    2013-10-01

    In this paper, new bolaform cholesteryl imide derivatives with different spacers were designed and synthesized. Their gelation behaviors in 23 solvents were investigated, and some of them were found to be low molecular mass organic gelators. The experimental results indicated that these as-formed organogels can be regulated by changing the flexible/rigid segments in spacers and organic solvents. Suitable combination of flexible/rigid segments in molecular spacers in the present cholesteryl gelators is favorable for the gelation of organic solvents. Scanning electron microscopy and atomic force microscopy observations revealed that the gelator molecules self-assemble into different aggregates, from wrinkle and belt to fiber with the change of spacers and solvents. Spectral studies indicated that there existed different H-bond formations between imide groups and assembly modes, depending on the substituent spacers in molecular skeletons. The present work may give some insight into the design and character of new organogelators and soft materials with special molecular structures.

  2. CRISPR/Cas9-mediated noncoding RNA editing in human cancers.

    PubMed

    Yang, Jie; Meng, Xiaodan; Pan, Jinchang; Jiang, Nan; Zhou, Chengwei; Wu, Zhenhua; Gong, Zhaohui

    2018-01-02

    Cancer is characterized by multiple genetic and epigenetic alterations, including a higher prevalence of mutations of oncogenes and/or tumor suppressors. Mounting evidences have shown that noncoding RNAs (ncRNAs) are involved in the epigenetic regulation of cancer genes and their associated pathways. The clustered regularly interspaced short palindromic repeats (CRISPR)-associated nuclease 9 (CRISPR/Cas9) system, a revolutionary genome-editing technology, has shed light on ncRNA-based cancer therapy. Here, we briefly introduce the classifications and mechanisms of CRISPR/Cas9 system. Importantly, we mainly focused on the applications of CRISPR/Cas9 system as a molecular tool for ncRNA (microRNA, long noncoding RNA and circular RNA, etc.) editing in human cancers, and the novel techniques that are based on CRISPR/Cas9 system. Additionally, the off-target effects and the corresponding solutions as well as the challenges toward CRISPR/Cas9 were also evaluated and discussed. Long- and short-ncRNAs have been employed as targets in precision oncology, and CRISPR/Cas9-mediated ncRNA editing may provide an excellent way to cure cancer.

  3. Therapeutic applications of CRISPR/Cas9 system in gene therapy.

    PubMed

    Mollanoori, Hasan; Teimourian, Shahram

    2018-06-01

    Gene therapy is based on the principle of the genetic manipulation of DNA or RNA for treating and preventing human diseases. The clustered regularly interspaced short palindromic repeats/CRISPR associated nuclease9 (CRISPR/Cas9) system, derived from the acquired immune system in bacteria and archaea, has provided a new tool for accurate manipulation of genomic sequence to attain a therapeutic result. The advantage of CRISPR which made it an easy and flexible tool for diverse genome editing purposes is that a single protein (Cas9) complex with 2 short RNA sequences, function as a site-specific endonuclease. Recently, application of CRISPR/Cas9 system has become popular for therapeutic aims such as gene therapy. In this article, we review the fundamental mechanisms of CRISPR-Cas9 function and summarize preclinical CRISPR-mediated gene therapy reports on a wide variety of disorders.

  4. [Application of CRISPR/Cas9 mediated genome editing in farm animals].

    PubMed

    Xing, Yu-yun; Yang, Qiang; Ren, Jun

    2016-03-01

    CRISPR (Clustered regularly interspaced short palindromic repeats)/Cas (CRISPR associated proteins) is an acquired immune system found in bacteria and archaea that fight against invasion of viruses or plasmids. CRISPR/Cas systems are currently classified into three main types: I, II and III, of which type II has relatively simple components. The CRISPR/Cas9 technology modified from type II CRISPR/Cas system has been developed as an efficient genome editing tool. Since the initial application of the CRISPR/Cas9 technology in mammals in 2013, the reports of this system for genomic editing has skyrocketed. Farm animals are not only economically important animals, but also ideal animal models for human diseases and biomedical studies. In this review, we summarize the applications of CRISPR/Cas9 in farm animals, briefly describe the off-target effects and the main solutions, and finally highlight the future perspectives of this technology.

  5. The CRISPR-Cas9 system in Neisseria spp.

    PubMed Central

    2017-01-01

    Abstract Bacteria and archaea possess numerous defense systems to combat viral infections and other mobile genetic elements. Uniquely among these, CRISPR-Cas (clustered, regularly interspaced short palindromic repeats-CRISPR associated) provides adaptive genetic interference against foreign nucleic acids. Here we review recent advances on the CRISPR-Cas9 system in Neisseria spp, with a focus on its biological functions in genetic transfer, its mechanistic features that establish new paradigms and its technological applications in eukaryotic genome engineering. PMID:28369433

  6. The ribosomal gene spacer region in archaebacteria

    NASA Technical Reports Server (NTRS)

    Achenbach-Richter, L.; Woese, C. R.

    1988-01-01

    Sequences for the spacer regions that separate the 16S and 23S ribosomal RNA genes have been determined for four more (strategically placed) archaebacteria. These confirm the general rule that methanogens and extreme halophiles have spacers that contain a single tRNAala gene, while tRNA genes are not found in the spacer region of the true extreme thermophiles. The present study also shows that the spacer regions from the sulfate reducing Archaeglobus and the extreme thermophile Thermococcus (both of which cluster phylogenetically with the methanogens and extreme halophiles) contain each a tRNAala gene. Thus, not only all methanogens and extreme halophiles show this characteristic, but all organisms on the "methanogen branch" of the archaebacterial tree appear to do so. The finding of a tRNA gene in the spacer region of the extreme thermophile Thermococcus celer is the first known phenotypic property that links this organism with its phylogenetic counterparts, the methanogens, rather than with its phenotypic counterparts, the sulfur-dependent extreme thermophiles.

  7. Subtyping of Salmonella enterica Serovar Newport Outbreak Isolates by CRISPR-MVLST and Determination of the Relationship between CRISPR-MVLST and PFGE Results

    PubMed Central

    Shariat, Nikki; Kirchner, Margaret K.; Sandt, Carol H.; Trees, Eija; Barrangou, Rodolphe

    2013-01-01

    Salmonella enterica subsp. enterica serovar Newport (S. Newport) is the third most prevalent cause of food-borne salmonellosis. Rapid, efficient, and accurate methods for identification are required to track specific strains of S. Newport during outbreaks. By exploiting the hypervariable nature of virulence genes and clustered regularly interspaced short palindromic repeats (CRISPRs), we previously developed a sequence-based subtyping approach, designated CRISPR–multi-virulence-locus sequence typing (CRISPR-MVLST). To demonstrate the applicability of this approach, we analyzed a broad set of S. Newport isolates collected over a 5-year period by using CRISPR-MVLST and pulsed-field gel electrophoresis (PFGE). Among 84 isolates, we defined 38 S. Newport sequence types (NSTs), all of which were novel compared to our previous analyses, and 62 different PFGE patterns. Our data suggest that both subtyping approaches have high discriminatory abilities (>0.95) with a potential for clustering cases with common exposures. Importantly, we found that isolates from closely related NSTs were often similar by PFGE profile as well, further corroborating the applicability of CRISPR-MVLST. In the first full application of CRISPR-MVLST, we analyzed isolates from a recent S. Newport outbreak. In this blinded study, we confirmed the utility of CRISPR-MVLST and were able to distinguish the 10 outbreak isolates, as defined by PFGE and epidemiological data, from a collection of 20 S. Newport isolates. Together, our data show that CRISPR-MVLST could be a complementary approach to PFGE subtyping for S. Newport. PMID:23678062

  8. Editing plants for virus resistance using CRISPR-Cas.

    PubMed

    Green, J C; Hu, J S

    This minireview summarizes recent advancements using the clustered regularly interspaced palindromic repeats-associated nuclease systems (CRISPR-Cas) derived from prokaryotes to breed plants resistant to DNA and RNA viruses. The CRISPR-Cas system represents a powerful tool able to edit and insert novel traits into plants precisely at chosen loci offering enormous advantages to classical breeding. Approaches to engineering plant virus resistance in both transgenic and non-transgenic plants are discussed. Iterations of the CRISPR-Cas system, FnCas9 and C2c2 capable of editing RNA in eukaryotic cells offer a particular advantage for providing resistance to RNA viruses which represent the great majority of known plant viruses. Scientists have obtained conflicting results using gene silencing technology to produce transgenic plants resistant to geminiviruses. CRISPR-Cas systems engineered in plants to target geminiviruses have consistently reduced virus accumulation providing increased resistance to virus infection. CRISPR-Cas may provide novel and reliable approaches to control geminiviruses and other ssDNA viruses such as Banana bunchy top virus (BBTV).

  9. Unravelling the structural and mechanistic basis of CRISPR-Cas systems.

    PubMed

    van der Oost, John; Westra, Edze R; Jackson, Ryan N; Wiedenheft, Blake

    2014-07-01

    Bacteria and archaea have evolved sophisticated adaptive immune systems, known as CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) systems, which target and inactivate invading viruses and plasmids. Immunity is acquired by integrating short fragments of foreign DNA into CRISPR loci, and following transcription and processing of these loci, the CRISPR RNAs (crRNAs) guide the Cas proteins to complementary invading nucleic acid, which results in target interference. In this Review, we summarize the recent structural and biochemical insights that have been gained for the three major types of CRISPR-Cas systems, which together provide a detailed molecular understanding of the unique and conserved mechanisms of RNA-guided adaptive immunity in bacteria and archaea.

  10. [Advances in CRISPR-Cas-mediated genome editing system in plants].

    PubMed

    Wang, Chun; Wang, Kejian

    2017-10-25

    Targeted genome editing technology is an important tool to study the function of genes and to modify organisms at the genetic level. Recently, CRISPR-Cas (clustered regularly interspaced short palindromic repeats and CRISPR-associated proteins) system has emerged as an efficient tool for specific genome editing in animals and plants. CRISPR-Cas system uses CRISPR-associated endonuclease and a guide RNA to generate double-strand breaks at the target DNA site, subsequently leading to genetic modifications. CRISPR-Cas system has received widespread attention for manipulating the genomes with simple, easy and high specificity. This review summarizes recent advances of diverse applications of the CRISPR-Cas toolkit in plant research and crop breeding, including expanding the range of genome editing, precise editing of a target base, and efficient DNA-free genome editing technology. This review also discusses the potential challenges and application prospect in the future, and provides a useful reference for researchers who are interested in this field.

  11. CRISPR-Cas type I-A Cascade complex couples viral infection surveillance to host transcriptional regulation in the dependence of Csa3b.

    PubMed

    He, Fei; Vestergaard, Gisle; Peng, Wenfang; She, Qunxin; Peng, Xu

    2017-02-28

    CRISPR-Cas (clustered regularly interspaced short palindromic repeats and the associated genes) constitute adaptive immune systems in bacteria and archaea and they provide sequence specific immunity against foreign nucleic acids. CRISPR-Cas systems are activated by viral infection. However, little is known about how CRISPR-Cas systems are activated in response to viral infection or how their expression is controlled in the absence of viral infection. Here, we demonstrate that both the transcriptional regulator Csa3b, and the type I-A interference complex Cascade, are required to transcriptionally repress the interference gene cassette in the archaeon Sulfolobus. Csa3b binds to two palindromic repeat sites in the promoter region of the cassette and facilitates binding of the Cascade to the promoter region. Upon viral infection, loading of Cascade complexes onto crRNA-matching protospacers leads to relief of the transcriptional repression. Our data demonstrate a mechanism coupling CRISPR-Cas surveillance of protospacers to transcriptional regulation of the interference gene cassette thereby allowing a fast response to viral infection. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  12. CRISPR Genome Editing

    Cancer.gov

    A research article about a technique for gene editing known as CRISPR-Cas9. The technique has made it much easier and faster for cancer researchers to study mutations and test new therapeutic targets.

  13. CRISPR-Cas9-Edited Site Sequencing (CRES-Seq): An Efficient and High-Throughput Method for the Selection of CRISPR-Cas9-Edited Clones.

    PubMed

    Veeranagouda, Yaligara; Debono-Lagneaux, Delphine; Fournet, Hamida; Thill, Gilbert; Didier, Michel

    2018-01-16

    The emergence of clustered regularly interspaced short palindromic repeats-Cas9 (CRISPR-Cas9) gene editing systems has enabled the creation of specific mutants at low cost, in a short time and with high efficiency, in eukaryotic cells. Since a CRISPR-Cas9 system typically creates an array of mutations in targeted sites, a successful gene editing project requires careful selection of edited clones. This process can be very challenging, especially when working with multiallelic genes and/or polyploid cells (such as cancer and plants cells). Here we described a next-generation sequencing method called CRISPR-Cas9 Edited Site Sequencing (CRES-Seq) for the efficient and high-throughput screening of CRISPR-Cas9-edited clones. CRES-Seq facilitates the precise genotyping up to 96 CRISPR-Cas9-edited sites (CRES) in a single MiniSeq (Illumina) run with an approximate sequencing cost of $6/clone. CRES-Seq is particularly useful when multiple genes are simultaneously targeted by CRISPR-Cas9, and also for screening of clones generated from multiallelic genes/polyploid cells. © 2018 by John Wiley & Sons, Inc. Copyright © 2018 John Wiley & Sons, Inc.

  14. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting

    PubMed Central

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D.

    2017-01-01

    Bacterial CRISPR–Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR–Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR–Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification. PMID:28387220

  15. CRISPR-STOP: gene silencing through base-editing-induced nonsense mutations.

    PubMed

    Kuscu, Cem; Parlak, Mahmut; Tufan, Turan; Yang, Jiekun; Szlachta, Karol; Wei, Xiaolong; Mammadov, Rashad; Adli, Mazhar

    2017-07-01

    CRISPR-Cas9-induced DNA damage may have deleterious effects at high-copy-number genomic regions. Here, we use CRISPR base editors to knock out genes by changing single nucleotides to create stop codons. We show that the CRISPR-STOP method is an efficient and less deleterious alternative to wild-type Cas9 for gene-knockout studies. Early stop codons can be introduced in ∼17,000 human genes. CRISPR-STOP-mediated targeted screening demonstrates comparable efficiency to WT Cas9, which indicates the suitability of our approach for genome-wide functional screenings.

  16. Cornerstones of CRISPR-Cas in drug development and therapy

    PubMed Central

    Fellmann, Christof; Gowen, Benjamin G.; Lin, Pei-Chun; Doudna, Jennifer A.; Corn, Jacob E.

    2017-01-01

    The recent development of CRISPR-Cas systems as easily accessible and programmable tools for genome editing and regulation is spurring a revolution in biology. Paired with the rapid expansion of personalized and reference genomic sequence information, technologies based on CRISPR-Cas are enabling nearly unlimited genetic manipulation even in previously difficult contexts, including human cells. Although much attention has focused on the potential of CRISPR-Cas to cure Mendelian diseases, the technology also holds promise to transform the development of therapies to treat complex heritable and somatic disorders. Here we discuss how CRISPR-Cas can impact the next generation of drugs through accelerating the identification and validation of high-value targets, uncovering high confidence biomarkers and developing differentiated breakthrough therapies. We focus on the promises, pitfalls and hurdles of this revolutionary gene editing technology, and also discuss key aspects of different CRISPR-Cas screening platforms and offer our perspectives on the best practices in genome engineering. PMID:28008168

  17. CRISPR/Cas9: Transcending the Reality of Genome Editing.

    PubMed

    Chira, Sergiu; Gulei, Diana; Hajitou, Amin; Zimta, Alina-Andreea; Cordelier, Pierre; Berindan-Neagoe, Ioana

    2017-06-16

    With the expansion of the microbiology field of research, a new genome editing tool arises from the biology of bacteria that holds the promise of achieving precise modifications in the genome with a simplicity and versatility that surpasses previous genome editing methods. This new technique, commonly named CRISPR/Cas9, led to a rapid expansion of the biomedical field; more specifically, cancer characterization and modeling have benefitted greatly from the genome editing capabilities of CRISPR/Cas9. In this paper, we briefly summarize recent improvements in CRISPR/Cas9 design meant to overcome the limitations that have arisen from the nuclease activity of Cas9 and the influence of this technology in cancer research. In addition, we present challenges that might impede the clinical applicability of CRISPR/Cas9 for cancer therapy and highlight future directions for designing CRISPR/Cas9 delivery systems that might prove useful for cancer therapeutics. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  18. Stacking up CRISPR against RNAi for therapeutic gene inhibition.

    PubMed

    Haussecker, Dirk

    2016-09-01

    Both RNA interference (RNAi) and clustered regularly-interspaced short palindromic repeats (CRISPR) technologies allow for the sequence-specific inhibition of gene function and therefore have the potential to be used as therapeutic modalities. By judging the current public and scientific journal interest, it would seem that CRISPR, by enabling clean, durable knockouts, will dominate therapeutic gene inhibition, also at the expense of RNAi. This review aims to look behind prevailing sentiments and to more clearly define the likely scope of the therapeutic applications of the more recently developed CRISPR technology and its relative strengths and weaknesses with regards to RNAi. It is found that largely because of their broadly overlapping delivery constraints, while CRISPR presents formidable competition for DNA-directed RNAi strategies, its impact on RNAi therapeutics triggered by synthetic oligonucleotides will likely be more moderate. Instead, RNAi and genome editing, and in particular CRISPR, are poised to jointly promote a further shift toward sequence-targeted precision medicines. © 2016 Federation of European Biochemical Societies.

  19. Nuclear reactor spacer grid and ductless core component

    DOEpatents

    Christiansen, David W.; Karnesky, Richard A.

    1989-01-01

    The invention relates to a nuclear reactor spacer grid member for use in a liquid cooled nuclear reactor and to a ductless core component employing a plurality of these spacer grid members. The spacer grid member is of the egg-shell type and is constructed so that the walls of the cell members of the grid member are formed of a single thickness of metal to avoid tolerance problems. Within each cell member is a hydraulic spring which laterally constrains the nuclear material bearing rod which passes through each cell member against a hardstop in response to coolant flow through the cell member. This hydraulic spring is also suitable for use in a water cooled nuclear reactor. A core component constructed of, among other components, a plurality of these spacer grid members, avoids the use of a full length duct by providing spacer sleeves about the sodium tubes passing through the spacer grid members at locations between the grid members, thereby maintaining a predetermined space between adjacent grid members.

  20. Analysis of microsatellite instability in CRISPR/Cas9 editing mice.

    PubMed

    Huo, Xueyun; Du, Yating; Lu, Jing; Guo, Meng; Li, Zhenkun; Zhang, Shuangyue; Li, Xiaohong; Chen, Zhenwen; Du, Xiaoyan

    2017-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR- associated (Cas) protein 9 system is a novel and powerful tool which is widely used for genome editing. CRISPR/Cas9 is RNA-guided and can lead to desired genomic modifications. However, whether the CRISPR/Cas9-mediated genome editing causes genomic alterations and genomic instability, such as microsatellite instability (MSI), is still unknown. Here we detected MSI in 21 CRISPR/Cas9 mouse strains using a panel of 42 microsatellite loci which were selected from our previous studies. Surprisingly, MSI occurrence was common in CRISPR/Cas9 modified genome, and most of the strains (19/21, 90.5%) examined showed MSI. Of 42 loci examined, 8 loci (8/42, 19.05%) exhibited MSI in the Cas9 editing mice. The Ttll9 (4/42, 9.5%) were the most unstable strains, and D10Mit3 and D10Mit198 (9/21, 42.9%) were considered to be the most "hot" loci in the Cas9 strains we tested. Through analyzing the mutation of microsatellite loci, we provide new insights into the genomic alterations of CRISPR/Cas9 models and it will help us for a better understanding of this powerful technology. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Efficient CRISPR/Cas9-based gene knockout in watermelon.

    PubMed

    Tian, Shouwei; Jiang, Linjian; Gao, Qiang; Zhang, Jie; Zong, Mei; Zhang, Haiying; Ren, Yi; Guo, Shaogui; Gong, Guoyi; Liu, Fan; Xu, Yong

    2017-03-01

    CRISPR/Cas9 system can precisely edit genomic sequence and effectively create knockout mutations in T0 generation watermelon plants. Genome editing offers great advantage to reveal gene function and generate agronomically important mutations to crops. Recently, RNA-guided genome editing system using the type II clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (Cas9) has been applied to several plant species, achieving successful targeted mutagenesis. Here, we report the genome of watermelon, an important fruit crop, can also be precisely edited by CRISPR/Cas9 system. ClPDS, phytoene desaturase in watermelon, was selected as the target gene because its mutant bears evident albino phenotype. CRISPR/Cas9 system performed genome editing, such as insertions or deletions at the expected position, in transfected watermelon protoplast cells. More importantly, all transgenic watermelon plants harbored ClPDS mutations and showed clear or mosaic albino phenotype, indicating that CRISPR/Cas9 system has technically 100% of genome editing efficiency in transgenic watermelon lines. Furthermore, there were very likely no off-target mutations, indicated by examining regions that were highly homologous to sgRNA sequences. Our results show that CRISPR/Cas9 system is a powerful tool to effectively create knockout mutations in watermelon.

  2. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy; Palmer, Kelli

    2018-05-01

    Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis , since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack c lustered r egularly i nterspaced s hort p alindromic r epeats (CRISPR) and C RISPR- as sociated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this "CRISPR tolerance." We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. IMPORTANCE CRISPR-Cas has provided a powerful toolkit to manipulate bacteria, resulting in improved genetic manipulations and novel antimicrobials. These powerful applications rely on the premise that CRISPR

  3. CRISPR/Cas system for yeast genome engineering: advances and applications

    PubMed Central

    Stovicek, Vratislav; Holkenbrink, Carina

    2017-01-01

    Abstract The methods based on the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) system have quickly gained popularity for genome editing and transcriptional regulation in many organisms, including yeast. This review aims to provide a comprehensive overview of CRISPR application for different yeast species: from basic principles and genetic design to applications. PMID:28505256

  4. CRISPR-based screening of genomic island excision events in bacteria.

    PubMed

    Selle, Kurt; Klaenhammer, Todd R; Barrangou, Rodolphe

    2015-06-30

    Genomic analysis of Streptococcus thermophilus revealed that mobile genetic elements (MGEs) likely contributed to gene acquisition and loss during evolutionary adaptation to milk. Clustered regularly interspaced short palindromic repeats-CRISPR-associated genes (CRISPR-Cas), the adaptive immune system in bacteria, limits genetic diversity by targeting MGEs including bacteriophages, transposons, and plasmids. CRISPR-Cas systems are widespread in streptococci, suggesting that the interplay between CRISPR-Cas systems and MGEs is one of the driving forces governing genome homeostasis in this genus. To investigate the genetic outcomes resulting from CRISPR-Cas targeting of integrated MGEs, in silico prediction revealed four genomic islands without essential genes in lengths from 8 to 102 kbp, totaling 7% of the genome. In this study, the endogenous CRISPR3 type II system was programmed to target the four islands independently through plasmid-based expression of engineered CRISPR arrays. Targeting lacZ within the largest 102-kbp genomic island was lethal to wild-type cells and resulted in a reduction of up to 2.5-log in the surviving population. Genotyping of Lac(-) survivors revealed variable deletion events between the flanking insertion-sequence elements, all resulting in elimination of the Lac-encoding island. Chimeric insertion sequence footprints were observed at the deletion junctions after targeting all of the four genomic islands, suggesting a common mechanism of deletion via recombination between flanking insertion sequences. These results established that self-targeting CRISPR-Cas systems may direct significant evolution of bacterial genomes on a population level, influencing genome homeostasis and remodeling.

  5. Recent Advances in Genome Editing Using CRISPR/Cas9.

    PubMed

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding.

  6. Recent Advances in Genome Editing Using CRISPR/Cas9

    PubMed Central

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding. PMID:27252719

  7. Potential pitfalls of CRISPR/Cas9-mediated genome editing.

    PubMed

    Peng, Rongxue; Lin, Guigao; Li, Jinming

    2016-04-01

    Recently, a novel technique named the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas)9 system has been rapidly developed. This genome editing tool has improved our ability tremendously with respect to exploring the pathogenesis of diseases and correcting disease mutations, as well as phenotypes. With a short guide RNA, Cas9 can be precisely directed to target sites, and functions as an endonuclease to efficiently produce breaks in DNA double strands. Over the past 30 years, CRISPR has evolved from the 'curious sequences of unknown biological function' into a promising genome editing tool. As a result of the incessant development in the CRISPR/Cas9 system, Cas9 co-expressed with custom guide RNAs has been successfully used in a variety of cells and organisms. This genome editing technology can also be applied to synthetic biology, functional genomic screening, transcriptional modulation and gene therapy. However, although CRISPR/Cas9 has a broad range of action in science, there are several aspects that affect its efficiency and specificity, including Cas9 activity, target site selection and short guide RNA design, delivery methods, off-target effects and the incidence of homology-directed repair. In the present review, we highlight the factors that affect the utilization of CRISPR/Cas9, as well as possible strategies for handling any problems. Addressing these issues will allow us to take better advantage of this technique. In addition, we also review the history and rapid development of the CRISPR/Cas system from the time of its initial discovery in 2012. © 2015 FEBS.

  8. CRISPR/Cas9 Immune System as a Tool for Genome Engineering.

    PubMed

    Hryhorowicz, Magdalena; Lipiński, Daniel; Zeyland, Joanna; Słomski, Ryszard

    2017-06-01

    CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated) adaptive immune systems constitute a bacterial defence against invading nucleic acids derived from bacteriophages or plasmids. This prokaryotic system was adapted in molecular biology and became one of the most powerful and versatile platforms for genome engineering. CRISPR/Cas9 is a simple and rapid tool which enables the efficient modification of endogenous genes in various species and cell types. Moreover, a modified version of the CRISPR/Cas9 system with transcriptional repressors or activators allows robust transcription repression or activation of target genes. The simplicity of CRISPR/Cas9 has resulted in the widespread use of this technology in many fields, including basic research, biotechnology and biomedicine.

  9. CRISPR-Cas9: a new and promising player in gene therapy.

    PubMed

    Xiao-Jie, Lu; Hui-Ying, Xue; Zun-Ping, Ke; Jin-Lian, Chen; Li-Juan, Ji

    2015-05-01

    First introduced into mammalian organisms in 2013, the RNA-guided genome editing tool CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats/CRISPR-associated nuclease 9) offers several advantages over conventional ones, such as simple-to-design, easy-to-use and multiplexing (capable of editing multiple genes simultaneously). Consequently, it has become a cost-effective and convenient tool for various genome editing purposes including gene therapy studies. In cell lines or animal models, CRISPR-Cas9 can be applied for therapeutic purposes in several ways. It can correct the causal mutations in monogenic disorders and thus rescue the disease phenotypes, which currently represents the most translatable field in CRISPR-Cas9-mediated gene therapy. CRISPR-Cas9 can also engineer pathogen genome such as HIV for therapeutic purposes, or induce protective or therapeutic mutations in host tissues. Moreover, CRISPR-Cas9 has shown potentials in cancer gene therapy such as deactivating oncogenic virus and inducing oncosuppressor expressions. Herein, we review the research on CRISPR-mediated gene therapy, discuss its advantages, limitations and possible solutions, and propose directions for future research, with an emphasis on the opportunities and challenges of CRISPR-Cas9 in cancer gene therapy. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://group.bmj.com/group/rights-licensing/permissions.

  10. CRISPR/Cas9: the Jedi against the dark empire of diseases.

    PubMed

    Khan, Sehrish; Mahmood, Muhammad Shahid; Rahman, Sajjad Ur; Zafar, Hassan; Habibullah, Sultan; Khan, Zulqarnain; Ahmad, Aftab

    2018-03-28

    Advances in Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR associated system (CRISPR/Cas9) has dramatically reshaped our ability to edit genomes. The scientific community is using CRISPR/Cas9 for various biotechnological and medical purposes. One of its most important uses is developing potential therapeutic strategies against diseases. CRISPR/Cas9 based approaches have been increasingly applied to the treatment of human diseases like cancer, genetic, immunological and neurological disorders and viral diseases. These strategies using CRISPR/Cas9 are not only therapy oriented but can also be used for disease modeling as well, which in turn can lead to the improved understanding of mechanisms of various infectious and genetic diseases. In addition, CRISPR/Cas9 system can also be used as programmable antibiotics to kill the bacteria sequence specifically and therefore can bypass multidrug resistance. Furthermore, CRISPR/Cas9 based gene drive may also hold the potential to limit the spread of vector borne diseases. This bacterial and archaeal adaptive immune system might be a therapeutic answer to previous incurable diseases, of course rigorous testing is required to corroborate these claims. In this review, we provide an insight about the recent developments using CRISPR/Cas9 against various diseases with respect to disease modeling and treatment, and what future perspectives should be noted while using this technology.

  11. CRISPR Editing Technology in Biological and Biomedical Investigation.

    PubMed

    White, Martyn K; Kaminski, Rafal; Young, Won-Bin; Roehm, Pamela C; Khalili, Kamel

    2017-11-01

    The CRISPR or clustered regularly interspaced short palindromic repeats system is currently the most advanced approach to genome editing and is notable for providing an unprecedented degree of specificity, effectiveness, and versatility in genetic manipulation. CRISPR evolved as a prokaryotic immune system to provide an acquired immunity and resistance to foreign genetic elements such as bacteriophages. It has recently been developed into a tool for the specific targeting of nucleotide sequences within complex eukaryotic genomes for the purpose of genetic manipulation. The power of CRISPR lies in its simplicity and ease of use, its flexibility to be targeted to any given nucleotide sequence by the choice of an easily synthesized guide RNA, and its ready ability to continue to undergo technical improvements. Applications for CRISPR are numerous including creation of novel transgenic cell animals for research, high-throughput screening of gene function, potential clinical gene therapy, and nongene-editing approaches such as modulating gene activity and fluorescent tagging. In this prospect article, we will describe the salient features of the CRISPR system with an emphasis on important drawbacks and considerations with respect to eliminating off-target events and obtaining efficient CRISPR delivery. We will discuss recent technical developments to the system and we will illustrate some of the most recent applications with an emphasis on approaches to eliminate human viruses including HIV-1, JCV and HSV-1 and prospects for the future. J. Cell. Biochem. 118: 3586-3594, 2017. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  12. How to use an inhaler - with spacer

    MedlinePlus

    ... MDIs) usually have 3 parts: A mouthpiece A cap that goes over the mouthpiece A canister full ... Take the cap off the inhaler and spacer. Shake the inhaler hard. Attach the spacer to the inhaler. If you have ...

  13. CRISPR/Cas9 Platforms for Genome Editing in Plants: Developments and Applications.

    PubMed

    Ma, Xingliang; Zhu, Qinlong; Chen, Yuanling; Liu, Yao-Guang

    2016-07-06

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated protein9 (Cas9) genome editing system (CRISPR/Cas9) is adapted from the prokaryotic type II adaptive immunity system. The CRISPR/Cas9 tool surpasses other programmable nucleases, such as ZFNs and TALENs, for its simplicity and high efficiency. Various plant-specific CRISPR/Cas9 vector systems have been established for adaption of this technology to many plant species. In this review, we present an overview of current advances on applications of this technology in plants, emphasizing general considerations for establishment of CRISPR/Cas9 vector platforms, strategies for multiplex editing, methods for analyzing the induced mutations, factors affecting editing efficiency and specificity, and features of the induced mutations and applications of the CRISPR/Cas9 system in plants. In addition, we provide a perspective on the challenges of CRISPR/Cas9 technology and its significance for basic plant research and crop genetic improvement. Copyright © 2016 The Author. Published by Elsevier Inc. All rights reserved.

  14. The application of CRISPR-Cas9 genome editing tool in cancer immunotherapy.

    PubMed

    Wu, Hong-Yan; Cao, Chun-Yu

    2018-03-22

    Clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) system was originally discovered in prokaryotes functioned as a part of the adaptive immune system. Because of its high efficiency and easy operability, CRISPR-Cas9 system has been developed to be a powerful and versatile gene editing tool shortly after its discovery. Given that multiple genetic alterations are the main factors that drive genesis and development of tumor, CRISPR-Cas9 system has been applied to correct cancer-causing gene mutations and deletions and to engineer immune cells, such as chimeric antigen receptor T (CAR T) cells, for cancer immunotherapeutic applications. Recently, CRISPR-Cas9-based CAR T-cell preparation has been an important breakthrough in antitumor therapy. Here, we summarize the mechanism, delivery and the application of CRISPR-Cas9 in gene editing, and discuss the challenges and future directions of CRISPR-Cas9 in cancer immunotherapy.

  15. CRISPR-Cas9: a promising genetic engineering approach in cancer research.

    PubMed

    Ratan, Zubair Ahmed; Son, Young-Jin; Haidere, Mohammad Faisal; Uddin, Bhuiyan Mohammad Mahtab; Yusuf, Md Abdullah; Zaman, Sojib Bin; Kim, Jong-Hoon; Banu, Laila Anjuman; Cho, Jae Youl

    2018-01-01

    Bacteria and archaea possess adaptive immunity against foreign genetic materials through clustered regularly interspaced short palindromic repeat (CRISPR) systems. The discovery of this intriguing bacterial system heralded a revolutionary change in the field of medical science. The CRISPR and CRISPR-associated protein 9 (Cas9) based molecular mechanism has been applied to genome editing. This CRISPR-Cas9 technique is now able to mediate precise genetic corrections or disruptions in in vitro and in vivo environments. The accuracy and versatility of CRISPR-Cas have been capitalized upon in biological and medical research and bring new hope to cancer research. Cancer involves complex alterations and multiple mutations, translocations and chromosomal losses and gains. The ability to identify and correct such mutations is an important goal in cancer treatment. In the context of this complex cancer genomic landscape, there is a need for a simple and flexible genetic tool that can easily identify functional cancer driver genes within a comparatively short time. The CRISPR-Cas system shows promising potential for modeling, repairing and correcting genetic events in different types of cancer. This article reviews the concept of CRISPR-Cas, its application and related advantages in oncology.

  16. CRISPR/Cas9 in insects: Applications, best practices and biosafety concerns.

    PubMed

    Taning, Clauvis Nji Tizi; Van Eynde, Benigna; Yu, Na; Ma, Sanyuan; Smagghe, Guy

    2017-04-01

    Discovered as a bacterial adaptive immune system, CRISPR/Cas9 (clustered, regularly interspaced, short palindromic repeat/CRISPR associated) is being developed as an attractive tool in genome editing. Due to its high specificity and applicability, CRISPR/Cas9-mediated gene editing has been employed in a multitude of organisms and cells, including insects, for not only fundamental research such as gene function studies, but also applied research such as modification of organisms of economic importance. Despite the rapid increase in the use of CRISPR in insect genome editing, results still differ from each study, principally due to existing differences in experimental parameters, such as the Cas9 and guide RNA form, the delivery method, the target gene and off-target effects. Here, we review current reports on the successes of CRISPR/Cas9 applications in diverse insects and insect cells. We furthermore summarize several best practices to give a useful checklist of CRISPR/Cas9 experimental setup in insects for beginners. Lastly, we discuss the biosafety concerns related to the release of CRISPR/Cas9-edited insects into the environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  17. Comparison of internal transcribed spacers and intergenic spacer regions of five common Iranian sheep bursate nematodes.

    PubMed

    Nabavi, Reza; Conneely, Brendan; McCarthy, Elaine; Good, Barbara; Shayan, Parviz; DE Waal, Theo

    2014-09-01

    Accurate identification of sheep nematodes is a critical point in epidemiological studies and monitoring of drug resistance in flocks. However, due to a close morphological similarity between the eggs and larval stages of many of these nematodes, such identification is not a trivial task. There are a number of studies showing that molecular targets in ribosomal DNA (Internal transcribed spacer 1, 2 and Intergenic spacer) are suitable for accurate identification of sheep bursate nematodes. The objective of present study was to compare the ITS1, ITS2 and IGS regions of Iranian common bursate nematodes in order to choose best target for specific identification methods. The first and second internal transcribed spacers (ITS1and ITS2) and intergenic spacer (IGS) of the ribosomal DNA (rDNA) of 5 common Iranian bursate nematodes of sheep were sequenced. The sequences of some non-Iranian isolates were used for comparison in order to evaluate the variation in sequence homology between geographically different nematode populations. Comparison of the ITS1 and ITS2 sequences of Iranian nematodes showed greatest similarity among Teladorsagia circumcincta and Marshallagia marshalli of 94% and 88%, respectively. While Trichostrongylus colubriformis and M. marshalli showed the highest homology (99%) in the IGS sequences. Comparison of the spacer sequences of Iranian with non-Iranian isolates showed significantly higher variation in Haemonchus contortus compared to the other species. Both the ITS1 and ITS2 sequences are convenient targets to have species-specific identification of Iranian bursate nematodes. On the other hand the IGS region may be a less suitable molecular target.

  18. CRISPR/Cas9 therapeutics: a cure for cancer and other genetic diseases.

    PubMed

    Khan, Faheem Ahmed; Pandupuspitasari, Nuruliarizki Shinta; Chun-Jie, Huang; Ao, Zhou; Jamal, Muhammad; Zohaib, Ali; Khan, Farhan Ahmed; Hakim, Muthia Raihana; ShuJun, Zhang

    2016-08-09

    Cancer is caused by a series of alterations in genome and epigenome mostly resulting in activation of oncogenes or inactivation of cancer suppressor genes. Genetic engineering has become pivotal in the treatment of cancer and other genetic diseases, especially the formerly-niche use of clustered regularly interspaced short palindromic repeats (CRISPR) associated with Cas9. In defining its superior use, we have followed the recent advances that have been made in producing CRISPR/Cas9 as a therapy of choice. We also provide important genetic mutations where CRISPRs can be repurposed to create adaptive immunity to fight carcinomas and edit genetic mutations causing it. Meanwhile, challenges to CRISPR technology are also discussed with emphasis on ability of pathogens to evolve against CRISPRs. We follow the recent developments on the function of CRISPRs with different carriers which can efficiently deliver it to target cells; furthermore, analogous technologies are also discussed along CRISPRs, including zinc-finger nuclease (ZFN) and transcription activator-like effector nucleases (TALENs). Moreover, progress in clinical applications of CRISPR therapeutics is reviewed; in effect, patients can have lower morbidity and/or mortality from the therapeutic method with least possible side-effects.

  19. CRISPR/Cas9 therapeutics: a cure for cancer and other genetic diseases

    PubMed Central

    Khan, Faheem Ahmed; Pandupuspitasari, Nuruliarizki Shinta; Chun-Jie, Huang; Ao, Zhou; Jamal, Muhammad; Zohaib, Ali; Khan, Farhan Ahmed; Hakim, Muthia Raihana; ShuJun, Zhang

    2016-01-01

    Cancer is caused by a series of alterations in genome and epigenome mostly resulting in activation of oncogenes or inactivation of cancer suppressor genes. Genetic engineering has become pivotal in the treatment of cancer and other genetic diseases, especially the formerly-niche use of clustered regularly interspaced short palindromic repeats (CRISPR) associated with Cas9. In defining its superior use, we have followed the recent advances that have been made in producing CRISPR/Cas9 as a therapy of choice. We also provide important genetic mutations where CRISPRs can be repurposed to create adaptive immunity to fight carcinomas and edit genetic mutations causing it. Meanwhile, challenges to CRISPR technology are also discussed with emphasis on ability of pathogens to evolve against CRISPRs. We follow the recent developments on the function of CRISPRs with different carriers which can efficiently deliver it to target cells; furthermore, analogous technologies are also discussed along CRISPRs, including zinc-finger nuclease (ZFN) and transcription activator-like effector nucleases (TALENs). Moreover, progress in clinical applications of CRISPR therapeutics is reviewed; in effect, patients can have lower morbidity and/or mortality from the therapeutic method with least possible side-effects. PMID:27250031

  20. CRISPR-Cas9: from Genome Editing to Cancer Research

    PubMed Central

    Chen, Si; Sun, Heng; Miao, Kai; Deng, Chu-Xia

    2016-01-01

    Cancer development is a multistep process triggered by innate and acquired mutations, which cause the functional abnormality and determine the initiation and progression of tumorigenesis. Gene editing is a widely used engineering tool for generating mutations that enhance tumorigenesis. The recent developed clustered regularly interspaced short palindromic repeats-CRISPR-associated 9 (CRISPR-Cas9) system renews the genome editing approach into a more convenient and efficient way. By rapidly introducing genetic modifications in cell lines, organs and animals, CRISPR-Cas9 system extends the gene editing into whole genome screening, both in loss-of-function and gain-of-function manners. Meanwhile, the system accelerates the establishment of animal cancer models, promoting in vivo studies for cancer research. Furthermore, CRISPR-Cas9 system is modified into diverse innovative tools for observing the dynamic bioprocesses in cancer studies, such as image tracing for targeted DNA, regulation of transcription activation or repression. Here, we view recent technical advances in the application of CRISPR-Cas9 system in cancer genetics, large-scale cancer driver gene hunting, animal cancer modeling and functional studies. PMID:27994508

  1. CRISPR Mediated Genome Engineering and its Application in Industry.

    PubMed

    Kaboli, Saeed; Babazada, Hasan

    2018-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) method has been dramatically changing the field of genome engineering. It is a rapid, highly efficient and versatile tool for precise modification of genome that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This novel RNA-guided genome-editing technique has become a revolutionary tool in biomedical science and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing tool, summarize the recent advances in CRISPR/Cas9 technology to engineer the genomes of a wide variety of organisms, and discuss their applications to treatment of fungal and viral disease. We also discuss advantageous of CRISPR/Cas9 technology to drug design, creation of animal model, and to food, agricultural and energy sciences. Adoption of the CRISPR/Cas9 technology in biomedical and biotechnological researches would create innovative applications of it not only for breeding of strains exhibiting desired traits for specific industrial and medical applications, but also for investigation of genome function.

  2. Primary processing of CRISPR RNA by the endonuclease Cas6 in Staphylococcus epidermidis.

    PubMed

    Wakefield, Noelle; Rajan, Rakhi; Sontheimer, Erik J

    2015-10-07

    In many bacteria and archaea, an adaptive immune system (CRISPR-Cas) provides immunity against foreign genetic elements. This system uses CRISPR RNAs (crRNAs) derived from the CRISPR array, along with CRISPR-associated (Cas) proteins, to target foreign nucleic acids. In most CRISPR systems, endonucleolytic processing of crRNA precursors (pre-crRNAs) is essential for the pathway. Here we study the Cas6 endonuclease responsible for crRNA processing in the Type III-A CRISPR-Cas system from Staphylococcus epidermidis RP62a, a model for Type III-A CRISPR-Cas systems, and define substrate requirements for SeCas6 activity. We find that SeCas6 is necessary and sufficient for full-length crRNA biogenesis in vitro, and that it relies on both sequence and stem-loop structure in the 3' half of the CRISPR repeat for recognition and processing. Copyright © 2015 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  3. Crystal orientation dependence of band matching in all-B2-trilayer current-perpendicular-to-plane giant magnetoresistance pseudo spin-valves using Co{sub 2}Fe(Ge{sub 0.5}Ga{sub 0.5}) Heusler alloy and NiAl spacer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jiamin; Hono, K., E-mail: kazuhiro.hono@nims.go.jp; Graduate School of Pure and Applied Sciences, University of Tsukuba, 1-2-1, Sengen, Tsukuba 305-0047

    2015-05-07

    We have experimentally investigated the crystal orientation dependence of band matching in current-perpendicular-to-plane giant magnetoresistance (CPP-GMR) pseudo-spin-valves using Co{sub 2}Fe(Ge{sub 0.5}Ga{sub 0.5}) (CFGG) Heusler alloy ferromagnetic layer and NiAl spacer. The high quality epitaxial CFGG/NiAl/CFGG all-B2-trilayers structure devices were fabricated on both MgO(001) and sapphire (112{sup ¯}0) single crystal substrates to create (001) and (110) crystal orientations. Same magneto-transport properties were observed from these two differently orientated devices indicating that there is no or little orientation dependence of band matching on MR output. We also found that all-B2-trilayer structure was free of lattice matching influence depending on the crystal orientation,more » which made it a good candidate for CPP-GMR device.« less

  4. Nanoparticle-based brachytherapy spacers for delivery of localized combined chemoradiation therapy.

    PubMed

    Kumar, Rajiv; Belz, Jodi; Markovic, Stacey; Jadhav, Tej; Fowle, William; Niedre, Mark; Cormack, Robert; Makrigiorgos, Mike G; Sridhar, Srinivas

    2015-02-01

    In radiation therapy (RT), brachytherapy-inert source spacers are commonly used in clinical practice to achieve high spatial accuracy. These implanted devices are critical technical components of precise radiation delivery but provide no direct therapeutic benefits. Here we have fabricated implantable nanoplatforms or chemoradiation therapy (INCeRT) spacers loaded with silica nanoparticles (SNPs) conjugated containing a drug, to act as a slow-release drug depot for simultaneous localized chemoradiation therapy. The spacers are made of poly(lactic-co-glycolic) acid (PLGA) as matrix and are physically identical in size to the commercially available brachytherapy spacers (5 mm × 0.8 mm). The silica nanoparticles, 250 nm in diameter, were conjugated with near infrared fluorophore Cy7.5 as a model drug, and the INCeRT spacers were characterized in terms of size, morphology, and composition using different instrumentation techniques. The spacers were further doped with an anticancer drug, docetaxel. We evaluated the in vivo stability, biocompatibility, and biodegradation of these spacers in live mouse tissues. The electron microscopy studies showed that nanoparticles were distributed throughout the spacers. These INCeRT spacers remained stable and can be tracked by the use of optical fluorescence. In vivo optical imaging studies showed a slow diffusion of nanoparticles from the spacer to the adjacent tissue in contrast to the control Cy7.5-PLGA spacer, which showed rapid disintegration in a few days with a burst release of Cy7.5. The docetaxel spacers showed suppression of tumor growth in contrast to control mice over 16 days. The imaging with the Cy7.5 spacer and therapeutic efficacy with docetaxel spacers supports the hypothesis that INCeRT spacers can be used for delivering the drugs in a slow, sustained manner in conjunction with brachytherapy, in contrast to the rapid clearance of the drugs when administered systemically. The results demonstrate that these spacers

  5. Nanoparticle-Based Brachytherapy Spacers for Delivery of Localized Combined Chemoradiation Therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Rajiv, E-mail: r.kumar@neu.edu; Department of Radiation Oncology, Brigham and Women's Hospital, Dana-Farber Cancer Institute and Harvard Medical School, Boston, Massachusetts; Belz, Jodi

    Purpose: In radiation therapy (RT), brachytherapy-inert source spacers are commonly used in clinical practice to achieve high spatial accuracy. These implanted devices are critical technical components of precise radiation delivery but provide no direct therapeutic benefits. Methods and Materials: Here we have fabricated implantable nanoplatforms or chemoradiation therapy (INCeRT) spacers loaded with silica nanoparticles (SNPs) conjugated containing a drug, to act as a slow-release drug depot for simultaneous localized chemoradiation therapy. The spacers are made of poly(lactic-co-glycolic) acid (PLGA) as matrix and are physically identical in size to the commercially available brachytherapy spacers (5 mm × 0.8 mm). The silica nanoparticles, 250 nm in diameter,more » were conjugated with near infrared fluorophore Cy7.5 as a model drug, and the INCeRT spacers were characterized in terms of size, morphology, and composition using different instrumentation techniques. The spacers were further doped with an anticancer drug, docetaxel. We evaluated the in vivo stability, biocompatibility, and biodegradation of these spacers in live mouse tissues. Results: The electron microscopy studies showed that nanoparticles were distributed throughout the spacers. These INCeRT spacers remained stable and can be tracked by the use of optical fluorescence. In vivo optical imaging studies showed a slow diffusion of nanoparticles from the spacer to the adjacent tissue in contrast to the control Cy7.5-PLGA spacer, which showed rapid disintegration in a few days with a burst release of Cy7.5. The docetaxel spacers showed suppression of tumor growth in contrast to control mice over 16 days. Conclusions: The imaging with the Cy7.5 spacer and therapeutic efficacy with docetaxel spacers supports the hypothesis that INCeRT spacers can be used for delivering the drugs in a slow, sustained manner in conjunction with brachytherapy, in contrast to the rapid clearance of the drugs when

  6. Sequence determinants of improved CRISPR sgRNA design.

    PubMed

    Xu, Han; Xiao, Tengfei; Chen, Chen-Hao; Li, Wei; Meyer, Clifford A; Wu, Qiu; Wu, Di; Cong, Le; Zhang, Feng; Liu, Jun S; Brown, Myles; Liu, X Shirley

    2015-08-01

    The CRISPR/Cas9 system has revolutionized mammalian somatic cell genetics. Genome-wide functional screens using CRISPR/Cas9-mediated knockout or dCas9 fusion-mediated inhibition/activation (CRISPRi/a) are powerful techniques for discovering phenotype-associated gene function. We systematically assessed the DNA sequence features that contribute to single guide RNA (sgRNA) efficiency in CRISPR-based screens. Leveraging the information from multiple designs, we derived a new sequence model for predicting sgRNA efficiency in CRISPR/Cas9 knockout experiments. Our model confirmed known features and suggested new features including a preference for cytosine at the cleavage site. The model was experimentally validated for sgRNA-mediated mutation rate and protein knockout efficiency. Tested on independent data sets, the model achieved significant results in both positive and negative selection conditions and outperformed existing models. We also found that the sequence preference for CRISPRi/a is substantially different from that for CRISPR/Cas9 knockout and propose a new model for predicting sgRNA efficiency in CRISPRi/a experiments. These results facilitate the genome-wide design of improved sgRNA for both knockout and CRISPRi/a studies. © 2015 Xu et al.; Published by Cold Spring Harbor Laboratory Press.

  7. The Revolution Continues: Newly Discovered Systems Expand the CRISPR-Cas Toolkit.

    PubMed

    Murugan, Karthik; Babu, Kesavan; Sundaresan, Ramya; Rajan, Rakhi; Sashital, Dipali G

    2017-10-05

    CRISPR-Cas systems defend prokaryotes against bacteriophages and mobile genetic elements and serve as the basis for revolutionary tools for genetic engineering. Class 2 CRISPR-Cas systems use single Cas endonucleases paired with guide RNAs to cleave complementary nucleic acid targets, enabling programmable sequence-specific targeting with minimal machinery. Recent discoveries of previously unidentified CRISPR-Cas systems have uncovered a deep reservoir of potential biotechnological tools beyond the well-characterized Type II Cas9 systems. Here we review the current mechanistic understanding of newly discovered single-protein Cas endonucleases. Comparison of these Cas effectors reveals substantial mechanistic diversity, underscoring the phylogenetic divergence of related CRISPR-Cas systems. This diversity has enabled further expansion of CRISPR-Cas biotechnological toolkits, with wide-ranging applications from genome editing to diagnostic tools based on various Cas endonuclease activities. These advances highlight the exciting prospects for future tools based on the continually expanding set of CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  8. Production of genome-edited pluripotent stem cells and mice by CRISPR/Cas.

    PubMed

    Horii, Takuro; Hatada, Izuho

    2016-01-01

    Clustered regularly at interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) nucleases, so-called CRISPR/Cas, was recently developed as an epoch-making genome engineering technology. This system only requires Cas9 nuclease and single-guide RNA complementary to a target locus. CRISPR/Cas enables the generation of knockout cells and animals in a single step. This system can also be used to generate multiple mutations and knockin in a single step, which is not possible using other methods. In this review, we provide an overview of genome editing by CRISPR/Cas in pluripotent stem cells and mice.

  9. Antiviral Goes Viral: Harnessing CRISPR/Cas9 to Combat Viruses in Humans.

    PubMed

    Soppe, Jasper Adriaan; Lebbink, Robert Jan

    2017-10-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) systems are RNA-guided sequence-specific prokaryotic antiviral immune systems. In prokaryotes, small RNA molecules guide Cas effector endonucleases to invading foreign genetic elements in a sequence-dependent manner, resulting in DNA cleavage by the endonuclease upon target binding. A rewired CRISPR/Cas9 system can be used for targeted and precise genome editing in eukaryotic cells. CRISPR/Cas has also been harnessed to target human pathogenic viruses as a potential new antiviral strategy. Here, we review recent CRISPR/Cas9-based approaches to combat specific human viruses in humans and discuss challenges that need to be overcome before CRISPR/Cas9 may be used in the clinic as an antiviral strategy. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Therapeutic applications of CRISPR RNA-guided genome editing.

    PubMed

    Koo, Taeyoung; Kim, Jin-Soo

    2017-01-01

    The rapid development of programmable nuclease-based genome editing technologies has enabled targeted gene disruption and correction both in vitro and in vivo This revolution opens up the possibility of precise genome editing at target genomic sites to modulate gene function in animals and plants. Among several programmable nucleases, the type II clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated nuclease 9 (Cas9) system has progressed remarkably in recent years, leading to its widespread use in research, medicine and biotechnology. In particular, CRISPR-Cas9 shows highly efficient gene editing activity for therapeutic purposes in systems ranging from patient stem cells to animal models. However, the development of therapeutic approaches and delivery methods remains a great challenge for biomedical applications. Herein, we review therapeutic applications that use the CRISPR-Cas9 system and discuss the possibilities and challenges ahead. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  11. CRISPR-Cas9 for in vivo Gene Therapy: Promise and Hurdles.

    PubMed

    Dai, Wei-Jing; Zhu, Li-Yao; Yan, Zhong-Yi; Xu, Yong; Wang, Qi-Long; Lu, Xiao-Jie

    2016-01-01

    Owing to its easy-to-use and multiplexing nature, the genome editing tool CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats (CRISPR) associated nuclease 9) is revolutionizing many areas of medical research and one of the most amazing areas is its gene therapy potentials. Previous explorations into the therapeutic potentials of CRISPR-Cas9 were mainly conducted in vitro or in animal germlines, the translatability of which, however, is either limited (to tissues with adult stem cells amenable to culture and manipulation) or currently impermissible (due to ethic concerns). Recently, important progresses have been made on this regard. Several studies have demonstrated the ability of CRISPR-Cas9 for in vivo gene therapy in adult rodent models of human genetic diseases delivered by methods that are potentially translatable to human use. Although these recent advances represent a significant step forward to the eventual application of CRISPR-Cas9 to the clinic, there are still many hurdles to overcome, such as the off-target effects of CRISPR-Cas9, efficacy of homology-directed repair, fitness of edited cells, immunogenicity of therapeutic CRISPR-Cas9 components, as well as efficiency, specificity, and translatability of in vivo delivery methods. In this article, we introduce the mechanisms and merits of CRISPR-Cas9 in genome editing, briefly retrospect the applications of CRISPR-Cas9 in gene therapy explorations and highlight recent advances, later we discuss in detail the challenges lying ahead in the way of its translatability, propose possible solutions, and future research directions. Copyright © 2016 Official journal of the American Society of Gene & Cell Therapy. Published by Elsevier Inc. All rights reserved.

  12. Applications of CRISPR/Cas9 in retinal degenerative diseases

    PubMed Central

    Peng, Ying-Qian; Tang, Luo-Sheng; Yoshida, Shigeo; Zhou, Ye-Di

    2017-01-01

    Gene therapy is a potentially effective treatment for retinal degenerative diseases. Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) system has been developed as a new genome-editing tool in ophthalmic studies. Recent advances in researches showed that CRISPR/Cas9 has been applied in generating animal models as well as gene therapy in vivo of retinitis pigmentosa (RP) and leber congenital amaurosis (LCA). It has also been shown as a potential attempt for clinic by combining with other technologies such as adeno-associated virus (AAV) and induced pluripotent stem cells (iPSCs). In this review, we highlight the main points of further prospect of using CRISPR/Cas9 in targeting retinal degeneration. We also emphasize the potential applications of this technique in treating retinal degenerative diseases. PMID:28503441

  13. Development of Light-Activated CRISPR Using Guide RNAs with Photocleavable Protectors.

    PubMed

    Jain, Piyush K; Ramanan, Vyas; Schepers, Arnout G; Dalvie, Nisha S; Panda, Apekshya; Fleming, Heather E; Bhatia, Sangeeta N

    2016-09-26

    The ability to remotely trigger CRISPR/Cas9 activity would enable new strategies to study cellular events with greater precision and complexity. In this work, we have developed a method to photocage the activity of the guide RNA called "CRISPR-plus" (CRISPR-precise light-mediated unveiling of sgRNAs). The photoactivation capability of our CRISPR-plus method is compatible with the simultaneous targeting of multiple DNA sequences and supports numerous modifications that can enable guide RNA labeling for use in imaging and mechanistic investigations. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. CRISPR/Cas9-Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development.

    PubMed

    Okoli, Arinze; Okeke, Malachy I; Tryland, Morten; Moens, Ugo

    2018-01-22

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them.

  15. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods

    PubMed Central

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods. PMID:28932198

  16. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods.

    PubMed

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods.

  17. CRISPR-based technologies for the manipulation of eukaryotic genomes

    PubMed Central

    Komor, Alexis C.; Badran, Ahmed H.; Liu, David R.

    2016-01-01

    The CRISPR-Cas9 RNA-guided DNA endonuclease has contributed to an explosion of advances in the life sciences that have grown from the ability to edit genomes within living cells. In this review we summarize CRISPR-based technologies that enable mammalian genome editing and their various applications. We describe recent developments that extend the generality, DNA specificity, product selectivity, and fundamental capabilities of natural CRISPR systems, and some of the remarkable advancements in basic research, biotechnology, and therapeutics development that these developments have facilitated. PMID:27866654

  18. Function of the CRISPR-Cas System of the Human Pathogen Clostridium difficile

    PubMed Central

    Boudry, Pierre; Semenova, Ekaterina; Monot, Marc; Datsenko, Kirill A.; Lopatina, Anna; Sekulovic, Ognjen; Ospina-Bedoya, Maicol; Fortier, Louis-Charles; Severinov, Konstantin; Dupuy, Bruno

    2015-01-01

    ABSTRACT Clostridium difficile is the cause of most frequently occurring nosocomial diarrhea worldwide. As an enteropathogen, C. difficile must be exposed to multiple exogenous genetic elements in bacteriophage-rich gut communities. CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems allow bacteria to adapt to foreign genetic invaders. Our recent data revealed active expression and processing of CRISPR RNAs from multiple type I-B CRISPR arrays in C. difficile reference strain 630. Here, we demonstrate active expression of CRISPR arrays in strain R20291, an epidemic C. difficile strain. Through genome sequencing and host range analysis of several new C. difficile phages and plasmid conjugation experiments, we provide evidence of defensive function of the CRISPR-Cas system in both C. difficile strains. We further demonstrate that C. difficile Cas proteins are capable of interference in a heterologous host, Escherichia coli. These data set the stage for mechanistic and physiological analyses of CRISPR-Cas-mediated interactions of important global human pathogen with its genetic parasites. PMID:26330515

  19. Programming Native CRISPR Arrays for the Generation of Targeted Immunity.

    PubMed

    Hynes, Alexander P; Labrie, Simon J; Moineau, Sylvain

    2016-05-03

    The adaptive immune system of prokaryotes, called CRISPR-Cas (clustered regularly interspaced short palindromic repeats and CRISPR-associated genes), results in specific cleavage of invading nucleic acid sequences recognized by the cell's "memory" of past encounters. Here, we exploited the properties of native CRISPR-Cas systems to program the natural "memorization" process, efficiently generating immunity not only to a bacteriophage or plasmid but to any specifically chosen DNA sequence. CRISPR-Cas systems have entered the public consciousness as genome editing tools due to their readily programmable nature. In industrial settings, natural CRISPR-Cas immunity is already exploited to generate strains resistant to potentially disruptive viruses. However, the natural process by which bacteria acquire new target specificities (adaptation) is difficult to study and manipulate. The target against which immunity is conferred is selected stochastically. By biasing the immunization process, we offer a means to generate customized immunity, as well as provide a new tool to study adaptation. Copyright © 2016 Hynes et al.

  20. Influence of Spacer Systems on Heat Transfer in Evacuated Glazing

    NASA Astrophysics Data System (ADS)

    Swimm, K.; Weinläder, H.; Ebert, H.-P.

    2009-06-01

    One attractive possibility to essentially improve the insulation properties of glazing is to evacuate the space between the glass panes. This eliminates heat transport due to convection between the glass panes and suppresses the thermal conductivity of the remaining low pressure filling gas atmosphere. The glass panes can be prevented from collapsing by using a matrix of spacers. These spacers, however, increase heat transfer between the glass panes. To quantify this effect, heat transfer through samples of evacuated glazing was experimentally determined. The samples were prepared with different kinds of spacer materials and spacer distances. The measurements were performed with a guarded hot-plate apparatus under steady-state conditions and at room temperature. The measuring chamber of the guarded hot plate was evacuated to < 10-2 Pa. An external pressure load of 0.1 MPa was applied on the samples to ensure realistic system conditions. Radiative heat transfer was significantly reduced by preparing the samples with a low- ɛ coating on one of the glass panes. In a first step, measurements without any spacers allowed quantification of the amount of radiative heat transfer. With these data, the measurements with spacers could be corrected to separate the effect of the spacers on thermal heat transfer. The influence of the thermal conductivity of the spacer material, as well as the distance between the spacers and the spacer geometry, was experimentally investigated and showed good agreement with simulation results. For mechanically stable matrices with cylindrical spacers, experimental thermal conductance values ≤0.44W·m-2 ·K-1 were found. This shows that U g -values of about 0.5W · m-2 · K-1 are achievable in evacuated glazing, if highly efficient low-emissivity coatings are used.

  1. Evidence for the widespread distribution of CRISPR-Cas system in the Phylum Cyanobacteria

    PubMed Central

    Cai, Fei; Axen, Seth D.; Kerfeld, Cheryl A.

    2013-01-01

    Members of the phylum Cyanobacteria inhabit ecologically diverse environments. However, the CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR associated genes), an extremely adaptable defense system, has not been surveyed in this phylum. We analyzed 126 cyanobacterial genomes and, surprisingly, found CRISPR-Cas in the majority except the marine subclade (Synechococcus and Prochlorococcus), in which cyanophages are a known force shaping their evolution. Multiple observations of CRISPR loci in the absence of cas1/cas2 genes may represent an early stage of losing a CRISPR-Cas locus. Our findings reveal the widespread distribution of their role in the phylum Cyanobacteria and provide a first step to systematically understanding CRISPR-Cas systems in cyanobacteria. PMID:23628889

  2. Distance-Dependent Plasmon-Enhanced Fluorescence of Upconversion Nanoparticles using Polyelectrolyte Multilayers as Tunable Spacers

    PubMed Central

    Feng, Ai Ling; You, Min Li; Tian, Limei; Singamaneni, Srikanth; Liu, Ming; Duan, Zhenfeng; Lu, Tian Jian; Xu, Feng; Lin, Min

    2015-01-01

    Lanthanide-doped upconversion nanoparticles (UCNPs) have attracted widespread interests in bioapplications due to their unique optical properties by converting near infrared excitation to visible emission. However, relatively low quantum yield prompts a need for developing methods for fluorescence enhancement. Plasmon nanostructures are known to efficiently enhance fluorescence of the surrounding fluorophores by acting as nanoantennae to focus electric field into nano-volume. Here, we reported a novel plasmon-enhanced fluorescence system in which the distance between UCNPs and nanoantennae (gold nanorods, AuNRs) was precisely tuned by using layer-by-layer assembled polyelectrolyte multilayers as spacers. By modulating the aspect ratio of AuNRs, localized surface plasmon resonance (LSPR) wavelength at 980 nm was obtained, matching the native excitation of UCNPs resulting in maximum enhancement of 22.6-fold with 8 nm spacer thickness. These findings provide a unique platform for exploring hybrid nanostructures composed of UCNPs and plasmonic nanostructures in bioimaging applications. PMID:25586238

  3. Distance-dependent plasmon-enhanced fluorescence of upconversion nanoparticles using polyelectrolyte multilayers as tunable spacers.

    PubMed

    Feng, Ai Ling; You, Min Li; Tian, Limei; Singamaneni, Srikanth; Liu, Ming; Duan, Zhenfeng; Lu, Tian Jian; Xu, Feng; Lin, Min

    2015-01-14

    Lanthanide-doped upconversion nanoparticles (UCNPs) have attracted widespread interests in bioapplications due to their unique optical properties by converting near infrared excitation to visible emission. However, relatively low quantum yield prompts a need for developing methods for fluorescence enhancement. Plasmon nanostructures are known to efficiently enhance fluorescence of the surrounding fluorophores by acting as nanoantennae to focus electric field into nano-volume. Here, we reported a novel plasmon-enhanced fluorescence system in which the distance between UCNPs and nanoantennae (gold nanorods, AuNRs) was precisely tuned by using layer-by-layer assembled polyelectrolyte multilayers as spacers. By modulating the aspect ratio of AuNRs, localized surface plasmon resonance (LSPR) wavelength at 980 nm was obtained, matching the native excitation of UCNPs resulting in maximum enhancement of 22.6-fold with 8 nm spacer thickness. These findings provide a unique platform for exploring hybrid nanostructures composed of UCNPs and plasmonic nanostructures in bioimaging applications.

  4. Controlling transcription in human pluripotent stem cells using CRISPR-effectors.

    PubMed

    Genga, Ryan M; Kearns, Nicola A; Maehr, René

    2016-05-15

    The ability to manipulate transcription in human pluripotent stem cells (hPSCs) is fundamental for the discovery of key genes and mechanisms governing cellular state and differentiation. Recently developed CRISPR-effector systems provide a systematic approach to rapidly test gene function in mammalian cells, including hPSCs. In this review, we discuss recent advances in CRISPR-effector technologies that have been employed to control transcription through gene activation, gene repression, and epigenome engineering. We describe an application of CRISPR-effector mediated transcriptional regulation in hPSCs by targeting a synthetic promoter driving a GFP transgene, demonstrating the ease and effectiveness of CRISPR-effector mediated transcriptional regulation in hPSCs. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. CRISPR interference: RNA-directed adaptive immunity in bacteria and archaea

    PubMed Central

    Marraffini, Luciano A.; Sontheimer, Erik J.

    2010-01-01

    Sequence-directed genetic interference pathways control gene expression and preserve genome integrity in all kingdoms of life. The importance of such pathways is highlighted by the extensive study of RNA interference (RNAi) and related processes in eukaryotes. In many bacteria and most archaea, clustered, regularly interspaced short palindromic repeats (CRISPRs) are involved in a more recently discovered interference pathway that protects cells from bacteriophages and conjugative plasmids. CRISPR sequences provide an adaptive, heritable record of past infections and express CRISPR RNAs — small RNAs that target invasive nucleic acids. Here, we review the mechanisms of CRISPR interference and its roles in microbial physiology and evolution. We also discuss potential applications of this novel interference pathway. PMID:20125085

  6. Exploiting CRISPR/Cas systems for biotechnology

    PubMed Central

    Sampson, Timothy R.; Weiss, David S.

    2015-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. PMID:24323919

  7. Exploiting CRISPR/Cas systems for biotechnology.

    PubMed

    Sampson, Timothy R; Weiss, David S

    2014-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. © 2014 WILEY Periodicals, Inc.

  8. CRISPR-Cas9 technology: applications and human disease modelling.

    PubMed

    Torres-Ruiz, Raul; Rodriguez-Perales, Sandra

    2017-01-01

    Genome engineering is a powerful tool for a wide range of applications in biomedical research and medicine. The development of the clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system has revolutionized the field of gene editing, thus facilitating efficient genome editing through the creation of targeted double-strand breaks of almost any organism and cell type. In addition, CRISPR-Cas9 technology has been used successfully for many other purposes, including regulation of endogenous gene expression, epigenome editing, live-cell labelling of chromosomal loci, edition of single-stranded RNA and high-throughput gene screening. The implementation of the CRISPR-Cas9 system has increased the number of available technological alternatives for studying gene function, thus enabling generation of CRISPR-based disease models. Although many mechanistic questions remain to be answered and several challenges have yet to be addressed, the use of CRISPR-Cas9-based genome engineering technologies will increase our knowledge of disease processes and their treatment in the near future. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  9. CRISPR-Cas9 therapeutics in cancer: promising strategies and present challenges.

    PubMed

    Yi, Lang; Li, Jinming

    2016-12-01

    Cancer is characterized by multiple genetic and epigenetic alterations that drive malignant cell proliferation and confer chemoresistance. The ability to correct or ablate such mutations holds immense promise for combating cancer. Recently, because of its high efficiency and accuracy, the CRISPR-Cas9 genome editing technique has been widely used in cancer therapeutic explorations. Several studies used CRISPR-Cas9 to directly target cancer cell genomic DNA in cellular and animal cancer models which have shown therapeutic potential in expanding our anticancer protocols. Moreover, CRISPR-Cas9 can also be employed to fight oncogenic infections, explore anticancer drugs, and engineer immune cells and oncolytic viruses for cancer immunotherapeutic applications. Here, we summarize these preclinical CRISPR-Cas9-based therapeutic strategies against cancer, and discuss the challenges and improvements in translating therapeutic CRISPR-Cas9 into clinical use, which will facilitate better application of this technique in cancer research. Further, we propose potential directions of the CRISPR-Cas9 system in cancer therapy. Copyright © 2016 Elsevier B.V. All rights reserved.

  10. RNA virus interference via CRISPR/Cas13a system in plants.

    PubMed

    Aman, Rashid; Ali, Zahir; Butt, Haroon; Mahas, Ahmed; Aljedaani, Fatimah; Khan, Muhammad Zuhaib; Ding, Shouwei; Mahfouz, Magdy

    2018-01-04

    CRISPR/Cas systems confer immunity against invading nucleic acids and phages in bacteria and archaea. CRISPR/Cas13a (known previously as C2c2) is a class 2 type VI-A ribonuclease capable of targeting and cleaving single-stranded RNA (ssRNA) molecules of the phage genome. Here, we employ CRISPR/Cas13a to engineer interference with an RNA virus, Turnip Mosaic Virus (TuMV), in plants. CRISPR/Cas13a produces interference against green fluorescent protein (GFP)-expressing TuMV in transient assays and stable overexpression lines of Nicotiana benthamiana. CRISPR RNA (crRNAs) targeting the HC-Pro and GFP sequences exhibit better interference than those targeting other regions such as coat protein (CP) sequence. Cas13a can also process pre-crRNAs into functional crRNAs. Our data indicate that CRISPR/Cas13a can be used for engineering interference against RNA viruses, providing a potential novel mechanism for RNA-guided immunity against RNA viruses and for other RNA manipulations in plants.

  11. Edited course of biomedical research: leaping forward with CRISPR.

    PubMed

    Collins, Patrick J; Hale, Christopher M; Xu, Han

    2017-11-01

    Within the short few years since the report of its application in precise genome editing, CRISPR technology has become the method of choice to modify and modulate gene expression in biomedical research and therapeutic development. Subsequently, a variety of research, diagnostic, and therapeutic tools have been developed based upon CRISPR's mechanism of action. Such tools have helped to deepen the understanding of fundamental biology and broaden the horizon in the search for treatments for diseases that have been considered hard or impossible to cure. As CRISPR technology advances closer to clinical applications, its short comings are becoming more apparent, thus creating opportunities to improve the technology's efficacy, specificity, and safety profile in this setting. We will summarize the current status of CRISPR technology and discuss its future impact in this review. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. CRISPR-Cas9 gene editing: Delivery aspects and therapeutic potential.

    PubMed

    Oude Blenke, Erik; Evers, Martijn J W; Mastrobattista, Enrico; van der Oost, John

    2016-12-28

    The CRISPR-Cas9 gene editing system has taken the biomedical science field by storm, initiating rumors about future Nobel Prizes and heating up a fierce patent war, but also making significant scientific impact. The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), together with CRISPR-associated proteins (Cas) are a part of the prokaryotic adaptive immune system and have successfully been repurposed for genome editing in mammalian cells. The CRISPR-Cas9 system has been used to correct genetic mutations and for replacing entire genes, opening up a world of possibilities for the treatment of genetic diseases. In addition, recently some new CRISPR-Cas systems have been discovered with interesting mechanistic variations. Despite these promising developments, many challenges have to be overcome before the system can be applied therapeutically in human patients and enabling delivery technology is one of the key challenges. Furthermore, the relatively high off-target effect of the system in its current form prevents it from being safely applied directly in the human body. In this review, the transformation of the CRISPR-Cas gene editing systems into a therapeutic modality will be discussed and the currently most realistic in vivo applications will be highlighted. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. CRISPR-Based Technologies for the Manipulation of Eukaryotic Genomes.

    PubMed

    Komor, Alexis C; Badran, Ahmed H; Liu, David R

    2017-01-12

    The CRISPR-Cas9 RNA-guided DNA endonuclease has contributed to an explosion of advances in the life sciences that have grown from the ability to edit genomes within living cells. In this Review, we summarize CRISPR-based technologies that enable mammalian genome editing and their various applications. We describe recent developments that extend the generality, DNA specificity, product selectivity, and fundamental capabilities of natural CRISPR systems, and we highlight some of the remarkable advancements in basic research, biotechnology, and therapeutics science that these developments have facilitated. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition

    PubMed Central

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy

    2018-01-01

    ABSTRACT Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis, since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this “CRISPR tolerance.” We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. PMID:29717009

  15. CRISPR/Cas9 cleavage efficiency regression through boosting algorithms and Markov sequence profiling.

    PubMed

    Peng, Hui; Zheng, Yi; Blumenstein, Michael; Tao, Dacheng; Li, Jinyan

    2018-04-16

    CRISPR/Cas9 system is a widely used genome editing tool. A prediction problem of great interests for this system is: how to select optimal single guide RNAs (sgRNAs) such that its cleavage efficiency is high meanwhile the off-target effect is low. This work proposed a two-step averaging method (TSAM) for the regression of cleavage efficiencies of a set of sgRNAs by averaging the predicted efficiency scores of a boosting algorithm and those by a support vector machine (SVM).We also proposed to use profiled Markov properties as novel features to capture the global characteristics of sgRNAs. These new features are combined with the outstanding features ranked by the boosting algorithm for the training of the SVM regressor. TSAM improved the mean Spearman correlation coefficiencies comparing with the state-of-the-art performance on benchmark datasets containing thousands of human, mouse and zebrafish sgRNAs. Our method can be also converted to make binary distinctions between efficient and inefficient sgRNAs with superior performance to the existing methods. The analysis reveals that highly efficient sgRNAs have lower melting temperature at the middle of the spacer, cut at 5'-end closer parts of the genome and contain more 'A' but less 'G' comparing with inefficient ones. Comprehensive further analysis also demonstrates that our tool can predict an sgRNA's cutting efficiency with consistently good performance no matter it is expressed from an U6 promoter in cells or from a T7 promoter in vitro. Online tool is available at http://www.aai-bioinfo.com/CRISPR/. Python and Matlab source codes are freely available at https://github.com/penn-hui/TSAM. Jinyan.Li@uts.edu.au. Supplementary data are available at Bioinformatics online.

  16. Rational design of highly active sgRNAs for CRISPR-Cas9-mediated gene inactivation

    PubMed Central

    Doench, John G.; Hartenian, Ella; Graham, Daniel B.; Tothova, Zuzana; Hegde, Mudra; Smith, Ian; Sullender, Meagan; Ebert, Benjamin L.; Xavier, Ramnik J.; Root, David E.

    2014-01-01

    Components of the prokaryotic clustered regularly interspersed palindromic repeat (CRISPR) loci have recently been repurposed for use in mammalian cells1–6. The Cas9 protein can be programmed with a single guide RNA (sgRNA) to generate site-specific DNA breaks, but there are few known rules governing on-target efficacy of this system7,8. We created a pool of sgRNAs, tiling across all possible target sites of a panel of six endogenous mouse and three endogenous human genes and quantitatively assessed their ability to produce null alleles of their target gene by antibody staining and flow cytometry. We discovered sequence features that improved activity, including a further optimization of the proto-spacer adjacent motif (PAM) of Streptococcus pyogenes Cas9. The results from 1,841 sgRNAs were used to construct a predictive model of sgRNA activity to improve sgRNA design for gene editing and genetic screens. We provide an online tool for the design of highly active sgRNAs for any gene of interest. PMID:25184501

  17. On Improving CRISPR for Editing Plant Genes: Ribozyme-Mediated Guide RNA Production and Fluorescence-Based Technology for Isolating Transgene-Free Mutants Generated by CRISPR.

    PubMed

    He, Yubing; Wang, Rongchen; Dai, Xinhua; Zhao, Yunde

    2017-01-01

    CRISPR/Cas9-mediated genome editing technology has been used to successfully edit numerous genes in various organisms including plants. There are still two major challenges in using CRISPR/Cas9 technology for gene editing in plants. First, there are very limited choices of promoters that are suitable for in vivo production of single-guide RNAs (sgRNAs), which is complementary to the target sequence and which guides Cas9 to generate double-strand breaks at the target site. It is especially difficult to produce sgRNA molecules with temporal and spatial precision. Second, there is a lack of efficient methods for identifying plants that (1) contain heritable and stable mutations generated by CRISPR/Cas9, and (2) no longer harbor the CRISPR/Cas9 construct and other transgenes. In this chapter, we describe the development of a ribozyme-based strategy that enables the production of sgRNA molecules from any chosen promoter. More importantly, the ribozyme-based technology makes it feasible to produce sgRNAs with temporal and spatial precision, greatly expanding the scope and applications of CRISPR/Cas9 technology. We also developed a fluorescence-based technology that allows us to efficiently and reliably isolate Cas9-free stable Arabidopsis mutants. Thus, we provide effective protocols to overcome two important obstacles in using CRISPR/Cas9 for editing genes in plants. © 2017 Elsevier Inc. All rights reserved.

  18. An active immune defense with a minimal CRISPR (clustered regularly interspaced short palindromic repeats) RNA and without the Cas6 protein.

    PubMed

    Maier, Lisa-Katharina; Stachler, Aris-Edda; Saunders, Sita J; Backofen, Rolf; Marchfelder, Anita

    2015-02-13

    The prokaryotic immune system CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated) is a defense system that protects prokaryotes against foreign DNA. The short CRISPR RNAs (crRNAs) are central components of this immune system. In CRISPR-Cas systems type I and III, crRNAs are generated by the endonuclease Cas6. We developed a Cas6b-independent crRNA maturation pathway for the Haloferax type I-B system in vivo that expresses a functional crRNA, which we termed independently generated crRNA (icrRNA). The icrRNA is effective in triggering degradation of an invader plasmid carrying the matching protospacer sequence. The Cas6b-independent maturation of the icrRNA allowed mutation of the repeat sequence without interfering with signals important for Cas6b processing. We generated 23 variants of the icrRNA and analyzed them for activity in the interference reaction. icrRNAs with deletions or mutations of the 3' handle are still active in triggering an interference reaction. The complete 3' handle could be removed without loss of activity. However, manipulations of the 5' handle mostly led to loss of interference activity. Furthermore, we could show that in the presence of an icrRNA a strain without Cas6b (Δcas6b) is still active in interference. © 2015 by The American Society for Biochemistry and Molecular Biology, Inc.

  19. An Active Immune Defense with a Minimal CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats) RNA and without the Cas6 Protein*

    PubMed Central

    Maier, Lisa-Katharina; Stachler, Aris-Edda; Saunders, Sita J.; Backofen, Rolf; Marchfelder, Anita

    2015-01-01

    The prokaryotic immune system CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated) is a defense system that protects prokaryotes against foreign DNA. The short CRISPR RNAs (crRNAs) are central components of this immune system. In CRISPR-Cas systems type I and III, crRNAs are generated by the endonuclease Cas6. We developed a Cas6b-independent crRNA maturation pathway for the Haloferax type I-B system in vivo that expresses a functional crRNA, which we termed independently generated crRNA (icrRNA). The icrRNA is effective in triggering degradation of an invader plasmid carrying the matching protospacer sequence. The Cas6b-independent maturation of the icrRNA allowed mutation of the repeat sequence without interfering with signals important for Cas6b processing. We generated 23 variants of the icrRNA and analyzed them for activity in the interference reaction. icrRNAs with deletions or mutations of the 3′ handle are still active in triggering an interference reaction. The complete 3′ handle could be removed without loss of activity. However, manipulations of the 5′ handle mostly led to loss of interference activity. Furthermore, we could show that in the presence of an icrRNA a strain without Cas6b (Δcas6b) is still active in interference. PMID:25512373

  20. A CRISPR view of development

    PubMed Central

    Harrison, Melissa M.; Jenkins, Brian V.; O’Connor-Giles, Kate M.

    2014-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)–Cas9 (CRISPR-associated nuclease 9) system is poised to transform developmental biology by providing a simple, efficient method to precisely manipulate the genome of virtually any developing organism. This RNA-guided nuclease (RGN)-based approach already has been effectively used to induce targeted mutations in multiple genes simultaneously, create conditional alleles, and generate endogenously tagged proteins. Illustrating the adaptability of RGNs, the genomes of >20 different plant and animal species as well as multiple cell lines and primary cells have been successfully modified. Here we review the current and potential uses of RGNs to investigate genome function during development. PMID:25184674

  1. Using local chromatin structure to improve CRISPR/Cas9 efficiency in zebrafish.

    PubMed

    Chen, Yunru; Zeng, Shiyang; Hu, Ruikun; Wang, Xiangxiu; Huang, Weilai; Liu, Jiangfang; Wang, Luying; Liu, Guifen; Cao, Ying; Zhang, Yong

    2017-01-01

    Although the CRISPR/Cas9 has been successfully applied in zebrafish, considerable variations in efficiency have been observed for different gRNAs. The workload and cost of zebrafish mutant screening is largely dependent on the mutation rate of injected embryos; therefore, selecting more effective gRNAs is especially important for zebrafish mutant construction. Besides the sequence features, local chromatin structures may have effects on CRISPR/Cas9 efficiency, which remain largely unexplored. In the only related study in zebrafish, nucleosome organization was not found to have an effect on CRISPR/Cas9 efficiency, which is inconsistent with recent studies in vitro and in mammalian cell lines. To understand the effects of local chromatin structure on CRISPR/Cas9 efficiency in zebrafish, we first determined that CRISPR/Cas9 introduced genome editing mainly before the dome stage. Based on this observation, we reanalyzed our published nucleosome organization profiles and generated chromatin accessibility profiles in the 256-cell and dome stages using ATAC-seq technology. Our study demonstrated that chromatin accessibility showed positive correlation with CRISPR/Cas9 efficiency, but we did not observe a clear correlation between nucleosome organization and CRISPR/Cas9 efficiency. We constructed an online database for zebrafish gRNA selection based on local chromatin structure features that could prove beneficial to zebrafish homozygous mutant construction via CRISPR/Cas9.

  2. Design and assessment of engineered CRISPR-Cpf1 and its use for genome editing.

    PubMed

    Li, Bin; Zeng, Chunxi; Dong, Yizhou

    2018-05-01

    Cpf1, a CRISPR endonuclease discovered in Prevotella and Francisella 1 bacteria, offers an alternative platform for CRISPR-based genome editing beyond the commonly used CRISPR-Cas9 system originally discovered in Streptococcus pyogenes. This protocol enables the design of engineered CRISPR-Cpf1 components, both CRISPR RNAs (crRNAs) to guide the endonuclease and Cpf1 mRNAs to express the endonuclease protein, and provides experimental procedures for effective genome editing using this system. We also describe quantification of genome-editing activity and off-target effects of the engineered CRISPR-Cpf1 in human cell lines using both T7 endonuclease I (T7E1) assay and targeted deep sequencing. This protocol enables rapid construction and identification of engineered crRNAs and Cpf1 mRNAs to enhance genome-editing efficiency using the CRISPR-Cpf1 system, as well as assessment of target specificity within 2 months. This protocol may also be appropriate for fine-tuning other types of CRISPR systems.

  3. Biomechanical Analysis of an Expandable Lumbar Interbody Spacer.

    PubMed

    Soriano-Baron, Hector; Newcomb, Anna G U S; Malhotra, Devika; Palma, Atilio E; Martinez-Del-Campo, Eduardo; Crawford, Neil R; Theodore, Nicholas; Kelly, Brian P; Kaibara, Taro

    2018-06-01

    Recently developed expandable interbody spacers are widely accepted in spinal surgery; however, the resulting biomechanical effects of their use have not yet been fully studied. We analyzed the biomechanical effects of an expandable polyetheretherketone interbody spacer inserted through a bilateral posterior approach with and without different modalities of posterior augmentation. Biomechanical nondestructive flexibility testing was performed in 7 human cadaveric lumbar (L2-L5) specimens followed by axial compressive loading. Each specimen was tested under 6 conditions: 1) intact, 2) bilateral L3-L4 cortical screw/rod (CSR) alone, 3) WaveD alone, 4) WaveD + CSR, 5) WaveD + bilateral L3-L4 pedicle screw/rod (PSR), and 6) WaveD + CSR/PSR, where CSR/PSR was a hybrid construct comprising bilateral cortical-level L3 and pedicle-level L4 screws interconnected by rods. The range of motion (ROM) with the interbody spacer alone decreased significantly compared with the intact condition during flexion-extension (P = 0.02) but not during lateral bending or axial rotation (P ≥ 0.19). The addition of CSR or PSR to the interbody spacer alone condition significantly decreased the ROM compared with the interbody spacer alone (P ≤ 0.002); and WaveD + CSR, WaveD + PSR, and WaveD + CSR/PSR (hybrid) (P ≥ 0.29) did not differ. The axial compressive stiffness (resistance to change in foraminal height during compressive loading) with the interbody spacer alone did not differ from the intact condition (P = 0.96), whereas WaveD + posterior instrumentation significantly increased compressive stiffness compared with the intact condition and the interbody spacer alone (P ≤ 0.001). The WaveD alone significantly reduced ROM during flexion-extension while maintaining the axial compressive stiffness. CSR, PSR, and CSR/PSR hybrid constructs were all effective in augmenting the expandable interbody spacer system and improving its stability. Copyright © 2018 Elsevier Inc. All

  4. Engineering Plant Immunity: Using CRISPR/Cas9 to Generate Virus Resistance

    PubMed Central

    Zaidi, Syed Shan-e-Ali; Tashkandi, Manal; Mansoor, Shahid; Mahfouz, Magdy M.

    2016-01-01

    Plant viruses infect many economically important crops, including wheat, cotton, maize, cassava, and other vegetables. These viruses pose a serious threat to agriculture worldwide, as decreases in cropland area per capita may cause production to fall short of that required to feed the increasing world population. Under these circumstances, conventional strategies can fail to control rapidly evolving and emerging plant viruses. Genome-engineering strategies have recently emerged as promising tools to introduce desirable traits in many eukaryotic species, including plants. Among these genome engineering technologies, the CRISPR (clustered regularly interspaced palindromic repeats)/CRISPR-associated 9 (CRISPR/Cas9) system has received special interest because of its simplicity, efficiency, and reproducibility. Recent studies have used CRISPR/Cas9 to engineer virus resistance in plants, either by directly targeting and cleaving the viral genome, or by modifying the host plant genome to introduce viral immunity. Here, we briefly describe the biology of the CRISPR/Cas9 system and plant viruses, and how different genome engineering technologies have been used to target these viruses. We further describe the main findings from recent studies of CRISPR/Cas9-mediated viral interference and discuss how these findings can be applied to improve global agriculture. We conclude by pinpointing the gaps in our knowledge and the outstanding questions regarding CRISPR/Cas9-mediated viral immunity. PMID:27877187

  5. CRISPR-Cas9 for medical genetic screens: applications and future perspectives.

    PubMed

    Xue, Hui-Ying; Ji, Li-Juan; Gao, Ai-Mei; Liu, Ping; He, Jing-Dong; Lu, Xiao-Jie

    2016-02-01

    CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats-CRISPR associated nuclease 9) systems have emerged as versatile and convenient (epi)genome editing tools and have become an important player in medical genetic research. CRISPR-Cas9 and its variants such as catalytically inactivated Cas9 (dead Cas9, dCas9) and scaffold-incorporating single guide sgRNA (scRNA) have been applied in various genomic screen studies. CRISPR screens enable high-throughput interrogation of gene functions in health and diseases. Compared with conventional RNAi screens, CRISPR screens incur less off-target effects and are more versatile in that they can be used in multiple formats such as knockout, knockdown and activation screens, and can target coding and non-coding regions throughout the genome. This powerful screen platform holds the potential of revolutionising functional genomic studies in the near future. Herein, we introduce the mechanisms of (epi)genome editing mediated by CRISPR-Cas9 and its variants, introduce the procedures and applications of CRISPR screen in functional genomics, compare it with conventional screen tools and at last discuss current challenges and opportunities and propose future directions. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  6. Delivery strategies of the CRISPR-Cas9 gene-editing system for therapeutic applications.

    PubMed

    Liu, Chang; Zhang, Li; Liu, Hao; Cheng, Kun

    2017-11-28

    The CRISPR-Cas9 genome-editing system is a part of the adaptive immune system in archaea and bacteria to defend against invasive nucleic acids from phages and plasmids. The single guide RNA (sgRNA) of the system recognizes its target sequence in the genome, and the Cas9 nuclease of the system acts as a pair of scissors to cleave the double strands of DNA. Since its discovery, CRISPR-Cas9 has become the most robust platform for genome engineering in eukaryotic cells. Recently, the CRISPR-Cas9 system has triggered enormous interest in therapeutic applications. CRISPR-Cas9 can be applied to correct disease-causing gene mutations or engineer T cells for cancer immunotherapy. The first clinical trial using the CRISPR-Cas9 technology was conducted in 2016. Despite the great promise of the CRISPR-Cas9 technology, several challenges remain to be tackled before its successful applications for human patients. The greatest challenge is the safe and efficient delivery of the CRISPR-Cas9 genome-editing system to target cells in human body. In this review, we will introduce the molecular mechanism and different strategies to edit genes using the CRISPR-Cas9 system. We will then highlight the current systems that have been developed to deliver CRISPR-Cas9 in vitro and in vivo for various therapeutic purposes. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. The Conspicuity of CRISPR-Cpf1 System as a Significant Breakthrough in Genome Editing.

    PubMed

    Bayat, Hadi; Modarressi, Mohammad Hossein; Rahimpour, Azam

    2018-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated protein (Cas) is a microbial adaptive immune system. CRISPR-Cas systems are classified into two main classes and six types. Cpf1 is a putative type V (class II) CRISPR effector, which has revolutionized the genome editing approaches through multiple distinct features such as using T-rich protospacer-adjacent motif, applying a short guide RNA lacking trans-activating crRNA, introducing a staggered double-strand break, and possessing RNA processing activity in addition to DNA nuclease activity. In the present review, we attempt to highlight most recent advances in CRISPR-Cpf1 (CRISPR-Cas12a) system in particular, considering ground expeditions of the nature and the biology of this system, introducing novel Cpf1 variants that have broadened the versatility and feasibility of CRISPR-Cpf1 system, and lastly the great impact of the CRISPR-Cpf1 system on the manipulation of the genome of prokaryotic, mammalian, and plant models is summarized. With regard to recent developments in utilizing the CRISPR-Cpf1 system in genome editing of various organisms, it can be concluded with confidence that this system is a reliable molecular toolbox of genome editing approaches.

  8. Developmental history and application of CRISPR in human disease.

    PubMed

    Liang, Puping; Zhang, Xiya; Chen, Yuxi; Huang, Junjiu

    2017-06-01

    Genome-editing tools are programmable artificial nucleases, mainly including zinc-finger nucleases, transcription activator-like effector nucleases and clustered regularly interspaced short palindromic repeat (CRISPR). By recognizing and cleaving specific DNA sequences, genome-editing tools make it possible to generate site-specific DNA double-strand breaks (DSBs) in the genome. DSBs will then be repaired by either error-prone nonhomologous end joining or high-fidelity homologous recombination mechanisms. Through these two different mechanisms, endogenous genes can be knocked out or precisely repaired/modified. Rapid developments in genome-editing tools, especially CRISPR, have revolutionized human disease models generation, for example, various zebrafish, mouse, rat, pig, monkey and human cell lines have been constructed. Here, we review the developmental history of CRISPR and its application in studies of human diseases. In addition, we also briefly discussed the therapeutic application of CRISPR in the near future. Copyright © 2017 John Wiley & Sons, Ltd.

  9. Insights into maize genome editing via CRISPR/Cas9.

    PubMed

    Agarwal, Astha; Yadava, Pranjal; Kumar, Krishan; Singh, Ishwar; Kaul, Tanushri; Pattanayak, Arunava; Agrawal, Pawan Kumar

    2018-03-01

    Maize is an important crop for billions of people as food, feed, and industrial raw material. It is a prime driver of the global agricultural economy as well as the livelihoods of millions of farmers. Genetic interventions, such as breeding, hybridization and transgenesis have led to increased productivity of this crop in the last 100 years. The technique of genome editing is the latest advancement in genetics. Genome editing can be used for targeted deletions, additions, and corrections in the genome, all aimed at genetic enhancement of crops. The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/CRISPR associated protein 9 (CRISPR/Cas9) system is a recent genome editing technique that is considered simple, precise, robust and the most revolutionary. This review summarizes the current state of the art and predicts future directions in the use of the CRISPR/Cas9 tool in maize crop improvement.

  10. Precision genome editing in the CRISPR era.

    PubMed

    Salsman, Jayme; Dellaire, Graham

    2017-04-01

    With the introduction of precision genome editing using CRISPR-Cas9 technology, we have entered a new era of genetic engineering and gene therapy. With RNA-guided endonucleases, such as Cas9, it is possible to engineer DNA double strand breaks (DSB) at specific genomic loci. DSB repair by the error-prone non-homologous end-joining (NHEJ) pathway can disrupt a target gene by generating insertions and deletions. Alternatively, Cas9-mediated DSBs can be repaired by homology-directed repair (HDR) using an homologous DNA repair template, thus allowing precise gene editing by incorporating genetic changes into the repair template. HDR can introduce gene sequences for protein epitope tags, delete genes, make point mutations, or alter enhancer and promoter activities. In anticipation of adapting this technology for gene therapy in human somatic cells, much focus has been placed on increasing the fidelity of CRISPR-Cas9 and increasing HDR efficiency to improve precision genome editing. In this review, we will discuss applications of CRISPR technology for gene inactivation and genome editing with a focus on approaches to enhancing CRISPR-Cas9-mediated HDR for the generation of cell and animal models, and conclude with a discussion of recent advances and challenges towards the application of this technology for gene therapy in humans.

  11. Therapeutic genome engineering via CRISPR-Cas systems.

    PubMed

    Moreno, Ana M; Mali, Prashant

    2017-07-01

    Differences in genomes underlie most organismal diversity, and aberrations in genomes underlie many disease states. With the growing knowledge of the genetic and pathogenic basis of human disease, development of safe and efficient platforms for genome and epigenome engineering will transform our ability to therapeutically target human diseases and also potentially engineer disease resistance. In this regard, the recent advent of clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) RNA-guided nuclease systems have transformed our ability to target nucleic acids. Here we review therapeutic genome engineering applications with a specific focus on the CRISPR-Cas toolsets. We summarize past and current work, and also outline key challenges and future directions. WIREs Syst Biol Med 2017, 9:e1380. doi: 10.1002/wsbm.1380 For further resources related to this article, please visit the WIREs website. © 2017 Wiley Periodicals, Inc.

  12. Non-viral delivery systems for CRISPR/Cas9-based genome editing: Challenges and opportunities.

    PubMed

    Li, Ling; Hu, Shuo; Chen, Xiaoyuan

    2018-07-01

    In recent years, CRISPR (clustered regularly interspaced short palindromic repeat)/Cas (CRISPR-associated) genome editing systems have become one of the most robust platforms in basic biomedical research and therapeutic applications. To date, efficient in vivo delivery of the CRISPR/Cas9 system to the targeted cells remains a challenge. Although viral vectors have been widely used in the delivery of the CRISPR/Cas9 system in vitro and in vivo, their fundamental shortcomings, such as the risk of carcinogenesis, limited insertion size, immune responses and difficulty in large-scale production, severely limit their further applications. Alternative non-viral delivery systems for CRISPR/Cas9 are urgently needed. With the rapid development of non-viral vectors, lipid- or polymer-based nanocarriers have shown great potential for CRISPR/Cas9 delivery. In this review, we analyze the pros and cons of delivering CRISPR/Cas9 systems in the form of plasmid, mRNA, or protein and then discuss the limitations and challenges of CRISPR/Cas9-based genome editing. Furthermore, current non-viral vectors that have been applied for CRISPR/Cas9 delivery in vitro and in vivo are outlined in details. Finally, critical obstacles for non-viral delivery of CRISPR/Cas9 system are highlighted and promising strategies to overcome these barriers are proposed. Published by Elsevier Ltd.

  13. Gene Editing and Crop Improvement Using CRISPR-Cas9 System

    PubMed Central

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system. PMID:29167680

  14. CRISPR: From Prokaryotic Immune Systems to Plant Genome Editing Tools.

    PubMed

    Bandyopadhyay, Anindya; Mazumdar, Shamik; Yin, Xiaojia; Quick, William Paul

    2017-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) system is a prokaryotic adaptive immune system that has the ability to identify specific locations on the bacteriophage (phage) genome to create breaks in it, and internalize the phage genome fragments in its own genome as CRISPR arrays for memory-dependent resistance. Although CRISPR has been used in the dairy industry for a long time, it recently gained importance in the field of genome editing because of its ability to precisely target locations in a genome. This system has further been modified to locate and target any region of a genome of choice due to modifications in the components of the system. By changing the nucleotide sequence of the 20-nucleotide target sequence in the guide RNA, targeting any location is possible. It has found an application in the modification of plant genomes with its ability to generate mutations and insertions, thus helping to create new varieties of plants. With the ability to introduce specific sequences into the plant genome after cleavage by the CRISPR system and subsequent DNA repair through homology-directed repair (HDR), CRISPR ensures that genome editing can be successfully applied in plants, thus generating stronger and more improved traits. Also, the use of the CRISPR editing system can generate plants that are transgene-free and have mutations that are stably inherited, thus helping to circumvent current GMO regulations.

  15. Gene Editing and Crop Improvement Using CRISPR-Cas9 System.

    PubMed

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system.

  16. [CRISPR/CAS9, the King of Genome Editing Tools].

    PubMed

    Bannikov, A V; Lavrov, A V

    2017-01-01

    The discovery of CRISPR/Cas9 brought a hope for having an efficient, reliable, and readily available tool for genome editing. CRISPR/Cas9 is certainly easy to use, while its efficiency and reliability remain the focus of studies. The review describes the general principles of the organization and function of Cas nucleases and a number of important issues to be considered while planning genome editing experiments with CRISPR/Cas9. The issues include evaluation of the efficiency and specificity for Cas9, sgRNA selection, Cas9 variants designed artificially, and use of homologous recombination and nonhomologous end joining in DNA editing.

  17. Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 with improved proof-reading enhances homology-directed repair.

    PubMed

    Kato-Inui, Tomoko; Takahashi, Gou; Hsu, Szuyin; Miyaoka, Yuichiro

    2018-05-18

    Genome editing using clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) predominantly induces non-homologous end joining (NHEJ), which generates random insertions or deletions, whereas homology-directed repair (HDR), which generates precise recombination products, is useful for wider applications. However, the factors that determine the ratio of HDR to NHEJ products after CRISPR/Cas9 editing remain unclear, and methods by which the proportion of HDR products can be increased have not yet been fully established. We systematically analyzed the HDR and NHEJ products after genome editing using various modified guide RNAs (gRNAs) and Cas9 variants with an enhanced conformational checkpoint to improve the fidelity at endogenous gene loci in HEK293T cells and HeLa cells. We found that these modified gRNAs and Cas9 variants were able to enhance HDR in both single-nucleotide substitutions and a multi-kb DNA fragment insertion. Our results suggest that the original CRISPR/Cas9 system from the bacterial immune system is not necessarily the best option for the induction of HDR in genome editing and indicate that the modulation of the kinetics of conformational checkpoints of Cas9 can optimize the HDR/NHEJ ratio.

  18. CRISPR/Cas9—Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development

    PubMed Central

    Okoli, Arinze; Okeke, Malachy I.; Tryland, Morten; Moens, Ugo

    2018-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them. PMID:29361752

  19. Targeted Gene Manipulation in Plants Using the CRISPR/Cas Technology.

    PubMed

    Zhang, Dandan; Li, Zhenxiang; Li, Jian-Feng

    2016-05-20

    The CRISPR/Cas technology is emerging as a revolutionary genome editing tool in diverse organisms including plants, and has quickly evolved into a suite of versatile tools for sequence-specific gene manipulations beyond genome editing. Here, we review the most recent applications of the CRISPR/Cas toolkit in plants and also discuss key factors for improving CRISPR/Cas performance and strategies for reducing the off-target effects. Novel technical breakthroughs in mammalian research regarding the CRISPR/Cas toolkit will also be incorporated into this review in hope to stimulate prospective users from the plant research community to fully explore the potential of these technologies. Copyright © 2016 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  20. Functional interrogation of non-coding DNA through CRISPR genome editing.

    PubMed

    Canver, Matthew C; Bauer, Daniel E; Orkin, Stuart H

    2017-05-15

    Methodologies to interrogate non-coding regions have lagged behind coding regions despite comprising the vast majority of the genome. However, the rapid evolution of clustered regularly interspaced short palindromic repeats (CRISPR)-based genome editing has provided a multitude of novel techniques for laboratory investigation including significant contributions to the toolbox for studying non-coding DNA. CRISPR-mediated loss-of-function strategies rely on direct disruption of the underlying sequence or repression of transcription without modifying the targeted DNA sequence. CRISPR-mediated gain-of-function approaches similarly benefit from methods to alter the targeted sequence through integration of customized sequence into the genome as well as methods to activate transcription. Here we review CRISPR-based loss- and gain-of-function techniques for the interrogation of non-coding DNA. Copyright © 2017 Elsevier Inc. All rights reserved.

  1. [CRISPR/Cas system for genome editing in pluripotent stem cells].

    PubMed

    Vasil'eva, E A; Melino, D; Barlev, N A

    2015-01-01

    Genome editing systems based on site-specific nucleases became very popular for genome editing in modern bioengineering. Human pluripotent stem cells provide a unique platform for genes function study, disease modeling, and drugs testing. Consequently, technology for fast, accurate and well controlled genome manipulation is required. CRISPR/Cas (clustered regularly interspaced short palindromic repeat/CRISPR-associated) system could be employed for these purposes. This system is based on site-specific programmable nuclease Cas9. Numerous advantages of the CRISPR/Cas system and its successful application to human stem cells provide wide opportunities for genome therapy and regeneration medicine. In this publication, we describe and compare the main genome editing systems based on site-specific programmable nucleases and discuss opportunities and perspectives of the CRISPR/Cas system for application to pluripotent stem cells.

  2. Functional interrogation of non-coding DNA through CRISPR genome editing

    PubMed Central

    Canver, Matthew C.; Bauer, Daniel E.; Orkin, Stuart H.

    2017-01-01

    Methodologies to interrogate non-coding regions have lagged behind coding regions despite comprising the vast majority of the genome. However, the rapid evolution of clustered regularly interspaced short palindromic repeats (CRISPR)-based genome editing has provided a multitude of novel techniques for laboratory investigation including significant contributions to the toolbox for studying non-coding DNA. CRISPR-mediated loss-of-function strategies rely on direct disruption of the underlying sequence or repression of transcription without modifying the targeted DNA sequence. CRISPR-mediated gain-of-function approaches similarly benefit from methods to alter the targeted sequence through integration of customized sequence into the genome as well as methods to activate transcription. Here we review CRISPR-based loss- and gain-of-function techniques for the interrogation of non-coding DNA. PMID:28288828

  3. CRISPR/Cas9 Based Genome Editing of Penicillium chrysogenum.

    PubMed

    Pohl, C; Kiel, J A K W; Driessen, A J M; Bovenberg, R A L; Nygård, Y

    2016-07-15

    CRISPR/Cas9 based systems have emerged as versatile platforms for precision genome editing in a wide range of organisms. Here we have developed powerful CRISPR/Cas9 tools for marker-based and marker-free genome modifications in Penicillium chrysogenum, a model filamentous fungus and industrially relevant cell factory. The developed CRISPR/Cas9 toolbox is highly flexible and allows editing of new targets with minimal cloning efforts. The Cas9 protein and the sgRNA can be either delivered during transformation, as preassembled CRISPR-Cas9 ribonucleoproteins (RNPs) or expressed from an AMA1 based plasmid within the cell. The direct delivery of the Cas9 protein with in vitro synthesized sgRNA to the cells allows for a transient method for genome engineering that may rapidly be applicable for other filamentous fungi. The expression of Cas9 from an AMA1 based vector was shown to be highly efficient for marker-free gene deletions.

  4. Treatment of Dyslipidemia Using CRISPR/Cas9 Genome Editing.

    PubMed

    Chadwick, Alexandra C; Musunuru, Kiran

    2017-07-01

    Clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated 9 (Cas9) has recently emerged as a top genome editing technology and has afforded investigators the ability to more easily study a number of diseases. This review discusses CRISPR/Cas9's advantages and limitations and highlights a few recent reports on genome editing applications for alleviating dyslipidemia through disruption of proprotein convertase subtilisin/kexin type 9 (PCSK9). Targeting of mouse Pcsk9 using CRISPR/Cas9 technology has yielded promising results for lowering total cholesterol levels, and several recent findings are highlighted in this review. Reported on-target mutagenesis efficiency is as high as 90% with a subsequent 40% reduction of blood cholesterol levels in mice, highlighting the potential for use as a therapeutic in human patients. The ability to characterize and treat diseases is becoming easier with the recent advances in genome editing technologies. In this review, we discuss how genome editing strategies can be of use for potential therapeutic applications.

  5. CRISPR-enabled tools for engineering microbial genomes and phenotypes.

    PubMed

    Tarasava, Katia; Oh, Eun Joong; Eckert, Carrie A; Gill, Ryan T

    2018-06-19

    In recent years CRISPR-Cas technologies have revolutionized microbial engineering approaches. Genome editing and non-editing applications of various CRISPR-Cas systems have expanded the throughput and scale of engineering efforts, as well as opened up new avenues for manipulating genomes of non-model organisms. As we expand the range of organisms used for biotechnological applications, we need to develop better, more versatile tools for manipulation of these systems. Here we summarize the current advances in microbial gene editing using CRISPR-Cas based tools, and highlight state-of-the-art methods for high-throughput, efficient genome-scale engineering in model organisms Escherichia coli and Saccharomyces cerevisiae. We also review non-editing CRISPR-Cas applications available for gene expression manipulation, epigenetic remodeling, RNA editing, labeling and synthetic gene circuit design. Finally, we point out the areas of research that need further development in order to expand the range of applications and increase the utility of these new methods. This article is protected by copyright. All rights reserved.

  6. Flexible CRISPR library construction using parallel oligonucleotide retrieval

    PubMed Central

    Read, Abigail; Gao, Shaojian; Batchelor, Eric

    2017-01-01

    Abstract CRISPR/Cas9-based gene knockout libraries have emerged as a powerful tool for functional screens. We present here a set of pre-designed human and mouse sgRNA sequences that are optimized for both high on-target potency and low off-target effect. To maximize the chance of target gene inactivation, sgRNAs were curated to target both 5΄ constitutive exons and exons that encode conserved protein domains. We describe here a robust and cost-effective method to construct multiple small sized CRISPR library from a single oligo pool generated by array synthesis using parallel oligonucleotide retrieval. Together, these resources provide a convenient means for individual labs to generate customized CRISPR libraries of variable size and coverage depth for functional genomics application. PMID:28334828

  7. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome.

    PubMed

    Tajkarimi, Mehrdad; Wexler, Hannah M

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis ( n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  8. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome

    PubMed Central

    Tajkarimi, Mehrdad; Wexler, Hannah M.

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis (n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  9. Synthetic CRISPR RNA-Cas9-guided genome editing in human cells.

    PubMed

    Rahdar, Meghdad; McMahon, Moira A; Prakash, Thazha P; Swayze, Eric E; Bennett, C Frank; Cleveland, Don W

    2015-12-22

    Genome editing with the clustered, regularly interspaced, short palindromic repeats (CRISPR)-Cas9 nuclease system is a powerful technology for manipulating genomes, including introduction of gene disruptions or corrections. Here we develop a chemically modified, 29-nucleotide synthetic CRISPR RNA (scrRNA), which in combination with unmodified transactivating crRNA (tracrRNA) is shown to functionally replace the natural guide RNA in the CRISPR-Cas9 nuclease system and to mediate efficient genome editing in human cells. Incorporation of rational chemical modifications known to protect against nuclease digestion and stabilize RNA-RNA interactions in the tracrRNA hybridization region of CRISPR RNA (crRNA) yields a scrRNA with enhanced activity compared with the unmodified crRNA and comparable gene disruption activity to the previously published single guide RNA. Taken together, these findings provide a platform for therapeutic applications, especially for nervous system disease, using successive application of cell-permeable, synthetic CRISPR RNAs to activate and then silence Cas9 nuclease activity.

  10. Comparative genomics of 28 Salmonella enterica isolates: evidence for CRISPR-mediated adaptive sublineage evolution.

    PubMed

    Fricke, W Florian; Mammel, Mark K; McDermott, Patrick F; Tartera, Carmen; White, David G; Leclerc, J Eugene; Ravel, Jacques; Cebula, Thomas A

    2011-07-01

    Despite extensive surveillance, food-borne Salmonella enterica infections continue to be a significant burden on public health systems worldwide. As the S. enterica species comprises sublineages that differ greatly in antigenic representation, virulence, and antimicrobial resistance phenotypes, a better understanding of the species' evolution is critical for the prediction and prevention of future outbreaks. The roles that virulence and resistance phenotype acquisition, exchange, and loss play in the evolution of S. enterica sublineages, which to a certain extent are represented by serotypes, remains mostly uncharacterized. Here, we compare 17 newly sequenced and phenotypically characterized nontyphoidal S. enterica strains to 11 previously sequenced S. enterica genomes to carry out the most comprehensive comparative analysis of this species so far. These phenotypic and genotypic data comparisons in the phylogenetic species context suggest that the evolution of known S. enterica sublineages is mediated mostly by two mechanisms, (i) the loss of coding sequences with known metabolic functions, which leads to functional reduction, and (ii) the acquisition of horizontally transferred phage and plasmid DNA, which provides virulence and resistance functions and leads to increasing specialization. Matches between S. enterica clustered regularly interspaced short palindromic repeats (CRISPR), part of a defense mechanism against invading plasmid and phage DNA, and plasmid and prophage regions suggest that CRISPR-mediated immunity could control short-term phenotype changes and mediate long-term sublineage evolution. CRISPR analysis could therefore be critical in assessing the evolutionary potential of S. enterica sublineages and aid in the prediction and prevention of future S. enterica outbreaks.

  11. Advancing biotechnology with CRISPR/Cas9: recent applications and patent landscape.

    PubMed

    Ferreira, Raphael; David, Florian; Nielsen, Jens

    2018-01-24

    Clustered regularly interspaced short palindromic repeats (CRISPR) is poised to become one of the key scientific discoveries of the twenty-first century. Originating from prokaryotic and archaeal immune systems to counter phage invasions, CRISPR-based applications have been tailored for manipulating a broad range of living organisms. From the different elucidated types of CRISPR mechanisms, the type II system adapted from Streptococcus pyogenes has been the most exploited as a tool for genome engineering and gene regulation. In this review, we describe the different applications of CRISPR/Cas9 technology in the industrial biotechnology field. Next, we detail the current status of the patent landscape, highlighting its exploitation through different companies, and conclude with future perspectives of this technology.

  12. Efficient engineering of a bacteriophage genome using the type I-E CRISPR-Cas system.

    PubMed

    Kiro, Ruth; Shitrit, Dror; Qimron, Udi

    2014-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system has recently been used to engineer genomes of various organisms, but surprisingly, not those of bacteriophages (phages). Here we present a method to genetically engineer the Escherichia coli phage T7 using the type I-E CRISPR-Cas system. T7 phage genome is edited by homologous recombination with a DNA sequence flanked by sequences homologous to the desired location. Non-edited genomes are targeted by the CRISPR-Cas system, thus enabling isolation of the desired recombinant phages. This method broadens CRISPR Cas-based editing to phages and uses a CRISPR-Cas type other than type II. The method may be adjusted to genetically engineer any bacteriophage genome.

  13. CRISPR interference can prevent natural transformation and virulence acquisition during in vivo bacterial infection.

    PubMed

    Bikard, David; Hatoum-Aslan, Asma; Mucida, Daniel; Marraffini, Luciano A

    2012-08-16

    Pathogenic bacterial strains emerge largely due to transfer of virulence and antimicrobial resistance genes between bacteria, a process known as horizontal gene transfer (HGT). Clustered, regularly interspaced, short palindromic repeat (CRISPR) loci of bacteria and archaea encode a sequence-specific defense mechanism against bacteriophages and constitute a programmable barrier to HGT. However, the impact of CRISPRs on the emergence of virulence is unknown. We programmed the human pathogen Streptococcus pneumoniae with CRISPR sequences that target capsule genes, an essential pneumococcal virulence factor, and show that CRISPR interference can prevent transformation of nonencapsulated, avirulent pneumococci into capsulated, virulent strains during infection in mice. Further, at low frequencies bacteria can lose CRISPR function, acquire capsule genes, and mount a successful infection. These results demonstrate that CRISPR interference can prevent the emergence of virulence in vivo and that strong selective pressure for virulence or antibiotic resistance can lead to CRISPR loss in bacterial pathogens. Copyright © 2012 Elsevier Inc. All rights reserved.

  14. Next stop for the CRISPR revolution: RNA-guided epigenetic regulators.

    PubMed

    Vora, Suhani; Tuttle, Marcelle; Cheng, Jenny; Church, George

    2016-09-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins offer a breakthrough platform for cheap, programmable, and effective sequence-specific DNA targeting. The CRISPR-Cas system is naturally equipped for targeted DNA cutting through its native nuclease activity. As such, groups researching a broad spectrum of biological organisms have quickly adopted the technology with groundbreaking applications to genomic sequence editing in over 20 different species. However, the biological code of life is not only encoded in genetics but also in epigenetics as well. While genetic sequence editing is a powerful ability, we must also be able to edit and regulate transcriptional and epigenetic code. Taking inspiration from work on earlier sequence-specific targeting technologies such as zinc fingers (ZFs) and transcription activator-like effectors (TALEs), researchers quickly expanded the CRISPR-Cas toolbox to include transcriptional activation, repression, and epigenetic modification. In this review, we highlight advances that extend the CRISPR-Cas toolkit for transcriptional and epigenetic regulation, as well as best practice guidelines for these tools, and a perspective on future applications. © 2016 The Authors. The FEBS Journal published by John Wiley & Sons Ltd on behalf of Federation of European Biochemical Societies.

  15. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells

    PubMed Central

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-01-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)—CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications. PMID:26782639

  16. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells.

    PubMed

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-03-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications.

  17. CasA mediates Cas3-catalyzed target degradation during CRISPR RNA-guided interference.

    PubMed

    Hochstrasser, Megan L; Taylor, David W; Bhat, Prashant; Guegler, Chantal K; Sternberg, Samuel H; Nogales, Eva; Doudna, Jennifer A

    2014-05-06

    In bacteria, the clustered regularly interspaced short palindromic repeats (CRISPR)-associated (Cas) DNA-targeting complex Cascade (CRISPR-associated complex for antiviral defense) uses CRISPR RNA (crRNA) guides to bind complementary DNA targets at sites adjacent to a trinucleotide signature sequence called the protospacer adjacent motif (PAM). The Cascade complex then recruits Cas3, a nuclease-helicase that catalyzes unwinding and cleavage of foreign double-stranded DNA (dsDNA) bearing a sequence matching that of the crRNA. Cascade comprises the CasA-E proteins and one crRNA, forming a structure that binds and unwinds dsDNA to form an R loop in which the target strand of the DNA base pairs with the 32-nt RNA guide sequence. Single-particle electron microscopy reconstructions of dsDNA-bound Cascade with and without Cas3 reveal that Cascade positions the PAM-proximal end of the DNA duplex at the CasA subunit and near the site of Cas3 association. The finding that the DNA target and Cas3 colocalize with CasA implicates this subunit in a key target-validation step during DNA interference. We show biochemically that base pairing of the PAM region is unnecessary for target binding but critical for Cas3-mediated degradation. In addition, the L1 loop of CasA, previously implicated in PAM recognition, is essential for Cas3 activation following target binding by Cascade. Together, these data show that the CasA subunit of Cascade functions as an essential partner of Cas3 by recognizing DNA target sites and positioning Cas3 adjacent to the PAM to ensure cleavage.

  18. [The application of CRISPR/Cas9 genome editing technology in cancer research].

    PubMed

    Wang, Da-yong; Ma, Ning; Hui, Yang; Gao, Xu

    2016-01-01

    The CRISPR/Cas9 (clustered regularly interspaced short palindromic repeats/CRISPR-associated protein-9 nuclease) genome editing technology has become more and more popular in gene editing because of its simple design and easy operation. Using the CRISPR/Cas9 system, researchers can perform site-directed genome modification at the base level. Moreover, it has been widely used in genome editing in multiple species and related cancer research. In this review, we summarize the application of the CRISPR/Cas9 system in cancer research based on the latest research progresses as well as our understanding of cancer research and genome editing techniques.

  19. Easi-CRISPR: a robust method for one-step generation of mice carrying conditional and insertion alleles using long ssDNA donors and CRISPR ribonucleoproteins.

    PubMed

    Quadros, Rolen M; Miura, Hiromi; Harms, Donald W; Akatsuka, Hisako; Sato, Takehito; Aida, Tomomi; Redder, Ronald; Richardson, Guy P; Inagaki, Yutaka; Sakai, Daisuke; Buckley, Shannon M; Seshacharyulu, Parthasarathy; Batra, Surinder K; Behlke, Mark A; Zeiner, Sarah A; Jacobi, Ashley M; Izu, Yayoi; Thoreson, Wallace B; Urness, Lisa D; Mansour, Suzanne L; Ohtsuka, Masato; Gurumurthy, Channabasavaiah B

    2017-05-17

    Conditional knockout mice and transgenic mice expressing recombinases, reporters, and inducible transcriptional activators are key for many genetic studies and comprise over 90% of mouse models created. Conditional knockout mice are generated using labor-intensive methods of homologous recombination in embryonic stem cells and are available for only ~25% of all mouse genes. Transgenic mice generated by random genomic insertion approaches pose problems of unreliable expression, and thus there is a need for targeted-insertion models. Although CRISPR-based strategies were reported to create conditional and targeted-insertion alleles via one-step delivery of targeting components directly to zygotes, these strategies are quite inefficient. Here we describe Easi-CRISPR (Efficient additions with ssDNA inserts-CRISPR), a targeting strategy in which long single-stranded DNA donors are injected with pre-assembled crRNA + tracrRNA + Cas9 ribonucleoprotein (ctRNP) complexes into mouse zygotes. We show for over a dozen loci that Easi-CRISPR generates correctly targeted conditional and insertion alleles in 8.5-100% of the resulting live offspring. Easi-CRISPR solves the major problem of animal genome engineering, namely the inefficiency of targeted DNA cassette insertion. The approach is robust, succeeding for all tested loci. It is versatile, generating both conditional and targeted insertion alleles. Finally, it is highly efficient, as treating an average of only 50 zygotes is sufficient to produce a correctly targeted allele in up to 100% of live offspring. Thus, Easi-CRISPR offers a comprehensive means of building large-scale Cre-LoxP animal resources.

  20. Efficient CRISPR-rAAV engineering of endogenous genes to study protein function by allele-specific RNAi.

    PubMed

    Kaulich, Manuel; Lee, Yeon J; Lönn, Peter; Springer, Aaron D; Meade, Bryan R; Dowdy, Steven F

    2015-04-20

    Gene knockout strategies, RNAi and rescue experiments are all employed to study mammalian gene function. However, the disadvantages of these approaches include: loss of function adaptation, reduced viability and gene overexpression that rarely matches endogenous levels. Here, we developed an endogenous gene knockdown/rescue strategy that combines RNAi selectivity with a highly efficient CRISPR directed recombinant Adeno-Associated Virus (rAAV) mediated gene targeting approach to introduce allele-specific mutations plus an allele-selective siRNA Sensitive (siSN) site that allows for studying gene mutations while maintaining endogenous expression and regulation of the gene of interest. CRISPR/Cas9 plus rAAV targeted gene-replacement and introduction of allele-specific RNAi sensitivity mutations in the CDK2 and CDK1 genes resulted in a >85% site-specific recombination of Neo-resistant clones versus ∼8% for rAAV alone. RNAi knockdown of wild type (WT) Cdk2 with siWT in heterozygotic knockin cells resulted in the mutant Cdk2 phenotype cell cycle arrest, whereas allele specific knockdown of mutant CDK2 with siSN resulted in a wild type phenotype. Together, these observations demonstrate the ability of CRISPR plus rAAV to efficiently recombine a genomic locus and tag it with a selective siRNA sequence that allows for allele-selective phenotypic assays of the gene of interest while it remains expressed and regulated under endogenous control mechanisms. © The Author(s) 2015. Published by Oxford University Press on behalf of Nucleic Acids Research.

  1. Advanced hole patterning technology using soft spacer materials (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Park, Jong Keun; Hustad, Phillip D.; Aqad, Emad; Valeri, David; Wagner, Mike D.; Li, Mingqi

    2017-03-01

    A continuing goal in integrated circuit industry is to increase density of features within patterned masks. One pathway being used by the device manufacturers for patterning beyond the 80nm pitch limitation of 193 immersion lithography is the self-aligned spacer double patterning (SADP). Two orthogonal line space patterns with subsequent SADP can be used for contact holes multiplication. However, a combination of two immersion exposures, two spacer deposition processes, and two etch processes to reach the desired dimensions makes this process expensive and complicated. One alternative technique for contact hole multiplication is the use of an array of pillar patterns. Pillars, imaged with 193 immersion photolithography, can be uniformly deposited with spacer materials until a hole is formed in the center of 4 pillars. Selective removal of the pillar core gives a reversal of phases, a contact hole where there was once a pillar. However, the highly conformal nature of conventional spacer materials causes a problem with this application. The new holes, formed between 4 pillars, by this method have a tendency to be imperfect and not circular. To improve the contact hole circularity, this paper presents the use of both conventional spacer material and soft spacer materials. Application of soft spacer materials can be achieved by an existing coating track without additional cost burden to the device manufacturers.

  2. Sensitizing pathogens to antibiotics using the CRISPR-Cas system.

    PubMed

    Goren, Moran; Yosef, Ido; Qimron, Udi

    2017-01-01

    The extensive use of antibiotics over the last century has resulted in a significant artificial selection pressure for antibiotic-resistant pathogens to evolve. Various strategies to fight these pathogens have been introduced including new antibiotics, naturally-derived enzymes/peptides that specifically target pathogens and bacteriophages that lyse these pathogens. A new tool has recently been introduced in the fight against drug-resistant pathogens-the prokaryotic defense mechanism-clustered regularly interspaced short palindromic repeats-CRISPR associated (CRISPR-Cas) system. The CRISPR-Cas system acts as a nuclease that can be guided to cleave any target DNA, allowing sophisticated, yet feasible, manipulations of pathogens. Here, we review pioneering studies that use the CRISPR-Cas system to specifically edit bacterial populations, eliminate their resistance genes and combine these two strategies in order to produce an artificial selection pressure for antibiotic-sensitive pathogens. We suggest that intelligent design of this system, along with efficient delivery tools into pathogens, may significantly reduce the threat of antibiotic-resistant pathogens. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Establishing RNA virus resistance in plants by harnessing CRISPR immune system.

    PubMed

    Zhang, Tong; Zheng, Qiufeng; Yi, Xin; An, Hong; Zhao, Yaling; Ma, Siqi; Zhou, Guohui

    2018-01-11

    Recently, CRISPR-Cas (clustered, regularly interspaced short palindromic repeats-CRISPR-associated proteins) system has been used to produce plants resistant to DNA virus infections. However, there is no RNA virus control method in plants that uses CRISPR-Cas system to target the viral genome directly. Here, we reprogrammed the CRISPR-Cas9 system from Francisella novicida to confer molecular immunity against RNA viruses in Nicotiana benthamiana and Arabidopsis plants. Plants expressing FnCas9 and sgRNA specific for the cucumber mosaic virus (CMV) or tobacco mosaic virus (TMV) exhibited significantly attenuated virus infection symptoms and reduced viral RNA accumulation. Furthermore, in the transgenic virus-targeting plants, the resistance was inheritable and the progenies showed significantly less virus accumulation. These data reveal that the CRISPR/Cas9 system can be used to produce plant that stable resistant to RNA viruses, thereby broadening the use of such technology for virus control in agricultural field. © 2018 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  4. Optimizing Soft Tissue Management and Spacer Design in Segmental Bone Defects

    DTIC Science & Technology

    2016-12-01

    proximal and distal bone segments. 3. Debride 10 grams of tibialis anterior and gastrocnemius muscles. 4. Place an interlocking intramedullary nail ...using a custom spacer to maintain 5-cm defect length. 5. Place a pre-molded 5 cm long x 2 cm diameter PMMA spacer around the nail in the defect. 6...tibia. 3. Open the IM surrounding the PMMA spacer using a “bomb bay door opening”. 4. Remove the spacer without damaging the membrane or nail . 5

  5. Beyond editing: repurposing CRISPR-Cas9 for precision genome regulation and interrogation.

    PubMed

    Dominguez, Antonia A; Lim, Wendell A; Qi, Lei S

    2016-01-01

    The bacterial CRISPR-Cas9 system has emerged as a multifunctional platform for sequence-specific regulation of gene expression. This Review describes the development of technologies based on nuclease-deactivated Cas9, termed dCas9, for RNA-guided genomic transcription regulation, both by repression through CRISPR interference (CRISPRi) and by activation through CRISPR activation (CRISPRa). We highlight different uses in diverse organisms, including bacterial and eukaryotic cells, and summarize current applications of harnessing CRISPR-dCas9 for multiplexed, inducible gene regulation, genome-wide screens and cell fate engineering. We also provide a perspective on future developments of the technology and its applications in biomedical research and clinical studies.

  6. The CRISPR-Cas system for plant genome editing: advances and opportunities.

    PubMed

    Kumar, Vinay; Jain, Mukesh

    2015-01-01

    Genome editing is an approach in which a specific target DNA sequence of the genome is altered by adding, removing, or replacing DNA bases. Artificially engineered hybrid enzymes, zinc-finger nucleases (ZFNs), and transcription activator-like effector nucleases (TALENs), and the CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated protein) system are being used for genome editing in various organisms including plants. The CRISPR-Cas system has been developed most recently and seems to be more efficient and less time-consuming compared with ZFNs or TALENs. This system employs an RNA-guided nuclease, Cas9, to induce double-strand breaks. The Cas9-mediated breaks are repaired by cellular DNA repair mechanisms and mediate gene/genome modifications. Here, we provide a detailed overview of the CRISPR-Cas system and its adoption in different organisms, especially plants, for various applications. Important considerations and future opportunities for deployment of the CRISPR-Cas system in plants for numerous applications are also discussed. Recent investigations have revealed the implications of the CRISPR-Cas system as a promising tool for targeted genetic modifications in plants. This technology is likely to be more commonly adopted in plant functional genomics studies and crop improvement in the near future. © The Author 2014. Published by Oxford University Press on behalf of the Society for Experimental Biology. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  7. Measurements and sensitivities of LWR in poly spacers

    NASA Astrophysics Data System (ADS)

    Ayal, Guy; Shauly, Eitan; Levi, Shimon; Siany, Amit; Adan, Ofer; Shacham-Diamand, Yosi

    2010-03-01

    LER and LWR have long been considered a primary issue in process development and monitoring. Development of a low power process flavors emphasizes the effect of LER, LWR on different aspects of the device. Gate level performance, particularly leakage current at the front end of line, resistance and reliability in the back-end layers. Traditionally as can be seen in many publications, for the front end of line the focus is mainly on Poly and Active area layers. Poly spacers contribution to the gate leakage, for example, is rarely discussed. Following our research done on sources of gate leakage, we found leakage current (Ioff) in some processes to be highly sensitive to changes in the width of the Poly spacers - even more strongly to the actual Poly gate CDs. Therefore we decided to measure Poly spacers LWR, its correlation to the LWR in the poly, and its sensitivity to changes in layout and OPC. In our last year publication, we defined the terms LLER (Local Line Edge Roughness) and LLWR (Local Line Width Roughness). The local roughness is measured as the 3-sigma value of the line edge/width in a 5-nm segment around the measurement point. We will use these terms in this paper to evaluate the Poly roughness impact on Poly spacer's roughness. A dedicated test chip was designed for the experiments, having various transistors layout configurations with different densities to cover the all range of process design rules. Applied Materials LER and LWR innovative algorithms were used to measure and characterize the spacer roughness relative to the distance from the active edges and from other spaces. To accurately measure all structures in a reasonable time, the recipes were automatically generated from CAD. On silicon, after poly spacers generation, the transistors no longer resemble the Poly layer CAD layout, their morphology is different compared with Photo/Etch traditional structures , and dimensions vary significantly. In this paper we present metrology and

  8. Toward a CRISPR Picture: Use of CRISPR/Cas9 to Model Diseases in Human Stem Cells In Vitro.

    PubMed

    Freiermuth, Jamie L; Powell-Castilla, Ian J; Gallicano, G Ian

    2018-01-01

    Human induced pluripotent stem cells (iPSCs) can be differentiated into any cell in the body unlocking enormous research potential. Combined with the recent discovery of CRISPR/Cas9 endonucleases in bacteria and their modification for use in biomedical research, these methods have the potential to revolutionize the field of genetic engineering and open the door to generating in vitro models that more closely resemble the in vivo system than ever before. Use of CRISPR/Cas9 has created a whirlwind within the scientific community in the last few years, as the race to move beyond just disease analysis and toward the goal of gene and cell therapy moves further. This review will detail the CRISPR/Cas9 method and its use in stem cells as well as highlight recent studies that demonstrate its use in creating robust disease models. Finally, recent results and current controversies in the field are reviewed and lingering challenges to further development are explored. J. Cell. Biochem. 119: 62-68, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  9. CRISPR/Cas9 for Human Genome Engineering and Disease Research.

    PubMed

    Xiong, Xin; Chen, Meng; Lim, Wendell A; Zhao, Dehua; Qi, Lei S

    2016-08-31

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (Cas9) system, a versatile RNA-guided DNA targeting platform, has been revolutionizing our ability to modify, manipulate, and visualize the human genome, which greatly advances both biological research and therapeutics development. Here, we review the current development of CRISPR/Cas9 technologies for gene editing, transcription regulation, genome imaging, and epigenetic modification. We discuss the broad application of this system to the study of functional genomics, especially genome-wide genetic screening, and to therapeutics development, including establishing disease models, correcting defective genetic mutations, and treating diseases.

  10. System-level perturbations of cell metabolism using CRISPR/Cas9

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jakočiūnas, Tadas; Jensen, Michael K.; Keasling, Jay D.

    CRISPR/Cas9 (clustered regularly interspaced palindromic repeats and the associated protein Cas9) techniques have made genome engineering and transcriptional reprogramming studies much more advanced and cost-effective. For metabolic engineering purposes, the CRISPR-based tools have been applied to single and multiplex pathway modifications and transcriptional regulations. The effectiveness of these tools allows researchers to implement genome-wide perturbations, test model-guided genome editing strategies, and perform transcriptional reprogramming perturbations in a more advanced manner than previously possible. In this mini-review we highlight recent studies adopting CRISPR/Cas9 for systems-level perturbations and model-guided metabolic engineering.

  11. CRISPR/Cas9-mediated targeted mutagenesis in grape

    PubMed Central

    Ban, Yusuke; Azuma, Akifumi; Onoue, Noriyuki; Moriguchi, Takaya; Yamamoto, Toshiya; Toki, Seiichi

    2017-01-01

    RNA-guided genome editing using the CRISPR/Cas9 CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR-associated protein 9) system has been applied successfully in several plant species. However, to date, there are few reports on the use of any of the current genome editing approaches in grape—an important fruit crop with a large market not only for table grapes but also for wine. Here, we report successful targeted mutagenesis in grape (Vitis vinifera L., cv. Neo Muscat) using the CRISPR/Cas9 system. When a Cas9 expression construct was transformed to embryonic calli along with a synthetic sgRNA expression construct targeting the Vitis vinifera phytoene desaturase (VvPDS) gene, regenerated plants with albino leaves were obtained. DNA sequencing confirmed that the VvPDS gene was mutated at the target site in regenerated grape plants. Interestingly, the ratio of mutated cells was higher in lower, older, leaves compared to that in newly appearing upper leaves. This result might suggest either that the proportion of targeted mutagenized cells is higher in older leaves due to the repeated induction of DNA double strand breaks (DSBs), or that the efficiency of precise DSBs repair in cells of old grape leaves is decreased. PMID:28542349

  12. Applications of the CRISPR-Cas9 system in kidney research.

    PubMed

    Higashijima, Yoshiki; Hirano, Seiichi; Nangaku, Masaomi; Nureki, Osamu

    2017-08-01

    The recently discovered clustered regularly interspaced short palindromic repeat (CRISPR)-CRISPR-associated protein 9 (Cas9) is an RNA-guided DNA nuclease, and has been harnessed for the development of simple, efficient, and relatively inexpensive technologies to precisely manipulate the genomic information in virtually all cell types and organisms. The CRIPSR-Cas9 systems have already been effectively used to disrupt multiple genes simultaneously, create conditional alleles, and generate reporter proteins, even in vivo. The ability of Cas9 to target a specific genomic region has also been exploited for various applications, such as transcriptional regulation, epigenetic control, and chromosome labeling. Here we first describe the molecular mechanism of the RNA-guided DNA targeting by the CRISPR-Cas9 system and then outline the current applications of this system as a genome-editing tool in mice and other species, to better model and study human diseases. We also discuss the practical and potential uses of the CRISPR-Cas9 system in kidney research and highlight the further applications of this technology beyond genome editing. Undoubtedly, the CRISPR-Cas9 system holds enormous potential for revolutionizing and accelerating kidney research and therapeutic applications in the future. Copyright © 2017 International Society of Nephrology. Published by Elsevier Inc. All rights reserved.

  13. Gene editing by CRISPR/Cas9 in the obligatory outcrossing Medicago sativa.

    PubMed

    Gao, Ruimin; Feyissa, Biruk A; Croft, Mana; Hannoufa, Abdelali

    2018-04-01

    The CRISPR/Cas9 technique was successfully used to edit the genome of the obligatory outcrossing plant species Medicago sativa L. (alfalfa). RNA-guided genome engineering using Clustered Regularly Interspersed Short Palindromic Repeats (CRISPR)/Cas9 technology enables a variety of applications in plants. Successful application and validation of the CRISPR technique in a multiplex genome, such as that of M. sativa (alfalfa) will ultimately lead to major advances in the improvement of this crop. We used CRISPR/Cas9 technique to mutate squamosa promoter binding protein like 9 (SPL9) gene in alfalfa. Because of the complex features of the alfalfa genome, we first used droplet digital PCR (ddPCR) for high-throughput screening of large populations of CRISPR-modified plants. Based on the results of genome editing rates obtained from the ddPCR screening, plants with relatively high rates were subjected to further analysis by restriction enzyme digestion/PCR amplification analyses. PCR products encompassing the respective small guided RNA target locus were then sub-cloned and sequenced to verify genome editing. In summary, we successfully applied the CRISPR/Cas9 technique to edit the SPL9 gene in a multiplex genome, providing some insights into opportunities to apply this technology in future alfalfa breeding. The overall efficiency in the polyploid alfalfa genome was lower compared to other less-complex plant genomes. Further refinement of the CRISPR technology system will thus be required for more efficient genome editing in this plant.

  14. The discovery and development of the CRISPR system in applications in genome manipulation.

    PubMed

    Lau, Veronica; Davie, James R

    2017-04-01

    The clustered regularly interspaced short palindromic repeat (CRISPR) associated 9 (Cas9) system is a microbial adaptive immune system that has been recently developed for genomic engineering. From the moment the CRISPR system was discovered in Escherichia coli, the drive to understand the mechanism prevailed, leading to rapid advancement in the knowledge and applications of the CRISPR system. With the ability to characterize and understand the function of the Cas9 endonuclease came the ability to adapt the CRISPR-Cas9 system for use in a variety of applications and disciplines ranging from agriculture to biomedicine. This review will provide a brief overview of the discovery and development of the CRISPR-Cas9 system in applications such as genome regulation and epigenome engineering, as well as the challenges faced.

  15. Genome editing: the road of CRISPR/Cas9 from bench to clinic

    PubMed Central

    Eid, Ayman; Mahfouz, Magdy M

    2016-01-01

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy. PMID:27741224

  16. Genome editing: the road of CRISPR/Cas9 from bench to clinic.

    PubMed

    Eid, Ayman; Mahfouz, Magdy M

    2016-10-14

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy.

  17. The physicist's guide to one of biotechnology's hottest new topics: CRISPR-Cas.

    PubMed

    Bonomo, Melia E; Deem, Michael W

    2018-04-30

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated proteins (Cas) constitute a multi-functional, constantly evolving immune system in bacteria and archaea cells. A heritable, molecular memory is generated of phage, plasmids, or other mobile genetic elements that attempt to attack the cell. This memory is used to recognize and interfere with subsequent invasions from the same genetic elements. This versatile prokaryotic tool has also been used to advance applications in biotechnology. Here we review a large body of CRISPR-Cas research to explore themes of evolution and selection, population dynamics, horizontal gene transfer, specific and cross-reactive interactions, cost and regulation, non-immunological CRISPR functions that boost host cell robustness, as well as applicable mechanisms for efficient and specific genetic engineering. We offer future directions that can be addressed by the physics community. Physical understanding of the CRISPR-Cas system will advance uses in biotechnology, such as developing cell lines and animal models, cell labeling and information storage, combatting antibiotic resistance, and human therapeutics.

  18. CRISPR system for genome engineering: the application for autophagy study.

    PubMed

    Cui, Jianzhou; Chew, Shirley Jia Li; Shi, Yin; Gong, Zhiyuan; Shen, Han-Ming

    2017-05-01

    CRISPR/Cas9 is the latest tool introduced in the field of genome engineering and is so far the best genome-editing tool as compared to its precedents such as, meganucleases, zinc finger nucleases (ZFNs) and transcription activator-like effectors (TALENs). The simple design and assembly of the CRISPR/Cas9 system makes genome editing easy to perform as it uses small guide RNAs that correspond to their DNA targets for high efficiency editing. This has helped open the doors for multiplexible genome targeting in many species that were intractable using old genetic perturbation techniques. Currently, The CRISPR system is revolutionizing the way biological researches are conducted and paves a bright future not only in research but also in medicine and biotechnology. In this review, we evaluated the history, types and structure, the mechanism of action of CRISPR/Cas System. In particular, we focused on the application of this powerful tool in autophagy research. [BMB Reports 2017; 50(5): 247-256].

  19. Oncogenic Human Papillomavirus: Application of CRISPR/Cas9 Therapeutic Strategies for Cervical Cancer.

    PubMed

    Zhen, Shuai; Li, Xu

    2017-01-01

    Oncogenic human papillomaviruses (HPVs) cause different types of cancer especially cervical cancer. HPV-associated carcinogenesis provides a classical model system for clustered regularly interspaced short palindromic repeats (CRISPR/Cas9) based cancer therapies since the viral oncogenes E6 and E7 are exclusively expressed in cancerous cells. Sequence-specific gene knockdown/knockout using CRISPR/Cas9 shows promise as a novel therapeutic approach for the treatment of a variety of diseases that currently lack effective treatments. However, CRISPR/Cas9-based targeting therapy requires further validation of its efficacy in vitro and in vivo to eliminate the potential off-target effects, necessitates verification of the delivery vehicles and the combinatory use of conventional therapies with CRISPR/Cas9 to ensure the feasibility and safety. In this review we discuss the potential of combining CRISPR/Cas9 with other treatment options as therapies for oncogenic HPVs-associated carcinogenesis. and present our assessment of the promising path to the development of CRISPR/Cas9 therapeutic strategies for clinical settings. © 2017 The Author(s). Published by S. Karger AG, Basel.

  20. Harnessing the native type I-B CRISPR-Cas for genome editing in a polyploid archaeon.

    PubMed

    Cheng, Feiyue; Gong, Luyao; Zhao, Dahe; Yang, Haibo; Zhou, Jian; Li, Ming; Xiang, Hua

    2017-11-20

    Research on CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated protein) systems has led to the revolutionary CRISPR/Cas9 genome editing technique. However, for most archaea and half of bacteria, exploitation of their native CRISPR-Cas machineries may be more straightforward and convenient. In this study, we harnessed the native type I-B CRISPR-Cas system for precise genome editing in the polyploid haloarchaeon Haloarcula hispanica. After testing different designs, the editing tool was optimized to be a single plasmid that carries both the self-targeting mini-CRISPR and a 600-800 bp donor. Significantly, chromosomal modifications, such as gene deletion, gene tagging or single nucleotide substitution, were precisely introduced into the vast majority of the transformants. Moreover, we showed that simultaneous editing of two genomic loci could also be readily achieved by one step. In summary, our data demonstrate that the haloarchaeal CRISPR-Cas system can be harnessed for genome editing in this polyploid archaeon, and highlight the convenience and efficiency of the native CRISPR-based genome editing strategy. Copyright © 2017 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  1. CRISPR/Cas-Mediated Knockin in Human Pluripotent Stem Cells.

    PubMed

    Verma, Nipun; Zhu, Zengrong; Huangfu, Danwei

    2017-01-01

    Fluorescent reporter and epitope-tagged human pluripotent stem cells (hPSCs) greatly facilitate studies on the pluripotency and differentiation characteristics of these cells. Unfortunately traditional procedures to generate such lines are hampered by a low targeting efficiency that necessitates a lengthy process of selection followed by the removal of the selection cassette. Here we describe a procedure to generate fluorescent reporter and epitope tagged hPSCs in an efficient one-step process using the CRISPR/Cas technology. Although the method described uses our recently developed iCRISPR platform, the protocols can be adapted for general use with CRISPR/Cas or other engineered nucleases. The transfection procedures described could also be used for additional applications, such as overexpression or lineage tracing studies.

  2. CRISPR-Cas adaptation: insights into the mechanism of action.

    PubMed

    Amitai, Gil; Sorek, Rotem

    2016-02-01

    Since the first demonstration that CRISPR-Cas systems provide bacteria and archaea with adaptive immunity against phages and plasmids, numerous studies have yielded key insights into the molecular mechanisms governing how these systems attack and degrade foreign DNA. However, the molecular mechanisms underlying the adaptation stage, in which new immunological memory is formed, have until recently represented a major unresolved question. In this Progress article, we discuss recent discoveries that have shown both how foreign DNA is identified by the CRISPR-Cas adaptation machinery and the molecular basis for its integration into the chromosome to form an immunological memory. Furthermore, we describe the roles of each of the specific CRISPR-Cas components that are involved in memory formation, and consider current models for their evolutionary origin.

  3. Orthognathic model surgery with LEGO key-spacer.

    PubMed

    Tsang, Alfred Chee-Ching; Lee, Alfred Siu Hong; Li, Wai Keung

    2013-12-01

    A new technique of model surgery using LEGO plates as key-spacers is described. This technique requires less time to set up compared with the conventional plaster model method. It also retains the preoperative setup with the same set of models. Movement of the segments can be measured and examined in detail with LEGO key-spacers. Copyright © 2013 American Association of Oral and Maxillofacial Surgeons. Published by Elsevier Inc. All rights reserved.

  4. Primary Airway Epithelial Cell Gene Editing Using CRISPR-Cas9.

    PubMed

    Everman, Jamie L; Rios, Cydney; Seibold, Max A

    2018-01-01

    The adaptation of the clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR associated endonuclease 9 (CRISPR-Cas9) machinery from prokaryotic organisms has resulted in a gene editing system that is highly versatile, easily constructed, and can be leveraged to generate human cells knocked out (KO) for a specific gene. While standard transfection techniques can be used for the introduction of CRISPR-Cas9 expression cassettes to many cell types, delivery by this method is not efficient in many primary cell types, including primary human airway epithelial cells (AECs). More efficient delivery in AECs can be achieved through lentiviral-mediated transduction, allowing the CRISPR-Cas9 system to be integrated into the genome of the cell, resulting in stable expression of the nuclease machinery and increasing editing rates. In parallel, advancements have been made in the culture, expansion, selection, and differentiation of AECs, which allow the robust generation of a bulk edited AEC population from transduced cells. Applying these methods, we detail here our latest protocol to generate mucociliary epithelial cultures knocked out for a specific gene from donor-isolated primary human basal airway epithelial cells. This protocol includes methods to: (1) design and generate lentivirus which targets a specific gene for KO with CRISPR-Cas9 machinery, (2) efficiently transduce AECs, (3) culture and select for a bulk edited AEC population, (4) molecularly screen AECs for Cas9 cutting and specific sequence edits, and (5) further expand and differentiate edited cells to a mucociliary airway epithelial culture. The AEC knockouts generated using this protocol provide an excellent primary cell model system with which to characterize the function of genes involved in airway dysfunction and disease.

  5. CRISPR therapeutic tools for complex genetic disorders and cancer (Review)

    PubMed Central

    Baliou, Stella; Adamaki, Maria; Kyriakopoulos, Anthony M.; Spandidos, Demetrios A.; Panayiotidis, Mihalis; Christodoulou, Ioannis; Zoumpourlis, Vassilis

    2018-01-01

    One of the fundamental discoveries in the field of biology is the ability to modulate the genome and to monitor the functional outputs derived from genomic alterations. In order to unravel new therapeutic options, scientists had initially focused on inducing genetic alterations in primary cells, in established cancer cell lines and mouse models using either RNA interference or cDNA overexpression or various programmable nucleases [zinc finger nucleases (ZNF), transcription activator-like effector nucleases (TALEN)]. Even though a huge volume of data was produced, its use was neither cheap nor accurate. Therefore, the clustered regularly interspaced short palindromic repeats (CRISPR) system was evidenced to be the next step in genome engineering tools. CRISPR-associated protein 9 (Cas9)-mediated genetic perturbation is simple, precise and highly efficient, empowering researchers to apply this method to immortalized cancerous cell lines, primary cells derived from mouse and human origins, xenografts, induced pluripotent stem cells, organoid cultures, as well as the generation of genetically engineered animal models. In this review, we assess the development of the CRISPR system and its therapeutic applications to a wide range of complex diseases (particularly distinct tumors), aiming at personalized therapy. Special emphasis is given to organoids and CRISPR screens in the design of innovative therapeutic approaches. Overall, the CRISPR system is regarded as an eminent genome engineering tool in therapeutics. We envision a new era in cancer biology during which the CRISPR-based genome engineering toolbox will serve as the fundamental conduit between the bench and the bedside; nonetheless, certain obstacles need to be addressed, such as the eradication of side-effects, maximization of efficiency, the assurance of delivery and the elimination of immunogenicity. PMID:29901119

  6. No evidence of inhibition of horizontal gene transfer by CRISPR-Cas on evolutionary timescales.

    PubMed

    Gophna, Uri; Kristensen, David M; Wolf, Yuri I; Popa, Ovidiu; Drevet, Christine; Koonin, Eugene V

    2015-09-01

    The CRISPR (clustered, regularly, interspaced, short, palindromic repeats)-Cas (CRISPR-associated genes) systems of archaea and bacteria provide adaptive immunity against viruses and other selfish elements and are believed to curtail horizontal gene transfer (HGT). Limiting acquisition of new genetic material could be one of the sources of the fitness cost of CRISPR-Cas maintenance and one of the causes of the patchy distribution of CRISPR-Cas among bacteria, and across environments. We sought to test the hypothesis that the activity of CRISPR-Cas in microbes is negatively correlated with the extent of recent HGT. Using three independent measures of HGT, we found no significant dependence between the length of CRISPR arrays, which reflects the activity of the immune system, and the estimated number of recent HGT events. In contrast, we observed a significant negative dependence between the estimated extent of HGT and growth temperature of microbes, which could be explained by the lower genetic diversity in hotter environments. We hypothesize that the relevant events in the evolution of resistance to mobile elements and proclivity for HGT, to which CRISPR-Cas systems seem to substantially contribute, occur on the population scale rather than on the timescale of species evolution.

  7. CRISPR/Cas9: A Practical Approach in Date Palm Genome Editing

    PubMed Central

    Sattar, Muhammad N.; Iqbal, Zafar; Tahir, Muhammad N.; Shahid, Muhammad S.; Khurshid, Muhammad; Al-Khateeb, Abdullatif A.; Al-Khateeb, Suliman A.

    2017-01-01

    The genetic modifications through breeding of crop plants have long been used to improve the yield and quality. However, precise genome editing (GE) could be a very useful supplementary tool for improvement of crop plants by targeted genome modifications. Various GE techniques including ZFNs (zinc finger nucleases), TALENs (transcription activator-like effector nucleases), and most recently clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 (CRISPR-associated protein 9)-based approaches have been successfully employed for various crop plants including fruit trees. CRISPR/Cas9-based approaches hold great potential in GE due to their simplicity, competency, and versatility over other GE techniques. However, to the best of our knowledge no such genetic improvement has ever been developed in date palm—an important fruit crop in Oasis agriculture. The applications of CRISPR/Cas9 can be a challenging task in date palm GE due to its large and complex genome, high rate of heterozygosity and outcrossing, in vitro regeneration and screening of mutants, high frequency of single-nucleotide polymorphism in the genome and ultimately genetic instability. In this review, we addressed the potential application of CRISPR/Cas9-based approaches in date palm GE to improve the sustainable date palm production. The availability of the date palm whole genome sequence has made it feasible to use CRISPR/Cas9 GE approach for genetic improvement in this species. Moreover, the future prospects of GE application in date palm are also addressed in this review. PMID:28878801

  8. CRISPR/Cas9: A Practical Approach in Date Palm Genome Editing.

    PubMed

    Sattar, Muhammad N; Iqbal, Zafar; Tahir, Muhammad N; Shahid, Muhammad S; Khurshid, Muhammad; Al-Khateeb, Abdullatif A; Al-Khateeb, Suliman A

    2017-01-01

    The genetic modifications through breeding of crop plants have long been used to improve the yield and quality. However, precise genome editing (GE) could be a very useful supplementary tool for improvement of crop plants by targeted genome modifications. Various GE techniques including ZFNs (zinc finger nucleases), TALENs (transcription activator-like effector nucleases), and most recently clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 (CRISPR-associated protein 9)-based approaches have been successfully employed for various crop plants including fruit trees. CRISPR/Cas9-based approaches hold great potential in GE due to their simplicity, competency, and versatility over other GE techniques. However, to the best of our knowledge no such genetic improvement has ever been developed in date palm-an important fruit crop in Oasis agriculture. The applications of CRISPR/Cas9 can be a challenging task in date palm GE due to its large and complex genome, high rate of heterozygosity and outcrossing, in vitro regeneration and screening of mutants, high frequency of single-nucleotide polymorphism in the genome and ultimately genetic instability. In this review, we addressed the potential application of CRISPR/Cas9-based approaches in date palm GE to improve the sustainable date palm production. The availability of the date palm whole genome sequence has made it feasible to use CRISPR/Cas9 GE approach for genetic improvement in this species. Moreover, the future prospects of GE application in date palm are also addressed in this review.

  9. The use of CRISPR/Cas associated technologies for cell transplant applications.

    PubMed

    Cowan, Peter J

    2016-10-01

    In this review, I will summarize recent developments in the use of the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (Cas9) genome editing system for cell transplant applications, ranging from transplantation of corrected autologous patient stem cells to treat inherited diseases, to the tailoring of donor pigs for cell xenotransplantation. Rational engineering of the Cas9 nuclease to improve its specificity will also be discussed. Over the past year, CRISPR/Cas9 has been used in preclinical studies to correct mutations in a rapidly increasing spectrum of diseases including hematological, neuromuscular, and respiratory disorders. The growing popularity of CRISPR/Cas9 over earlier genome editing platforms is partly due to its ease of use and flexibility, which is evident from the success of complex manipulations such as specific deletion of up to 725 kb in patient-derived stem cells, and simultaneous disruption of up to 62 endogenous retrovirus loci in pig cells. In addition, high-fidelity variants of Cas9 with greatly increased specificity are now available. CRISPR/Cas9 is a fast-evolving technology that is likely to have a significant impact on autologous, allogeneic, and xenogeneic cell transplantation.

  10. Unlocking the potential of CRISPR technology for improving livelihoods in Africa.

    PubMed

    Mudziwapasi, Reagan; Ndudzo, Abigarl; Nyamusamba, Rutendo Patricia; Jomane, Fortune Ntengwa; Mutengwa, Tendai Trudor; Maphosa, Mcebisi

    2018-06-11

    Africa is burdened with food shortages and plant, animal and human diseases. Some of these can be ameliorated by adopting genome editing technologies such as CRISPR. This technology is considered better than its predecessors, Zinc-finger nucleases (ZFNs) and transcription activator-like effector nucleases (TALENs), because it is cheaper, easy to use, has high gene modification efficiency and is less time consuming. CRISPR technology has wide applications in the African context ranging from crop and animal improvement to disease diagnosis and treatment as well as improving food shelf life, organoleptic properties and food safety. It has the potential to bring back species of organisms that are extinct. However, some African countries have not taken advantage of the potential of CRISPR to solve many of their problems. This paper explores possible applications of CRISPR towards improvement of African livelihoods.

  11. Current and future prospects for CRISPR-based tools in bacteria

    PubMed Central

    Luo, Michelle L.; Leenay, Ryan T.; Beisel, Chase L.

    2015-01-01

    CRISPR-Cas systems have rapidly transitioned from intriguing prokaryotic defense systems to powerful and versatile biomolecular tools. This article reviews how these systems have been translated into technologies to manipulate bacterial genetics, physiology, and communities. Recent applications in bacteria have centered on multiplexed genome editing, programmable gene regulation, and sequence-specific antimicrobials, while future applications can build on advances in eukaryotes, the rich natural diversity of CRISPR-Cas systems, and the untapped potential of CRISPR-based DNA acquisition. Overall, these systems have formed the basis of an ever-expanding genetic toolbox and hold tremendous potential for our future understanding and engineering of the bacterial world. PMID:26460902

  12. Current and future prospects for CRISPR-based tools in bacteria.

    PubMed

    Luo, Michelle L; Leenay, Ryan T; Beisel, Chase L

    2016-05-01

    CRISPR-Cas systems have rapidly transitioned from intriguing prokaryotic defense systems to powerful and versatile biomolecular tools. This article reviews how these systems have been translated into technologies to manipulate bacterial genetics, physiology, and communities. Recent applications in bacteria have centered on multiplexed genome editing, programmable gene regulation, and sequence-specific antimicrobials, while future applications can build on advances in eukaryotes, the rich natural diversity of CRISPR-Cas systems, and the untapped potential of CRISPR-based DNA acquisition. Overall, these systems have formed the basis of an ever-expanding genetic toolbox and hold tremendous potential for our future understanding and engineering of the bacterial world. © 2015 Wiley Periodicals, Inc.

  13. Benchmarking CRISPR on-target sgRNA design.

    PubMed

    Yan, Jifang; Chuai, Guohui; Zhou, Chi; Zhu, Chenyu; Yang, Jing; Zhang, Chao; Gu, Feng; Xu, Han; Wei, Jia; Liu, Qi

    2017-02-15

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-based gene editing has been widely implemented in various cell types and organisms. A major challenge in the effective application of the CRISPR system is the need to design highly efficient single-guide RNA (sgRNA) with minimal off-target cleavage. Several tools are available for sgRNA design, while limited tools were compared. In our opinion, benchmarking the performance of the available tools and indicating their applicable scenarios are important issues. Moreover, whether the reported sgRNA design rules are reproducible across different sgRNA libraries, cell types and organisms remains unclear. In our study, a systematic and unbiased benchmark of the sgRNA predicting efficacy was performed on nine representative on-target design tools, based on six benchmark data sets covering five different cell types. The benchmark study presented here provides novel quantitative insights into the available CRISPR tools. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  14. Hydrogel Spacer Prospective Multicenter Randomized Controlled Pivotal Trial: Dosimetric and Clinical Effects of Perirectal Spacer Application in Men Undergoing Prostate Image Guided Intensity Modulated Radiation Therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mariados, Neil, E-mail: nmariados@ampofny.com; Sylvester, John; Shah, Dhiren

    2015-08-01

    Purpose: Perirectal spacing, whereby biomaterials are placed between the prostate and rectum, shows promise in reducing rectal dose during prostate cancer radiation therapy. A prospective multicenter randomized controlled pivotal trial was performed to assess outcomes following absorbable spacer (SpaceOAR system) implantation. Methods and Materials: Overall, 222 patients with clinical stage T1 or T2 prostate cancer underwent computed tomography (CT) and magnetic resonance imaging (MRI) scans for treatment planning, followed with fiducial marker placement, and were randomized to receive spacer injection or no injection (control). Patients received postprocedure CT and MRI planning scans and underwent image guided intensity modulated radiation therapymore » (79.2 Gy in 1.8-Gy fractions). Spacer safety and impact on rectal irradiation, toxicity, and quality of life were assessed throughout 15 months. Results: Spacer application was rated as “easy” or “very easy” 98.7% of the time, with a 99% hydrogel placement success rate. Perirectal spaces were 12.6 ± 3.9 mm and 1.6 ± 2.0 mm in the spacer and control groups, respectively. There were no device-related adverse events, rectal perforations, serious bleeding, or infections within either group. Pre-to postspacer plans had a significant reduction in mean rectal V70 (12.4% to 3.3%, P<.0001). Overall acute rectal adverse event rates were similar between groups, with fewer spacer patients experiencing rectal pain (P=.02). A significant reduction in late (3-15 months) rectal toxicity severity in the spacer group was observed (P=.04), with a 2.0% and 7.0% late rectal toxicity incidence in the spacer and control groups, respectively. There was no late rectal toxicity greater than grade 1 in the spacer group. At 15 months 11.6% and 21.4% of spacer and control patients, respectively, experienced 10-point declines in bowel quality of life. MRI scans at 12 months verified spacer absorption. Conclusions: Spacer

  15. Hydrogel Spacer Prospective Multicenter Randomized Controlled Pivotal Trial: Dosimetric and Clinical Effects of Perirectal Spacer Application in Men Undergoing Prostate Image Guided Intensity Modulated Radiation Therapy.

    PubMed

    Mariados, Neil; Sylvester, John; Shah, Dhiren; Karsh, Lawrence; Hudes, Richard; Beyer, David; Kurtzman, Steven; Bogart, Jeffrey; Hsi, R Alex; Kos, Michael; Ellis, Rodney; Logsdon, Mark; Zimberg, Shawn; Forsythe, Kevin; Zhang, Hong; Soffen, Edward; Francke, Patrick; Mantz, Constantine; Rossi, Peter; DeWeese, Theodore; Hamstra, Daniel A; Bosch, Walter; Gay, Hiram; Michalski, Jeff

    2015-08-01

    Perirectal spacing, whereby biomaterials are placed between the prostate and rectum, shows promise in reducing rectal dose during prostate cancer radiation therapy. A prospective multicenter randomized controlled pivotal trial was performed to assess outcomes following absorbable spacer (SpaceOAR system) implantation. Overall, 222 patients with clinical stage T1 or T2 prostate cancer underwent computed tomography (CT) and magnetic resonance imaging (MRI) scans for treatment planning, followed with fiducial marker placement, and were randomized to receive spacer injection or no injection (control). Patients received postprocedure CT and MRI planning scans and underwent image guided intensity modulated radiation therapy (79.2 Gy in 1.8-Gy fractions). Spacer safety and impact on rectal irradiation, toxicity, and quality of life were assessed throughout 15 months. Spacer application was rated as "easy" or "very easy" 98.7% of the time, with a 99% hydrogel placement success rate. Perirectal spaces were 12.6 ± 3.9 mm and 1.6 ± 2.0 mm in the spacer and control groups, respectively. There were no device-related adverse events, rectal perforations, serious bleeding, or infections within either group. Pre-to postspacer plans had a significant reduction in mean rectal V70 (12.4% to 3.3%, P<.0001). Overall acute rectal adverse event rates were similar between groups, with fewer spacer patients experiencing rectal pain (P=.02). A significant reduction in late (3-15 months) rectal toxicity severity in the spacer group was observed (P=.04), with a 2.0% and 7.0% late rectal toxicity incidence in the spacer and control groups, respectively. There was no late rectal toxicity greater than grade 1 in the spacer group. At 15 months 11.6% and 21.4% of spacer and control patients, respectively, experienced 10-point declines in bowel quality of life. MRI scans at 12 months verified spacer absorption. Spacer application was well tolerated. Increased perirectal space reduced

  16. CRISPR-Based Technologies and the Future of Food Science.

    PubMed

    Selle, Kurt; Barrangou, Rodolphe

    2015-11-01

    The on-going CRISPR craze is focused on the use of Cas9-based technologies for genome editing applications in eukaryotes, with high potential for translational medicine and next-generation gene therapy. Nevertheless, CRISPR-Cas systems actually provide adaptive immunity in bacteria, and have much promise for various applications in food bacteria that include high-resolution typing of pathogens, vaccination of starter cultures against phages, and the genesis of programmable and specific antibiotics that can selectively modulate bacterial population composition. Indeed, the molecular machinery from these DNA-encoded, RNA-mediated, DNA-targeting systems can be harnessed in native hosts, or repurposed in engineered systems for a plethora of applications that can be implemented in all organisms relevant to the food chain, including agricultural crops trait-enhancement, livestock breeding, and fermentation-based manufacturing, and for the genesis of next-generation food products with enhanced quality and health-promoting functionalities. CRISPR-based applications are now poised to revolutionize many fields within food science, from farm to fork. In this review, we describe CRISPR-Cas systems and highlight their potential for the development of enhanced foods. © 2015 Institute of Food Technologists®

  17. Discovery of "Escherichia coli" CRISPR Sequences in an Undergraduate Laboratory

    ERIC Educational Resources Information Center

    Militello, Kevin T.; Lazatin, Justine C.

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPRs) represent a novel type of adaptive immune system found in eubacteria and archaebacteria. CRISPRs have recently generated a lot of attention due to their unique ability to catalog foreign nucleic acids, their ability to destroy foreign nucleic acids in a mechanism that shares some…

  18. Advancing Metabolic Engineering of Saccharomyces cerevisiae Using the CRISPR/Cas System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lian, Jiazhang; HamediRad, Mohammad; Zhao, Huimin

    Thanks to its ease of use, modularity, and scalability, the clustered regularly interspaced short palindromic repeats (CRISPR) system has been increasingly used in the design and engineering of Saccharomyces cerevisiae, one of the most popular hosts for industrial biotechnology. This review summarizes the recent development of this disruptive technology for metabolic engineering applications, including CRISPR-mediated gene knock-out and knock-in as well as transcriptional activation and interference. More importantly, multi-functional CRISPR systems that combine both gain- and loss-of-function modulations for combinatorial metabolic engineering are highlighted.

  19. Advancing Metabolic Engineering of Saccharomyces cerevisiae Using the CRISPR/Cas System

    DOE PAGES

    Lian, Jiazhang; HamediRad, Mohammad; Zhao, Huimin

    2018-04-18

    Thanks to its ease of use, modularity, and scalability, the clustered regularly interspaced short palindromic repeats (CRISPR) system has been increasingly used in the design and engineering of Saccharomyces cerevisiae, one of the most popular hosts for industrial biotechnology. This review summarizes the recent development of this disruptive technology for metabolic engineering applications, including CRISPR-mediated gene knock-out and knock-in as well as transcriptional activation and interference. More importantly, multi-functional CRISPR systems that combine both gain- and loss-of-function modulations for combinatorial metabolic engineering are highlighted.

  20. The Future of CRISPR Applications in the Lab, the Clinic and Society.

    PubMed

    Hough, Soren H; Ajetunmobi, Ayokunmi

    2017-01-01

    CRISPR (clustered regularly interspaced short palindromic repeats) has emerged as one of the premiere biological tools of the century. Even more so than older genome editing techniques such as TALENs and ZFNs, CRISPR provides speed and ease-of-use heretofore unheard of in agriculture, the environment and human health. The ability to map the function of virtually every component of the genome in a scalable, multiplexed manner is unprecedented. Once those regions have been explored, CRISPR also presents an opportunity to take advantage of endogenous cellular repair pathways to change and precisely edit the genome [1-3]. In the case of human health, CRISPR operates as both a tool of discovery and a solution to fundamental problems behind disease and undesirable mutations.

  1. History of CRISPR-Cas from Encounter with a Mysterious Repeated Sequence to Genome Editing Technology.

    PubMed

    Ishino, Yoshizumi; Krupovic, Mart; Forterre, Patrick

    2018-04-01

    Clustered regularly interspaced short palindromic repeat (CRISPR)-Cas systems are well-known acquired immunity systems that are widespread in archaea and bacteria. The RNA-guided nucleases from CRISPR-Cas systems are currently regarded as the most reliable tools for genome editing and engineering. The first hint of their existence came in 1987, when an unusual repetitive DNA sequence, which subsequently was defined as a CRISPR, was discovered in the Escherichia coli genome during an analysis of genes involved in phosphate metabolism. Similar sequence patterns were then reported in a range of other bacteria as well as in halophilic archaea, suggesting an important role for such evolutionarily conserved clusters of repeated sequences. A critical step toward functional characterization of the CRISPR-Cas systems was the recognition of a link between CRISPRs and the associated Cas proteins, which were initially hypothesized to be involved in DNA repair in hyperthermophilic archaea. Comparative genomics, structural biology, and advanced biochemistry could then work hand in hand, not only culminating in the explosion of genome editing tools based on CRISPR-Cas9 and other class II CRISPR-Cas systems but also providing insights into the origin and evolution of this system from mobile genetic elements denoted casposons. To celebrate the 30th anniversary of the discovery of CRISPR, this minireview briefly discusses the fascinating history of CRISPR-Cas systems, from the original observation of an enigmatic sequence in E. coli to genome editing in humans. Copyright © 2018 American Society for Microbiology.

  2. Outcomes of Articulating Spacers With Autoclaved Femoral Components in Total Knee Arthroplasty Infection.

    PubMed

    Goltz, Daniel E; Sutter, E Grant; Bolognesi, Michael P; Wellman, Samuel S

    2018-03-30

    In 2-stage revision of total knee arthroplasty (TKA) infection, articulating antibiotic spacers show similar eradication rates and superior range of motion compared with static spacers. This study evaluated infection control and other outcomes in articulating spacers with an autoclaved index femoral component. We reviewed 59 patients who underwent 2-stage treatment of TKA infection using articulating antibiotic spacers with an autoclaved femoral component with at least 2-year follow-up (mean: 5.0 years) from spacer placement. Reinfection was defined as any subsequent infection; recurrence was defined as reinfection with the same organism, need for chronic antibiotics, or conversion directly to amputation/arthrodesis. Nine patients (15%) experienced a recurrence and 22 patients (37%) experienced a reinfection. Incidence of diabetes mellitus was significantly higher in patients who became reinfected. Other comorbidities, revision history, prior spacer, or presence of virulent organisms did not predict infection recurrence. Forty-seven spacers underwent reimplantation, 6 (13%) of these went on to above-knee amputation, 6 (13%) received another 2-stage procedure, and 3 (6%) underwent subsequent irrigation and debridement. Three patients (5%) proceeded directly from spacer to above-knee amputation (2) or arthrodesis (1). Nine spacers (15%) in 7 patients were retained indefinitely (mean: 3.4 years), with overall good motion and function. Accounting for methodology, articulating spacers with autoclaved femoral components provide similar infection control to previous reports. Most patients with reinfection grew different organisms compared with initial infection, suggesting that some subsequent infections may be host related. Some patients retained spacers definitively with overall good patient satisfaction. Copyright © 2018 Elsevier Inc. All rights reserved.

  3. Permanent alteration of PCSK9 with in vivo CRISPR-Cas9 genome editing.

    PubMed

    Ding, Qiurong; Strong, Alanna; Patel, Kevin M; Ng, Sze-Ling; Gosis, Bridget S; Regan, Stephanie N; Cowan, Chad A; Rader, Daniel J; Musunuru, Kiran

    2014-08-15

    Individuals with naturally occurring loss-of-function proprotein convertase subtilisin/kexin type 9 (PCSK9) mutations experience reduced low-density lipoprotein cholesterol levels and protection against cardiovascular disease. The goal of this study was to assess whether genome editing using a clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated system can efficiently introduce loss-of-function mutations into the endogenous PCSK9 gene in vivo. We used adenovirus to express CRISPR-associated 9 and a CRISPR guide RNA targeting Pcsk9 in mouse liver, where the gene is specifically expressed. We found that <3 to 4 days of administration of the virus, the mutagenesis rate of Pcsk9 in the liver was as high as >50%. This resulted in decreased plasma PCSK9 levels, increased hepatic low-density lipoprotein receptor levels, and decreased plasma cholesterol levels (by 35-40%). No off-target mutagenesis was detected in 10 selected sites. Genome editing with the CRISPR-CRISPR-associated 9 system disrupts the Pcsk9 gene in vivo with high efficiency and reduces blood cholesterol levels in mice. This approach may have therapeutic potential for the prevention of cardiovascular disease in humans. © 2014 American Heart Association, Inc.

  4. CRISPR mediated somatic cell genome engineering in the chicken.

    PubMed

    Véron, Nadège; Qu, Zhengdong; Kipen, Phoebe A S; Hirst, Claire E; Marcelle, Christophe

    2015-11-01

    Gene-targeted knockout technologies are invaluable tools for understanding the functions of genes in vivo. CRISPR/Cas9 system of RNA-guided genome editing is revolutionizing genetics research in a wide spectrum of organisms. Here, we combined CRISPR with in vivo electroporation in the chicken embryo to efficiently target the transcription factor PAX7 in tissues of the developing embryo. This approach generated mosaic genetic mutations within a wild-type cellular background. This series of proof-of-principle experiments indicate that in vivo CRISPR-mediated cell genome engineering is an effective method to achieve gene loss-of-function in the tissues of the chicken embryo and it completes the growing genetic toolbox to study the molecular mechanisms regulating development in this important animal model. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. The role of Cas8 in type I CRISPR interference.

    PubMed

    Cass, Simon D B; Haas, Karina A; Stoll, Britta; Alkhnbashi, Omer S; Sharma, Kundan; Urlaub, Henning; Backofen, Rolf; Marchfelder, Anita; Bolt, Edward L

    2015-05-05

    CRISPR (clustered regularly interspaced short palindromic repeat) systems provide bacteria and archaea with adaptive immunity to repel invasive genetic elements. Type I systems use 'cascade' [CRISPR-associated (Cas) complex for antiviral defence] ribonucleoprotein complexes to target invader DNA, by base pairing CRISPR RNA (crRNA) to protospacers. Cascade identifies PAMs (protospacer adjacent motifs) on invader DNA, triggering R-loop formation and subsequent DNA degradation by Cas3. Cas8 is a candidate PAM recognition factor in some cascades. We analysed Cas8 homologues from type IB CRISPR systems in archaea Haloferax volcanii (Hvo) and Methanothermobacter thermautotrophicus (Mth). Cas8 was essential for CRISPR interference in Hvo and purified Mth Cas8 protein responded to PAM sequence when binding to nucleic acids. Cas8 interacted physically with Cas5-Cas7-crRNA complex, stimulating binding to PAM containing substrates. Mutation of conserved Cas8 amino acid residues abolished interference in vivo and altered catalytic activity of Cas8 protein in vitro. This is experimental evidence that Cas8 is important for targeting Cascade to invader DNA. © 2015 Authors.

  6. Targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system.

    PubMed

    Liang, Zhen; Zhang, Kang; Chen, Kunling; Gao, Caixia

    2014-02-20

    Transcription activator-like effector nucleases (TALENs) and clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) systems have emerged as powerful tools for genome editing in a variety of species. Here, we report, for the first time, targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system. We designed five TALENs targeting 4 genes, namely ZmPDS, ZmIPK1A, ZmIPK, ZmMRP4, and obtained targeting efficiencies of up to 23.1% in protoplasts, and about 13.3% to 39.1% of the transgenic plants were somatic mutations. Also, we constructed two gRNAs targeting the ZmIPK gene in maize protoplasts, at frequencies of 16.4% and 19.1%, respectively. In addition, the CRISPR/Cas system induced targeted mutations in Z. mays protoplasts with efficiencies (13.1%) similar to those obtained with TALENs (9.1%). Our results show that both TALENs and the CRISPR/Cas system can be used for genome modification in maize. Copyright © 2013. Published by Elsevier Ltd.

  7. Experimental Study of Two Phase Flow Behavior Past BWR Spacer Grids

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ratnayake, Ruwan K.; Hochreiter, L.E.; Ivanov, K.N.

    2002-07-01

    Performance of best estimate codes used in the nuclear industry can be significantly improved by reducing the empiricism embedded in their constitutive models. Spacer grids have been found to have an important impact on the maximum allowable Critical Heat Flux within the fuel assembly of a nuclear reactor core. Therefore, incorporation of suitable spacer grids models can improve the critical heat flux prediction capability of best estimate codes. Realistic modeling of entrainment behavior of spacer grids requires understanding the different mechanisms that are involved. Since visual information pertaining to the entrainment behavior of spacer grids cannot possibly be obtained frommore » operating nuclear reactors, experiments have to be designed and conducted for this specific purpose. Most of the spacer grid experiments available in literature have been designed in view of obtaining quantitative data for the purpose of developing or modifying empirical formulations for heat transfer, critical heat flux or pressure drop. Very few experiments have been designed to provide fundamental information which can be used to understand spacer grid effects and phenomena involved in two phase flow. Air-water experiments were conducted to obtain visual information on the two-phase flow behavior both upstream and downstream of Boiling Water Reactor (BWR) spacer grids. The test section was designed and constructed using prototypic dimensions such as the channel cross-section, rod diameter and other spacer grid configurations of a typical BWR fuel assembly. The test section models the flow behavior in two adjacent sub channels in the BWR core. A portion of a prototypic BWR spacer grid accounting for two adjacent channels was used with industrial mild steel rods for the purpose of representing the channel internals. Symmetry was preserved in this practice, so that the channel walls could effectively be considered as the channel boundaries. Thin films were established on the rod

  8. Comparative Genomics of 28 Salmonella enterica Isolates: Evidence for CRISPR-Mediated Adaptive Sublineage Evolution ▿†

    PubMed Central

    Fricke, W. Florian; Mammel, Mark K.; McDermott, Patrick F.; Tartera, Carmen; White, David G.; LeClerc, J. Eugene; Ravel, Jacques; Cebula, Thomas A.

    2011-01-01

    Despite extensive surveillance, food-borne Salmonella enterica infections continue to be a significant burden on public health systems worldwide. As the S. enterica species comprises sublineages that differ greatly in antigenic representation, virulence, and antimicrobial resistance phenotypes, a better understanding of the species' evolution is critical for the prediction and prevention of future outbreaks. The roles that virulence and resistance phenotype acquisition, exchange, and loss play in the evolution of S. enterica sublineages, which to a certain extent are represented by serotypes, remains mostly uncharacterized. Here, we compare 17 newly sequenced and phenotypically characterized nontyphoidal S. enterica strains to 11 previously sequenced S. enterica genomes to carry out the most comprehensive comparative analysis of this species so far. These phenotypic and genotypic data comparisons in the phylogenetic species context suggest that the evolution of known S. enterica sublineages is mediated mostly by two mechanisms, (i) the loss of coding sequences with known metabolic functions, which leads to functional reduction, and (ii) the acquisition of horizontally transferred phage and plasmid DNA, which provides virulence and resistance functions and leads to increasing specialization. Matches between S. enterica clustered regularly interspaced short palindromic repeats (CRISPR), part of a defense mechanism against invading plasmid and phage DNA, and plasmid and prophage regions suggest that CRISPR-mediated immunity could control short-term phenotype changes and mediate long-term sublineage evolution. CRISPR analysis could therefore be critical in assessing the evolutionary potential of S. enterica sublineages and aid in the prediction and prevention of future S. enterica outbreaks. PMID:21602358

  9. The CRISPR-Cas system - from bacterial immunity to genome engineering.

    PubMed

    Czarnek, Maria; Bereta, Joanna

    2016-09-01

    Precise and efficient genome modifications present a great value in attempts to comprehend the roles of particular genes and other genetic elements in biological processes as well as in various pathologies. In recent years novel methods of genome modification known as genome editing, which utilize so called "programmable" nucleases, came into use. A true revolution in genome editing has been brought about by the introduction of the CRISP-Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated) system, in which one of such nucleases, i.e. Cas9, plays a major role. This system is based on the elements of the bacterial and archaeal mechanism responsible for acquired immunity against phage infections and transfer of foreign genetic material. Microorganisms incorporate fragments of foreign DNA into CRISPR loci present in their genomes, which enables fast recognition and elimination of future infections. There are several types of CRISPR-Cas systems among prokaryotes but only elements of CRISPR type II are employed in genome engineering. CRISPR-Cas type II utilizes small RNA molecules (crRNA and tracrRNA) to precisely direct the effector nuclease - Cas9 - to a specific site in the genome, i.e. to the sequence complementary to crRNA. Cas9 may be used to: (i) introduce stable changes into genomes e.g. in the process of generation of knock-out and knock-in animals and cell lines, (ii) activate or silence the expression of a gene of interest, and (iii) visualize specific sites in genomes of living cells. The CRISPR-Cas-based tools have been successfully employed for generation of animal and cell models of a number of diseases, e.g. specific types of cancer. In the future, the genome editing by programmable nucleases may find wide application in medicine e.g. in the therapies of certain diseases of genetic origin and in the therapy of HIV-infected patients.

  10. Efficient CRISPR/Cas9-based genome editing in carrot cells.

    PubMed

    Klimek-Chodacka, Magdalena; Oleszkiewicz, Tomasz; Lowder, Levi G; Qi, Yiping; Baranski, Rafal

    2018-04-01

    The first report presenting successful and efficient carrot genome editing using CRISPR/Cas9 system. Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/CRISPR-associated (Cas9) is a powerful genome editing tool that has been widely adopted in model organisms recently, but has not been used in carrot-a model species for in vitro culture studies and an important health-promoting crop grown worldwide. In this study, for the first time, we report application of the CRISPR/Cas9 system for efficient targeted mutagenesis of the carrot genome. Multiplexing CRISPR/Cas9 vectors expressing two single-guide RNA (gRNAs) targeting the carrot flavanone-3-hydroxylase (F3H) gene were tested for blockage of the anthocyanin biosynthesis in a model purple-colored callus using Agrobacterium-mediated genetic transformation. This approach allowed fast and visual comparison of three codon-optimized Cas9 genes and revealed that the most efficient one in generating F3H mutants was the Arabidopsis codon-optimized AteCas9 gene with up to 90% efficiency. Knockout of F3H gene resulted in the discoloration of calli, validating the functional role of this gene in the anthocyanin biosynthesis in carrot as well as providing a visual marker for screening successfully edited events. Most resulting mutations were small Indels, but long chromosome fragment deletions of 116-119 nt were also generated with simultaneous cleavage mediated by two gRNAs. The results demonstrate successful site-directed mutagenesis in carrot with CRISPR/Cas9 and the usefulness of a model callus culture to validate genome editing systems. Given that the carrot genome has been sequenced recently, our timely study sheds light on the promising application of genome editing tools for boosting basic and translational research in this important vegetable crop.

  11. CRISPR in the Retina: Evaluation of Future Potential.

    PubMed

    Cho, Galaxy Y; Justus, Sally; Sengillo, Jesse D; Tsang, Stephen H

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) has been gaining widespread attention for its ability for targeted genome surgery. In treating inherited retinal degenerations, gene therapies have had varied results; the ones effective in restoring eye sight are limited by transiency in its effect. Genome surgery, however, is a solution that could potentially provide the eye with permanent healthy cells. As retinal degenerations are irreversible and the retina has little regenerative potential, permanent healthy cells are vital for vision. Since the retina is anatomically accessible and capable of being monitored in vivo, the retina is a prime location for novel therapies. CRISPR technology can be used to make corrections directly in vivo as well as ex vivo of stem cells for transplantation. Current standard of care includes genetic testing for causative mutations in expectation of this potential. This chapter explores future potential and strategies for retinal degenerative disease correction via CRISPR and its limitations.

  12. Development of a CRISPR/Cas9 genome editing toolbox for Corynebacterium glutamicum.

    PubMed

    Liu, Jiao; Wang, Yu; Lu, Yujiao; Zheng, Ping; Sun, Jibin; Ma, Yanhe

    2017-11-16

    Corynebacterium glutamicum is an important industrial workhorse and advanced genetic engineering tools are urgently demanded. Recently, the clustered regularly interspaced short palindromic repeats (CRISPR) and their CRISPR-associated proteins (Cas) have revolutionized the field of genome engineering. The CRISPR/Cas9 system that utilizes NGG as protospacer adjacent motif (PAM) and has good targeting specificity can be developed into a powerful tool for efficient and precise genome editing of C. glutamicum. Herein, we developed a versatile CRISPR/Cas9 genome editing toolbox for C. glutamicum. Cas9 and gRNA expression cassettes were reconstituted to combat Cas9 toxicity and facilitate effective termination of gRNA transcription. Co-transformation of Cas9 and gRNA expression plasmids was exploited to overcome high-frequency mutation of cas9, allowing not only highly efficient gene deletion and insertion with plasmid-borne editing templates (efficiencies up to 60.0 and 62.5%, respectively) but also simple and time-saving operation. Furthermore, CRISPR/Cas9-mediated ssDNA recombineering was developed to precisely introduce small modifications and single-nucleotide changes into the genome of C. glutamicum with efficiencies over 80.0%. Notably, double-locus editing was also achieved in C. glutamicum. This toolbox works well in several C. glutamicum strains including the widely-used strains ATCC 13032 and ATCC 13869. In this study, we developed a CRISPR/Cas9 toolbox that could facilitate markerless gene deletion, gene insertion, precise base editing, and double-locus editing in C. glutamicum. The CRISPR/Cas9 toolbox holds promise for accelerating the engineering of C. glutamicum and advancing its application in the production of biochemicals and biofuels.

  13. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy.

    PubMed

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-16

    Currently, a new gene editing tool-the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system-is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy.

  14. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy

    PubMed Central

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-01

    Currently, a new gene editing tool—the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system—is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy. PMID:29337866

  15. Engineering Synthetic Gene Circuits in Living Cells with CRISPR Technology.

    PubMed

    Jusiak, Barbara; Cleto, Sara; Perez-Piñera, Pablo; Lu, Timothy K

    2016-07-01

    One of the goals of synthetic biology is to build regulatory circuits that control cell behavior, for both basic research purposes and biomedical applications. The ability to build transcriptional regulatory devices depends on the availability of programmable, sequence-specific, and effective synthetic transcription factors (TFs). The prokaryotic clustered regularly interspaced short palindromic repeat (CRISPR) system, recently harnessed for transcriptional regulation in various heterologous host cells, offers unprecedented ease in designing synthetic TFs. We review how CRISPR can be used to build synthetic gene circuits and discuss recent advances in CRISPR-mediated gene regulation that offer the potential to build increasingly complex, programmable, and efficient gene circuits in the future. Copyright © 2016. Published by Elsevier Ltd.

  16. Impact of ZnO embedded feed spacer on biofilm development in membrane systems.

    PubMed

    Ronen, Avner; Semiat, Raphael; Dosoretz, Carlos G

    2013-11-01

    The concept of suppressing biofouling formation using an antibacterial feed spacer was investigated in a bench scale-cross flow system mimicking a spiral wound membrane configuration. An antibacterial composite spacer containing zinc oxide-nanoparticles was constructed by modification of a commercial polypropylene feed spacer using sonochemical deposition. The ability of the modified spacers to repress biofilm development on membranes was evaluated in flow-through cells simulating the flow conditions in commercial spiral wound modules. The experiments were performed at laminar flow (Re = 300) with a 200 kDa molecular weight cut off polysulfone ultrafiltration membrane using Pseudomonas putida S-12 as model biofilm bacteria. The modified spacers reduced permeate flux decrease at least by 50% compared to the unmodified spacers (control). The physical properties of the modified spacer and biofilm development were evaluated using high resolution/energy dispersive spectrometry-scanning electron microscopy, atomic force microscopy and confocal laser scanning microscopy imaging (HRSEM, EDS, AFM and CLSM). HRSEM images depicted significantly less bacteria attached to the membranes exposed to the modified spacer, mainly scattered and in a sporadic monolayer structure. AFM analysis indicated the influence of the modification on the spacer surface including a phase change on the upper surface. Dead-live staining assay by CLSM indicated that most of the bacterial cells attached on the membranes exposed to the modified spacer were dead in contrast to a developed biofilm which was predominant in the control samples. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. CRISPR/Cas9 delivery with one single adenoviral vector devoid of all viral genes.

    PubMed

    Ehrke-Schulz, Eric; Schiwon, Maren; Leitner, Theo; Dávid, Stephan; Bergmann, Thorsten; Liu, Jing; Ehrhardt, Anja

    2017-12-07

    The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/Cas9 system revolutionized the field of gene editing but viral delivery of the CRISPR/Cas9 system has not been fully explored. Here we adapted clinically relevant high-capacity adenoviral vectors (HCAdV) devoid of all viral genes for the delivery of the CRISPR/Cas9 machinery using a single viral vector. We present a platform enabling fast transfer of the Cas9 gene and gRNA expression units into the HCAdV genome including the option to choose between constitutive or inducible Cas9 expression and gRNA multiplexing. Efficacy and versatility of this pipeline was exemplified by producing different CRISPR/Cas9-HCAdV targeting the human papillomavirus (HPV) 18 oncogene E6, the dystrophin gene causing Duchenne muscular dystrophy (DMD) and the HIV co-receptor C-C chemokine receptor type 5 (CCR5). All CRISPR/Cas9-HCAdV proved to be efficient to deliver the respective CRISPR/Cas9 expression units and to introduce the desired DNA double strand breaks at their intended target sites in immortalized and primary cells.

  18. [Efficient genome editing in human pluripotent stem cells through CRISPR/Cas9].

    PubMed

    Liu, Gai-gai; Li, Shuang; Wei, Yu-da; Zhang, Yong-xian; Ding, Qiu-rong

    2015-11-01

    The RNA-guided CRISPR (clustered regularly interspaced short palindromic repeat)-associated Cas9 nuclease has offered a new platform for genome editing with high efficiency. Here, we report the use of CRISPR/Cas9 technology to target a specific genomic region in human pluripotent stem cells. We show that CRISPR/Cas9 can be used to disrupt a gene by introducing frameshift mutations to gene coding region; to knock in specific sequences (e.g. FLAG tag DNA sequence) to targeted genomic locus via homology directed repair; to induce large genomic deletion through dual-guide multiplex. Our results demonstrate the versatile application of CRISPR/Cas9 in stem cell genome editing, which can be widely utilized for functional studies of genes or genome loci in human pluripotent stem cells.

  19. Non-classical phase diagram for virus bacterial coevolution mediated by clustered regularly interspaced short palindromic repeats.

    PubMed

    Han, Pu; Deem, Michael W

    2017-02-01

    CRISPR is a newly discovered prokaryotic immune system. Bacteria and archaea with this system incorporate genetic material from invading viruses into their genomes, providing protection against future infection by similar viruses. The condition for coexistence of prokaryots and viruses is an interesting problem in evolutionary biology. In this work, we show an intriguing phase diagram of the virus extinction probability, which is more complex than that of the classical predator-prey model. As the CRISPR incorporates genetic material, viruses are under pressure to evolve to escape recognition by CRISPR. When bacteria have a small rate of deleting spacers, a new parameter region in which bacteria and viruses can coexist arises, and it leads to a more complex coexistence patten for bacteria and viruses. For example, when the virus mutation rate is low, the virus extinction probability changes non-montonically with the bacterial exposure rate. The virus and bacteria coevolution not only alters the virus extinction probability, but also changes the bacterial population structure. Additionally, we show that recombination is a successful strategy for viruses to escape from CRISPR recognition when viruses have multiple proto-spacers, providing support for a recombination-mediated escape mechanism suggested experimentally. Finally, we suggest that the re-entrant phase diagram, in which phages can progress through three phases of extinction and two phases of abundance at low spacer deletion rates as a function of exposure rate to bacteria, is an experimentally testable phenomenon. © 2017 The Author(s).

  20. The interobserver-validated relevance of intervertebral spacer materials in MRI artifacting

    PubMed Central

    Heidrich, G.; Bruening, T.; Krefft, S.; Buchhorn, G.; Klinger, H.M.

    2006-01-01

    Intervertebral spacers for anterior spine fusion are made of different materials, such as titanium, carbon or cobalt-chrome, which can affect the post-fusion MRI scans. Implant-related susceptibility artifacts can decrease the quality of MRI scans, thwarting proper evaluation. This cadaver study aimed to demonstrate the extent that implant-related MRI artifacting affects the post-fusion evaluation of intervertebral spacers. In a cadaveric porcine spine, we evaluated the post-implantation MRI scans of three intervertebral spacers that differed in shape, material, surface qualities and implantation technique. A spacer made of human cortical bone was used as a control. The median sagittal MRI slice was divided into 12 regions of interest (ROI). No significant differences were found on 15 different MRI sequences read independently by an interobserver-validated team of specialists (P>0.05). Artifact-affected image quality was rated on a score of 0-1-2. A maximum score of 24 points (100%) was possible. Turbo spin echo sequences produced the best scores for all spacers and the control. Only the control achieved a score of 100%. The carbon, titanium and cobalt-chrome spacers scored 83.3, 62.5 and 50%, respectively. Our scoring system allowed us to create an implant-related ranking of MRI scan quality in reference to the control that was independent of artifact dimensions. The carbon spacer had the lowest percentage of susceptibility artifacts. Even with turbo spin echo sequences, the susceptibility artifacts produced by the metallic spacers showed a high degree of variability. Despite optimum sequencing, implant design and material are relevant factors in MRI artifacting. PMID:16463200

  1. Targeted genome editing in a quail cell line using a customized CRISPR/Cas9 system.

    PubMed

    Ahn, Jinsoo; Lee, Joonbum; Park, Ju Yeon; Oh, Keon Bong; Hwang, Seongsoo; Lee, Chang-Won; Lee, Kichoon

    2017-05-01

    Soon after RNA-guided Cas9 (CRISPR-associated protein 9) endonuclease opened a new era of targeted genome editing, the CRISPR/Cas9 platform began to be extensively used to modify genes in various types of cells and organisms. However, successful CRISPR/Cas9-mediated insertion/deletion (indel) mutation remains to be demonstrated in avian cell lines. The objective of this study was to design a poultry-specific CRISPR/Cas9 system to efficiently introduce targeted deletion mutation in chromosomes of the quail muscle clone 7 (QM7) cell line using a customized quail CRISPR vector. In this study, two avian-specific promoters, quail 7SK (q7SK) promoter and CBh promoter, the hybrid form of cytomegalovirus and chicken β-actin promoters, were cloned into a CRISPR vector for the expression of guide RNA and Cas9 protein, respectively. Then, guide RNA, which was designed to target 20-base pair (bp) nucleotides in the quail melanophilin (MLPH) locus, was ligated to the modified CRISPR vector and transfected to QM7 cells. Our results showed multiple indel mutations in the quail MLPH locus in nearly half of the alleles being tested, suggesting the high efficiency of the system for targeted gene modification. The new CRISPR vector developed from this study has the potential application to generate knockout avian cell lines and knockout poultry. © 2016 Poultry Science Association Inc.

  2. CRISPR/Cas9-mediated gene editing in human tripronuclear zygotes.

    PubMed

    Liang, Puping; Xu, Yanwen; Zhang, Xiya; Ding, Chenhui; Huang, Rui; Zhang, Zhen; Lv, Jie; Xie, Xiaowei; Chen, Yuxi; Li, Yujing; Sun, Ying; Bai, Yaofu; Songyang, Zhou; Ma, Wenbin; Zhou, Canquan; Huang, Junjiu

    2015-05-01

    Genome editing tools such as the clustered regularly interspaced short palindromic repeat (CRISPR)-associated system (Cas) have been widely used to modify genes in model systems including animal zygotes and human cells, and hold tremendous promise for both basic research and clinical applications. To date, a serious knowledge gap remains in our understanding of DNA repair mechanisms in human early embryos, and in the efficiency and potential off-target effects of using technologies such as CRISPR/Cas9 in human pre-implantation embryos. In this report, we used tripronuclear (3PN) zygotes to further investigate CRISPR/Cas9-mediated gene editing in human cells. We found that CRISPR/Cas9 could effectively cleave the endogenous β-globin gene (HBB). However, the efficiency of homologous recombination directed repair (HDR) of HBB was low and the edited embryos were mosaic. Off-target cleavage was also apparent in these 3PN zygotes as revealed by the T7E1 assay and whole-exome sequencing. Furthermore, the endogenous delta-globin gene (HBD), which is homologous to HBB, competed with exogenous donor oligos to act as the repair template, leading to untoward mutations. Our data also indicated that repair of the HBB locus in these embryos occurred preferentially through the non-crossover HDR pathway. Taken together, our work highlights the pressing need to further improve the fidelity and specificity of the CRISPR/Cas9 platform, a prerequisite for any clinical applications of CRSIPR/Cas9-mediated editing.

  3. Type II CRISPR/Cas9 approach in the oncological therapy.

    PubMed

    Biagioni, A; Chillà, A; Andreucci, E; Laurenzana, A; Margheri, F; Peppicelli, S; Del Rosso, M; Fibbi, G

    2017-06-15

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats) is a prokaryotic adaptable immune mechanism used by many bacteria and archaea to protect themselves from foreign nucleic acids. This complex system can recognize and cut non-self DNA in order to provide the prokaryotic organisms a strong defense against foreign viral or plasmid attacks and make the cell immune from further assaults. Today, it has been adapted to be used in vitro and in vivo in eukaryotic cells to perform a complete and highly selective gene knockout or a specific gene editing. The ease of use and the low cost are only two features that have made it very popular among the scientific community and the possibility to be used as a clinical treatment in several genetic derived pathologies has rapidly spread its fame worldwide. However, CRISPR is still not fully understood and many efforts need to be done in order to make it a real power tool for the human clinical treatment especially for oncological patients. Indeed, since cancer originates from non-lethal genetic disorders, CRISPR discovery fuels the hope to strike tumors on their roots. More than 4000 papers regarding CRISPR were published in the last ten years and only few of them take in count the possible applications in oncology. The purpose of this review is to clarify many problematics on the CRISPR usage and highlight its potential in oncological therapy.

  4. Live-cell CRISPR imaging in plants reveals dynamic telomere movements.

    PubMed

    Dreissig, Steven; Schiml, Simon; Schindele, Patrick; Weiss, Oda; Rutten, Twan; Schubert, Veit; Gladilin, Evgeny; Mette, Michael F; Puchta, Holger; Houben, Andreas

    2017-08-01

    Elucidating the spatiotemporal organization of the genome inside the nucleus is imperative to our understanding of the regulation of genes and non-coding sequences during development and environmental changes. Emerging techniques of chromatin imaging promise to bridge the long-standing gap between sequencing studies, which reveal genomic information, and imaging studies that provide spatial and temporal information of defined genomic regions. Here, we demonstrate such an imaging technique based on two orthologues of the bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR associated protein 9 (Cas9). By fusing eGFP/mRuby2 to catalytically inactive versions of Streptococcus pyogenes and Staphylococcus aureus Cas9, we show robust visualization of telomere repeats in live leaf cells of Nicotiana benthamiana. By tracking the dynamics of telomeres visualized by CRISPR-dCas9, we reveal dynamic telomere movements of up to 2 μm over 30 min during interphase. Furthermore, we show that CRISPR-dCas9 can be combined with fluorescence-labelled proteins to visualize DNA-protein interactions in vivo. By simultaneously using two dCas9 orthologues, we pave the way for the imaging of multiple genomic loci in live plants cells. CRISPR imaging bears the potential to significantly improve our understanding of the dynamics of chromosomes in live plant cells. © 2017 The Authors The Plant Journal published by John Wiley & Sons Ltd and Society for Experimental Biology.

  5. CRISPR-Mediated Epigenome Editing

    PubMed Central

    Enríquez, Paul

    2016-01-01

    Mounting evidence has called into question our understanding of the role that the central dogma of molecular biology plays in human pathology. The conventional view that elucidating the mechanisms for translating genes into proteins can account for a panoply of diseases has proven incomplete. Landmark studies point to epigenetics as a missing piece of the puzzle. However, technological limitations have hindered the study of specific roles for histone post-translational modifications, DNA modifications, and non-coding RNAs in regulation of the epigenome and chromatin structure. This feature highlights CRISPR systems, including CRISPR-Cas9, as novel tools for targeted epigenome editing. It summarizes recent developments in the field, including integration of optogenetic and functional genomic approaches to explore new therapeutic opportunities, and underscores the importance of mitigating current limitations in the field. This comprehensive, analytical assessment identifies current research gaps, forecasts future research opportunities, and argues that as epigenome editing technologies mature, overcoming critical challenges in delivery, specificity, and fidelity should clear the path to bring these technologies into the clinic. PMID:28018139

  6. CRISPR-Mediated Epigenome Editing.

    PubMed

    Enríquez, Paul

    2016-12-01

    Mounting evidence has called into question our understanding of the role that the central dogma of molecular biology plays in human pathology. The conventional view that elucidating the mechanisms for translating genes into proteins can account for a panoply of diseases has proven incomplete. Landmark studies point to epigenetics as a missing piece of the puzzle. However, technological limitations have hindered the study of specific roles for histone post-translational modifications, DNA modifications, and non-coding RNAs in regulation of the epigenome and chromatin structure. This feature highlights CRISPR systems, including CRISPR-Cas9, as novel tools for targeted epigenome editing. It summarizes recent developments in the field, including integration of optogenetic and functional genomic approaches to explore new therapeutic opportunities, and underscores the importance of mitigating current limitations in the field. This comprehensive, analytical assessment identifies current research gaps, forecasts future research opportunities, and argues that as epigenome editing technologies mature, overcoming critical challenges in delivery, specificity, and fidelity should clear the path to bring these technologies into the clinic.

  7. A non-classical phase diagram for virus-bacterial co-evolution mediated by CRISPR

    NASA Astrophysics Data System (ADS)

    Han, Pu; Deem, Michael

    CRISPR is a newly discovered prokaryotic immune system. Bacteria and archaea with this system incorporate genetic material from invading viruses into their genomes, providing protection against future infection by similar viruses. Due to the cost of CRISPR, bacteria can lose the acquired immunity. We will show an intriguing phase diagram of the virus extinction probability, which when the rate of losing the acquired immunity is small, is more complex than that of the classic predator-prey model. As the CRISPR incorporates genetic material, viruses are under pressure to evolve to escape the recognition by CRISPR, and this co-evolution leads to a non-trivial phase structure that cannot be explained by the classical predator-prey model.

  8. Repurposing endogenous type I CRISPR-Cas systems for programmable gene repression

    PubMed Central

    Luo, Michelle L.; Mullis, Adam S.; Leenay, Ryan T.; Beisel, Chase L.

    2015-01-01

    CRISPR-Cas systems have shown tremendous promise as heterologous tools for genome editing and transcriptional regulation. Because these RNA-directed immune systems are found in most prokaryotes, an opportunity exists to harness the endogenous systems as convenient tools in these organisms. Here, we report that the Type I-E CRISPR-Cas system in Escherichia coli can be co-opted for programmable transcriptional repression. We found that deletion of the signature cas3 gene converted this immune system into a programmable gene regulator capable of reversible gene silencing of heterologous and endogenous genes. Targeting promoter regions yielded the strongest repression, whereas targeting coding regions showed consistent strand bias. Furthermore, multi-targeting CRISPR arrays could generate complex phenotypes. This strategy offers a simple approach to convert many endogenous Type I systems into transcriptional regulators, thereby expanding the available toolkit for CRISPR-mediated genetic control while creating new opportunities for genome-wide screens and pathway engineering. PMID:25326321

  9. Heritability of targeted gene modifications induced by plant-optimized CRISPR systems.

    PubMed

    Mao, Yanfei; Botella, Jose Ramon; Zhu, Jian-Kang

    2017-03-01

    The Streptococcus-derived CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)/Cas9 (CRISPR-associated protein 9) system has emerged as a very powerful tool for targeted gene modifications in many living organisms including plants. Since the first application of this system for plant gene modification in 2013, this RNA-guided DNA endonuclease system has been extensively engineered to meet the requirements of functional genomics and crop trait improvement in a number of plant species. Given its short history, the emphasis of many studies has been the optimization of the technology to improve its reliability and efficiency to generate heritable gene modifications in plants. Here we review and analyze the features of customized CRISPR/Cas9 systems developed for plant genetic studies and crop breeding. We focus on two essential aspects: the heritability of gene modifications induced by CRISPR/Cas9 and the factors affecting its efficiency, and we provide strategies for future design of systems with improved activity and heritability in plants.

  10. Impact of spacer thickness on biofouling in forward osmosis.

    PubMed

    Valladares Linares, R; Bucs, Sz S; Li, Z; AbuGhdeeb, M; Amy, G; Vrouwenvelder, J S

    2014-06-15

    Forward osmosis (FO) indirect desalination systems integrate wastewater recovery with seawater desalination. Niche applications for FO systems have been reported recently, due to the demonstrated advantages compared to conventional high-pressure membrane processes such as nanofiltration (NF) and reverse osmosis (RO). Among them, wastewater recovery has been identified to be particularly suitable for practical applications. However, biofouling in FO membranes has rarely been studied in applications involving wastewater effluents. Feed spacers separating the membrane sheets in cross-flow systems play an important role in biofilm formation. The objective of this study was to determine the influence of feed spacer thickness (28, 31 and 46 mil) on biofouling development and membrane performance in a FO system, using identical cross-flow cells in parallel studies. Flux development, biomass accumulation, fouling localization and composition were determined and analyzed. For all spacer thicknesses, operated at the same feed flow and the same run time, the same amount of biomass was found, while the flux reduction decreased with thicker spacers. These observations are in good agreement with biofouling studies for RO systems, considering the key differences between FO and RO. Our findings contradict previous cross-flow studies on particulate/colloidal fouling, where higher cross-flow velocities improved system performance. Thicker spacers reduced the impact of biofouling on FO membrane flux. Copyright © 2014 Elsevier Ltd. All rights reserved.

  11. CRISPR/Cas9, the Powerful New Genome-Editing Tool for Putative Therapeutics in Obesity.

    PubMed

    Franco-Tormo, María José; Salas-Crisostomo, Mireille; Rocha, Nuno Barbosa; Budde, Henning; Machado, Sérgio; Murillo-Rodríguez, Eric

    2018-05-01

    The molecular technology known as clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated protein (Cas) is revolutionizing the field of medical research and deepening our understanding of numerous biological processes. The attraction of CRISPR/Cas9 lies in its ability to efficiently edit DNA or modulate gene expression in living eukaryotic cells and organisms, a technology that was once considered either too expensive or scientifically risky. CRISPR/Cas9 has been successfully applied in agriculture to develop the next generation of disease-resistant plants. Now, the capability of gene editing has been translated to the biomedical area, focusing on the future of medicine faced with drug-resistant microbes by selectively targeting genes involved in antibiotic resistance, for example, or finding the ultimate strategy for cancer or HIV. In this regard, it was recently demonstrated that an injection of cancer-fighting CRISPR-modified white blood cells in a patient suffering from metastatic lung cancer could lead to promising results. Researchers and bioethicists are debating questions about the regulation of CRISPR/Cas9 that must be addressed. While legal challenges surround the use of this technique for genetically modifying cell lines in humans, we review the basic understanding of CRISPR/Cas9 and discuss how this technology could represent a candidate for treatment of non-communicable diseases in nutrition, such as obesity.

  12. Highly efficient CRISPR/HDR-mediated knock-in for mouse embryonic stem cells and zygotes.

    PubMed

    Wang, Bangmei; Li, Kunyu; Wang, Amy; Reiser, Michelle; Saunders, Thom; Lockey, Richard F; Wang, Jia-Wang

    2015-10-01

    The clustered regularly interspaced short palindromic repeat (CRISPR) gene editing technique, based on the non-homologous end-joining (NHEJ) repair pathway, has been used to generate gene knock-outs with variable sizes of small insertion/deletions with high efficiency. More precise genome editing, either the insertion or deletion of a desired fragment, can be done by combining the homology-directed-repair (HDR) pathway with CRISPR cleavage. However, HDR-mediated gene knock-in experiments are typically inefficient, and there have been no reports of successful gene knock-in with DNA fragments larger than 4 kb. Here, we describe the targeted insertion of large DNA fragments (7.4 and 5.8 kb) into the genomes of mouse embryonic stem (ES) cells and zygotes, respectively, using the CRISPR/HDR technique without NHEJ inhibitors. Our data show that CRISPR/HDR without NHEJ inhibitors can result in highly efficient gene knock-in, equivalent to CRISPR/HDR with NHEJ inhibitors. Although NHEJ is the dominant repair pathway associated with CRISPR-mediated double-strand breaks (DSBs), and biallelic gene knock-ins are common, NHEJ and biallelic gene knock-ins were not detected. Our results demonstrate that efficient targeted insertion of large DNA fragments without NHEJ inhibitors is possible, a result that should stimulate interest in understanding the mechanisms of high efficiency CRISPR targeting in general.

  13. Apparatus and methods for aligning holes through wheels and spacers and stacking the wheels and spacers to form a turbine rotor

    DOEpatents

    Berry, Robert Randolph; Palmer, Gene David; Wilson, Ian David

    2000-01-01

    A gas turbine rotor stacking fixture includes upstanding bolts for reception in aligned bolt holes in superposed aft disk, wheels and spacers and upstanding alignment rods received in openings of the disk, wheels and spacers during the rotor stacking assembly. The axially registering openings enable insertion of thin-walled tubes circumferentially about the rim of the rotor, with tight tolerances to the openings to provide supply and return steam for cooling buckets. The alignment rods have radial dimensions substantially less than their dimensions in a circumferential direction to allow for radial opening misalignment due to thermal expansion, tolerance stack-up and wheel-to-spacer mismatch due to rabbet mechanical growth. The circumferential dimension of the alignment rods affords tightly toleranced alignment of the openings through which the cooling tubes are installed.

  14. [Chromosomal large fragment deletion induced by CRISPR/Cas9 gene editing system].

    PubMed

    Cheng, L H; Liu, Y; Niu, T

    2017-05-14

    Objective: Using CRISPR-Cas9 gene editing technology to achieve a number of genes co-deletion on the same chromosome. Methods: CRISPR-Cas9 lentiviral plasmid that could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse 11B3 chromosome was constructed via molecular clone. HEK293T cells were transfected to package lentivirus of CRISPR or Cas9 cDNA, then mouse NIH3T3 cells were infected by lentivirus and genomic DNA of these cells was extracted. The deleted fragment was amplified by PCR, TA clone, Sanger sequencing and other techniques were used to confirm the deletion of Aloxe3-Alox12b-Alox8 cluster genes. Results: The CRISPR-Cas9 lentiviral plasmid, which could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes, was successfully constructed. Deletion of target chromosome fragment (Aloxe3-Alox12b-Alox8 cluster genes) was verified by PCR. The deletion of Aloxe3-Alox12b-Alox8 cluster genes was affirmed by TA clone, Sanger sequencing, and the breakpoint junctions of the CRISPR-Cas9 system mediate cutting events were accurately recombined, insertion mutation did not occur between two cleavage sites at all. Conclusion: Large fragment deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse chromosome 11B3 was successfully induced by CRISPR-Cas9 gene editing system.

  15. Deletion of a target gene in Indica rice via CRISPR/Cas9.

    PubMed

    Wang, Ying; Geng, Lizhao; Yuan, Menglong; Wei, Juan; Jin, Chen; Li, Min; Yu, Kun; Zhang, Ya; Jin, Huaibing; Wang, Eric; Chai, Zhijian; Fu, Xiangdong; Li, Xianggan

    2017-08-01

    Using CRISPR/Cas9, we successfully deleted large fragments of the yield-related gene DENSE AND ERECT PANICLE1 in Indica rice at relatively high frequency and generated gain-of-function dep1 mutants. CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 is a rapidly developing technology used to produce gene-specific modifications in both mammalian and plant systems. Most CRISPR-induced modifications in plants reported to date have been small insertions or deletions. Few large target gene deletions have thus far been reported, especially for Indica rice. In this study, we designed multiple CRISPR sgRNAs and successfully deleted DNA fragments in the gene DENSE AND ERECT PANICLE1 (DEP1) in the elite Indica rice line IR58025B. We achieved deletion frequencies of up to 21% for a 430 bp target and 9% for a 10 kb target among T0 events. Constructs with four sgRNAs did not generate higher full-length deletion frequencies than constructs with two sgRNAs. The multiple mutagenesis frequency reached 93% for four targets, and the homozygous mutation frequency reached 21% at the T0 stage. Important yield-related trait characteristics, such as dense and erect panicles and reduced plant height, were observed in dep1 homozygous T0 mutant plants produced by CRISPR/Cas9. Therefore, we successfully obtained deletions in DEP1 in the Indica background using the CRISPR/Cas9 editing tool at relatively high frequency.

  16. Programmable Removal of Bacterial Strains by Use of Genome-Targeting CRISPR-Cas Systems

    PubMed Central

    Gomaa, Ahmed A.; Klumpe, Heidi E.; Luo, Michelle L.; Selle, Kurt; Barrangou, Rodolphe; Beisel, Chase L.

    2014-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems in bacteria and archaea employ CRISPR RNAs to specifically recognize the complementary DNA of foreign invaders, leading to sequence-specific cleavage or degradation of the target DNA. Recent work has shown that the accidental or intentional targeting of the bacterial genome is cytotoxic and can lead to cell death. Here, we have demonstrated that genome targeting with CRISPR-Cas systems can be employed for the sequence-specific and titratable removal of individual bacterial strains and species. Using the type I-E CRISPR-Cas system in Escherichia coli as a model, we found that this effect could be elicited using native or imported systems and was similarly potent regardless of the genomic location, strand, or transcriptional activity of the target sequence. Furthermore, the specificity of targeting with CRISPR RNAs could readily distinguish between even highly similar strains in pure or mixed cultures. Finally, varying the collection of delivered CRISPR RNAs could quantitatively control the relative number of individual strains within a mixed culture. Critically, the observed selectivity and programmability of bacterial removal would be virtually impossible with traditional antibiotics, bacteriophages, selectable markers, or tailored growth conditions. Once delivery challenges are addressed, we envision that this approach could offer a novel means to quantitatively control the composition of environmental and industrial microbial consortia and may open new avenues for the development of “smart” antibiotics that circumvent multidrug resistance and differentiate between pathogenic and beneficial microorganisms. PMID:24473129

  17. The iCRISPR platform for rapid genome editing in human pluripotent stem cells.

    PubMed

    Zhu, Zengrong; González, Federico; Huangfu, Danwei

    2014-01-01

    Human pluripotent stem cells (hPSCs) have the potential to generate all adult cell types, including rare or inaccessible human cell populations, thus providing a unique platform for disease studies. To realize this promise, it is essential to develop methods for efficient genetic manipulations in hPSCs. Established using TALEN (transcription activator-like effector nuclease) and CRISPR (clustered regularly interspaced short palindromic repeats)/Cas (CRISPR-associated) systems, the iCRISPR platform supports a variety of genome-engineering approaches with high efficiencies. Here, we first describe the establishment of the iCRISPR platform through TALEN-mediated targeting of inducible Cas9 expression cassettes into the AAVS1 locus. Next, we provide a series of technical procedures for using iCRISPR to achieve one-step knockout of one or multiple gene(s), "scarless" introduction of precise nucleotide alterations, as well as inducible knockout during hPSC differentiation. We present an optimized workflow, as well as guidelines for the selection of CRISPR targeting sequences and the design of single-stranded DNA (ssDNA) homology-directed DNA repair templates for the introduction of specific nucleotide alterations. We have successfully used these protocols in four different hPSC lines, including human embryonic stem cells and induced pluripotent stem cells. Once the iCRISPR platform is established, clonal lines with desired genetic modifications can be established in as little as 1 month. The methods described here enable a wide range of genome-engineering applications in hPSCs, thus providing a valuable resource for the creation of diverse hPSC-based disease models with superior speed and ease.

  18. CRISPR genetic screens to discover host-virus interactions.

    PubMed

    McDougall, William M; Perreira, Jill M; Reynolds, Erin C; Brass, Abraham L

    2018-04-01

    Viruses impose an immense burden on human health. With the goal of treating and preventing viral infections, researchers have carried out genetic screens to improve our understanding of viral dependencies and identify potential anti-viral strategies. The emergence of CRISPR genetic screening tools has facilitated this effort by enabling host-virus screens to be undertaken in a more versatile and fidelitous manner than previously possible. Here we review the growing number of CRISPR screens which continue to increase our understanding of host-virus interactions. Copyright © 2018 Elsevier B.V. All rights reserved.

  19. Advances in CRISPR-Cas9 genome engineering: lessons learned from RNA interference

    PubMed Central

    Barrangou, Rodolphe; Birmingham, Amanda; Wiemann, Stefan; Beijersbergen, Roderick L.; Hornung, Veit; Smith, Anja van Brabant

    2015-01-01

    The discovery that the machinery of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-Cas9 bacterial immune system can be re-purposed to easily create deletions, insertions and replacements in the mammalian genome has revolutionized the field of genome engineering and re-invigorated the field of gene therapy. Many parallels have been drawn between the newly discovered CRISPR-Cas9 system and the RNA interference (RNAi) pathway in terms of their utility for understanding and interrogating gene function in mammalian cells. Given this similarity, the CRISPR-Cas9 field stands to benefit immensely from lessons learned during the development of RNAi technology. We examine how the history of RNAi can inform today's challenges in CRISPR-Cas9 genome engineering such as efficiency, specificity, high-throughput screening and delivery for in vivo and therapeutic applications. PMID:25800748

  20. Optimized Design of Spacer in Electrodialyzer Using CFD Simulation Method

    NASA Astrophysics Data System (ADS)

    Jia, Yuxiang; Yan, Chunsheng; Chen, Lijun; Hu, Yangdong

    2018-06-01

    In this study, the effects of length-width ratio and diversion trench of the spacer on the fluid flow behavior in an electrodialyzer have been investigated through CFD simulation method. The relevant information, including the pressure drop, velocity vector distribution and shear stress distribution, demonstrates the importance of optimized design of the spacer in an electrodialysis process. The results show width of the diversion trench has a great effect on the fluid flow compared with length. Increase of the diversion trench width could strength the fluid flow, but also increase the pressure drop. Secondly, the dead zone of the fluid flow decreases with increase of length-width ratio of the spacer, but the pressure drop increases with the increase of length-width ratio of the spacer. So the appropriate length-width ratio of the space should be moderate.