Sample records for dislocation density gan

  1. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  2. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  3. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  4. Dislocation filtering in GaN nanostructures.

    PubMed

    Colby, Robert; Liang, Zhiwen; Wildeson, Isaac H; Ewoldt, David A; Sands, Timothy D; García, R Edwin; Stach, Eric A

    2010-05-12

    Dislocation filtering in GaN by selective area growth through a nanoporous template is examined both by transmission electron microscopy and numerical modeling. These nanorods grow epitaxially from the (0001)-oriented GaN underlayer through the approximately 100 nm thick template and naturally terminate with hexagonal pyramid-shaped caps. It is demonstrated that for a certain window of geometric parameters a threading dislocation growing within a GaN nanorod is likely to be excluded by the strong image forces of the nearby free surfaces. Approximately 3000 nanorods were examined in cross-section, including growth through 50 and 80 nm diameter pores. The very few threading dislocations not filtered by the template turn toward a free surface within the nanorod, exiting less than 50 nm past the base of the template. The potential active region for light-emitting diode devices based on these nanorods would have been entirely free of threading dislocations for all samples examined. A greater than 2 orders of magnitude reduction in threading dislocation density can be surmised from a data set of this size. A finite element-based implementation of the eigenstrain model was employed to corroborate the experimentally observed data and examine a larger range of potential nanorod geometries, providing a simple map of the different regimes of dislocation filtering for this class of GaN nanorods. These results indicate that nanostructured semiconductor materials are effective at eliminating deleterious extended defects, as necessary to enhance the optoelectronic performance and device lifetimes compared to conventional planar heterostructures.

  5. Three-dimensional imaging of threading dislocations in GaN crystals using two-photon excitation photoluminescence

    NASA Astrophysics Data System (ADS)

    Tanikawa, Tomoyuki; Ohnishi, Kazuki; Kanoh, Masaya; Mukai, Takashi; Matsuoka, Takashi

    2018-03-01

    The three-dimensional imaging of threading dislocations in GaN films was demonstrated using two-photon excitation photoluminescence. The threading dislocations were shown as dark lines. The spatial resolutions near the surface were about 0.32 and 3.2 µm for the in-plane and depth directions, respectively. The threading dislocations with a density less than 108 cm-2 were resolved, although the aberration induced by the refractive index mismatch was observed. The decrease in threading dislocation density was clearly observed by increasing the GaN film thickness. This can be considered a novel method for characterizing threading dislocations in GaN films without any destructive preparations.

  6. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.

    2015-12-28

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less

  7. Photoelectrochemical etching measurement of defect density in GaN grown by nanoheteroepitaxy

    NASA Astrophysics Data System (ADS)

    Ferdous, M. S.; Sun, X. Y.; Wang, X.; Fairchild, M. N.; Hersee, S. D.

    2006-05-01

    The density of dislocations in n-type GaN was measured by photoelectrochemical etching. A 10× reduction in dislocation density was observed compared to planar GaN grown at the same time. Cross-sectional transmission electron microscopy studies indicate that defect reduction is due to the mutual cancellation of dislocations with equal and opposite Burger's vectors. The nanoheteroepitaxy sample exhibited significantly higher photoluminescence intensity and higher electron mobility than the planar reference sample.

  8. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, andmore » a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.« less

  9. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  10. Dynamics of threading dislocations in porous heteroepitaxial GaN films

    NASA Astrophysics Data System (ADS)

    Gutkin, M. Yu.; Rzhavtsev, E. A.

    2017-12-01

    Behavior of threading dislocations in porous heteroepitaxial gallium nitride (GaN) films has been studied using computer simulation by the two-dimensional discrete dislocation dynamics approach. A computational scheme, where pores are modeled as cross sections of cylindrical cavities, elastically interacting with unidirectional parallel edge dislocations, which imitate threading dislocations, is used. Time dependences of coordinates and velocities of each dislocation from dislocation ensembles under investigation are obtained. Visualization of current structure of dislocation ensemble is performed in the form of a location map of dislocations at any time. It has been shown that the density of appearing dislocation structures significantly depends on the ratio of area of a pore cross section to area of the simulation region. In particular, increasing the portion of pores surface on the layer surface up to 2% should lead to about a 1.5-times decrease of the final density of threading dislocations, and increase of this portion up to 15% should lead to approximately a 4.5-times decrease of it.

  11. Synchrotron radiation x-ray topography and defect selective etching analysis of threading dislocations in GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sintonen, Sakari, E-mail: sakari.sintonen@aalto.fi; Suihkonen, Sami; Jussila, Henri

    2014-08-28

    The crystal quality of bulk GaN crystals is continuously improving due to advances in GaN growth techniques. Defect characterization of the GaN substrates by conventional methods is impeded by the very low dislocation density and a large scale defect analysis method is needed. White beam synchrotron radiation x-ray topography (SR-XRT) is a rapid and non-destructive technique for dislocation analysis on a large scale. In this study, the defect structure of an ammonothermal c-plane GaN substrate was recorded using SR-XRT and the image contrast caused by the dislocation induced microstrain was simulated. The simulations and experimental observations agree excellently and themore » SR-XRT image contrasts of mixed and screw dislocations were determined. Apart from a few exceptions, defect selective etching measurements were shown to correspond one to one with the SR-XRT results.« less

  12. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  13. Movement of basal plane dislocations in GaN during electron beam irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yakimov, E. B.; National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049; Vergeles, P. S.

    The movement of basal plane segments of dislocations in low-dislocation-density GaN films grown by epitaxial lateral overgrowth as a result of irradiation with the probing beam of a scanning electron microscope was detected by means of electron beam induced current. Only a small fraction of the basal plane dislocations was susceptible to such changes and the movement was limited to relatively short distances. The effect is explained by the radiation enhanced dislocation glide for dislocations pinned by two different types of pinning sites: a low-activation-energy site and a high-activation-energy site. Only dislocation segments pinned by the former sites can bemore » moved by irradiation and only until they meet the latter pinning sites.« less

  14. Dislocation-induced stress in polycrystalline materials: mesoscopic simulations in the dislocation density formalism

    NASA Astrophysics Data System (ADS)

    Berkov, D. V.; Gorn, N. L.

    2018-06-01

    In this paper we present a simple and effective numerical method which allows a fast Fourier transformation-based evaluation of stress generated by dislocations with arbitrary directions and Burgers vectors if the (site-dependent) dislocation density is known. Our method allows the evaluation of the dislocation stress using a rectangular grid with shape-anisotropic discretization cells without employing higher multipole moments of the dislocation interaction coefficients. Using the proposed method, we first simulate the stress created by relatively simple non-homogeneous distributions of vertical edge and so-called ‘mixed’ dislocations in a disk-shaped sample, which is necessary to understand the dislocation behavior in more complicated systems. The main part of our research is devoted to the stress distribution in polycrystalline layers with the dislocation density rapidly varying with the distance to the layer bottom. Considering GaN as a typical example of such systems, we investigate dislocation-induced stress for edge and mixed dislocations, having random orientations of Burgers vectors among crystal grains. We show that the rapid decay of the dislocation density leads to many highly non-trivial features of the stress distributions in such layers and study in detail the dependence of these features on the average grain size. Finally we develop an analytical approach which allows us to predict the evolution of the stress variance with the grain size and compare analytical predictions with numerical results.

  15. Effect of screw threading dislocations and inverse domain boundaries in GaN on the shape of reciprocal-space maps.

    PubMed

    Barchuk, Mykhailo; Motylenko, Mykhaylo; Lukin, Gleb; Pätzold, Olf; Rafaja, David

    2017-04-01

    The microstructure of polar GaN layers, grown by upgraded high-temperature vapour phase epitaxy on [001]-oriented sapphire substrates, was studied by means of high-resolution X-ray diffraction and transmission electron microscopy. Systematic differences between reciprocal-space maps measured by X-ray diffraction and those which were simulated for different densities of threading dislocations revealed that threading dislocations are not the only microstructure defect in these GaN layers. Conventional dark-field transmission electron microscopy and convergent-beam electron diffraction detected vertical inversion domains as an additional microstructure feature. On a series of polar GaN layers with different proportions of threading dislocations and inversion domain boundaries, this contribution illustrates the capability and limitations of coplanar reciprocal-space mapping by X-ray diffraction to distinguish between these microstructure features.

  16. Method of growing GaN films with a low density of structural defects using an interlayer

    DOEpatents

    Bourret-Courchesne, Edith D.

    2003-01-01

    A dramatic reduction of the dislocation density in GaN was obtained by insertion of a single thin interlayer grown at an intermediate temperature (IT-IL) after the growth of an initial grown at high temperature. A description of the growth process is presented with characterization results aimed at understanding the mechanisms of reduction in dislocation density. A large percentage of the threading dislocations present in the first GaN epilayer are found to bend near the interlayer and do not propagate into the top layer which grows at higher temperature in a lateral growth mode. TEM studies show that the mechanisms of dislocation reduction are similar to those described for the epitaxial lateral overgrowth process, however a notable difference is the absence of coalescence boundaries.

  17. GaN nanostructure design for optimal dislocation filtering

    NASA Astrophysics Data System (ADS)

    Liang, Zhiwen; Colby, Robert; Wildeson, Isaac H.; Ewoldt, David A.; Sands, Timothy D.; Stach, Eric A.; García, R. Edwin

    2010-10-01

    The effect of image forces in GaN pyramidal nanorod structures is investigated to develop dislocation-free light emitting diodes (LEDs). A model based on the eigenstrain method and nonlocal stress is developed to demonstrate that the pyramidal nanorod efficiently ejects dislocations out of the structure. Two possible regimes of filtering behavior are found: (1) cap-dominated and (2) base-dominated. The cap-dominated regime is shown to be the more effective filtering mechanism. Optimal ranges of fabrication parameters that favor a dislocation-free LED are predicted and corroborated by resorting to available experimental evidence. The filtering probability is summarized as a function of practical processing parameters: the nanorod radius and height. The results suggest an optimal nanorod geometry with a radius of ˜50b (26 nm) and a height of ˜125b (65 nm), in which b is the magnitude of the Burgers vector for the GaN system studied. A filtering probability of greater than 95% is predicted for the optimal geometry.

  18. Impact of screw and edge dislocations on the thermal conductivity of individual nanowires and bulk GaN: a molecular dynamics study.

    PubMed

    Termentzidis, Konstantinos; Isaiev, Mykola; Salnikova, Anastasiia; Belabbas, Imad; Lacroix, David; Kioseoglou, Joseph

    2018-02-14

    We report the thermal transport properties of wurtzite GaN in the presence of dislocations using molecular dynamics simulations. A variety of isolated dislocations in a nanowire configuration are analyzed and found to considerably reduce the thermal conductivity while impacting its temperature dependence in a different manner. Isolated screw dislocations reduce the thermal conductivity by a factor of two, while the influence of edge dislocations is less pronounced. The relative reduction of thermal conductivity is correlated with the strain energy of each of the five studied types of dislocations and the nature of the bonds around the dislocation core. The temperature dependence of the thermal conductivity follows a physical law described by a T -1 variation in combination with an exponent factor that depends on the material's nature, type and the structural characteristics of the dislocation core. Furthermore, the impact of the dislocation density on the thermal conductivity of bulk GaN is examined. The variation and absolute values of the total thermal conductivity as a function of the dislocation density are similar for defected systems with both screw and edge dislocations. Nevertheless, we reveal that the thermal conductivity tensors along the parallel and perpendicular directions to the dislocation lines are different. The discrepancy of the anisotropy of the thermal conductivity grows with increasing density of dislocations and it is more pronounced for the systems with edge dislocations. Besides the fundamental insights of the presented results, these could also be used for the identification of the type of dislocations when one experimentally obtains the evolution of thermal conductivity with temperature since each type of dislocation has a different signature, or one could extract the density of dislocations with a simple measurement of thermal anisotropy.

  19. Free-carrier mobility in GaN in the presence of dislocation walls

    NASA Astrophysics Data System (ADS)

    Farvacque, J.-L.; Bougrioua, Z.; Moerman, I.

    2001-03-01

    The free-carrier mobility versus carrier density in n-type GaN grown by low-pressure metal-organic vapor- phase epitaxy on a sapphire substrate experiences a particular behavior that consists of the appearance of a sharp transition separating a low- from a high-mobility regime. This separation appears as soon as the carrier density exceeds a critical value that depends on the growth process. Using low-field electrical transport simulations, we show that this particular mobility behavior cannot be simply interpreted in terms of dislocation scattering or trapping mechanisms, but that it is also controlled by the collective effect of dislocation walls (the columnar structure). As the free-carrier density increases, the more efficient screening properties result in the transition from a barrier-controlled mobility regime to a pure-diffusion-process-controlled mobility regime. The model permits us to reproduce the experimental mobility collapse quantitatively.

  20. Dislocation Reduction and Stress Relaxation of GaN and InGaN Multiple Quantum Wells with Improved Performance via Serpentine Channel Patterned Mask.

    PubMed

    Ji, Qingbin; Li, Lei; Zhang, Wei; Wang, Jia; Liu, Peichi; Xie, Yahong; Yan, Tongxing; Yang, Wei; Chen, Weihua; Hu, Xiaodong

    2016-08-24

    The existence of high threading dislocation density (TDD) in GaN-based epilayers is a long unsolved problem, which hinders further applications of defect-sensitive GaN-based devices. Multiple-modulation of epitaxial lateral overgrowth (ELOG) is used to achieve high-quality GaN template on a novel serpentine channel patterned sapphire substrate (SCPSS). The dislocation blocking brought by the serpentine channel patterned mask, coupled with repeated dislocation bending, can reduce the dislocation density to a yet-to-be-optimized level of ∼2 × 10(5) to 2 × 10(6) cm(-2). About 80% area utilization rate of GaN with low TDD and stress relaxation is obtained. The periodical variations of dislocation density, optical properties and residual stress in GaN-based epilayers on SCPSS are analyzed. The quantum efficiency of InGaN/GaN multiple quantum wells (MQWs) on it can be increased by 52% compared with the conventional sapphire substrate. The reduced nonradiative recombination centers, the enhanced carrier localization, and the suppressed quantum confined Stark effect, are the main determinants of improved luminous performance in MQWs on SCPSS. This developed ELOG on serpentine shaped mask needs no interruption and regrowth, which can be a promising candidate for the heteroepitaxy of semipolar/nonpolar GaN and GaAs with high quality.

  1. Selective-area growth of GaN nanocolumns on Si(111) substrates for application to nanocolumn emitters with systematic analysis of dislocation filtering effect of nanocolumns

    NASA Astrophysics Data System (ADS)

    Kishino, Katsumi; Ishizawa, Shunsuke

    2015-06-01

    The growth of highly uniform arrays of GaN nanocolumns with diameters from 122 to 430 nm on Si (111) substrates was demonstrated. The employment of GaN film templates with flat surfaces (root mean square surface roughness of 0.84 nm), which were obtained using an AlN/GaN superlattice (SL) buffer on Si, contributed to the high-quality selective-area growth of nanocolumns using a thin Ti mask of 5 nm thickness by rf-plasma-assisted molecular beam epitaxy. Although the GaN template included a large number of dislocations (dislocation density ˜1011 cm-2), the dislocation filtering effect of nanocolumns was enhanced with decreasing nanocolumn diameters (D). Systematic transmission electron microscopy (TEM) observation enabled us to explain the dependence of the dislocation propagation behavior in nanocolumns on the nanocolumn diameter for the first time. Plan-view TEM analysis was performed for nanocolumns with D = 120-324 nm by slicing the nanocolumns horizontally at a height of ˜300 nm above their bottoms and dislocation propagation through the nanocolumns was analyzed by the cross-sectional TEM observation of nanocolumns with D ˜ 200 nm. It was clarified that dislocations were effectively filtered in the bottom 300 nm region of the nanocolumns, the dislocation density of the nanocolumns decreased with decreasing D, and for narrow nanocolumns with D < 200 nm, dislocation-free crystals were obtained in the upper part of the nanocolumns. The dramatic improvement in the emission properties of GaN nanocolumns observed with decreasing diameter is discussed in relation to the decreased dislocation density. The laser action of InGaN/GaN-based nanocolumn arrays with a nanocolumn diameter of 170 nm and a period of 200 nm on Si under optical excitation was obtained with an emission wavelength of 407 nm. We also fabricated red-emitting InGaN-based nanocolumn light-emitting diodes on Si that operated at a wavelength of 652 nm, demonstrating vertical conduction through the Al

  2. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  3. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    NASA Astrophysics Data System (ADS)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  4. Influence of dislocation density on internal quantum efficiency of GaN-based semiconductors

    NASA Astrophysics Data System (ADS)

    Yu, Jiadong; Hao, Zhibiao; Li, Linsen; Wang, Lai; Luo, Yi; Wang, Jian; Sun, Changzheng; Han, Yanjun; Xiong, Bing; Li, Hongtao

    2017-03-01

    By considering the effects of stress fields coming from lattice distortion as well as charge fields coming from line charges at edge dislocation cores on radiative recombination of exciton, a model of carriers' radiative and non-radiative recombination has been established in GaN-based semiconductors with certain dislocation density. Using vector average of the stress fields and the charge fields, the relationship between dislocation density and the internal quantum efficiency (IQE) is deduced. Combined with related experimental results, this relationship is fitted well to the trend of IQEs of bulk GaN changing with screw and edge dislocation density, meanwhile its simplified form is fitted well to the IQEs of AlGaN multiple quantum well LEDs with varied threading dislocation densities but the same light emission wavelength. It is believed that this model, suitable for different epitaxy platforms such as MOCVD and MBE, can be used to predict to what extent the luminous efficiency of GaN-based semiconductors can still maintain when the dislocation density increases, so as to provide a reasonable rule of thumb for optimizing the epitaxial growth of GaN-based devices.

  5. Density of bunched threading dislocations in epitaxial GaN layers as determined using X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Barchuk, M.; Holý, V.; Rafaja, D.

    2018-04-01

    X-ray diffraction is one of the most popular experimental methods employed for determination of dislocation densities, as it can recognize both the strain fields and the local lattice rotations produced by dislocations. The main challenge of the quantitative analysis of the dislocation density is the formulation of a suitable microstructure model, which describes the dislocation arrangement and the effect of the interactions between the strain fields from neighboring dislocations reliably in order to be able to determine the dislocation densities precisely. The aim of this study is to prove the capability of X-ray diffraction and two computational methods, which are frequently used for quantification of the threading dislocation densities from X-ray diffraction measurements, in the special case of partially bunched threading dislocations. The first method is based on the analysis of the dislocation-controlled crystal mosaicity, and the other one on the analysis of diffuse X-ray scattering from threading dislocations. The complementarity of both methods is discussed. Furthermore, it is shown how the complementarity of these methods can be used to improve the results of the quantitative analysis of bunched and thus inhomogeneously distributed threading dislocations and to get a better insight into the dislocation arrangement.

  6. Transmission electron microscopy study of microstructural properties and dislocation characterization in the GaN film grown on the cone-shaped patterned Al2O3 substrate.

    PubMed

    Park, Jung Sik; Yang, Jun-Mo; Park, Kyung Jin; Park, Yun Chang; Yoo, Jung Ho; Jeong, Chil Seong; Park, Jucheol; He, Yinsheng; Shin, Keesam

    2014-02-01

    Growing a GaN film on a patterned Al2O3 substrate is one of the methods of reducing threading dislocations (TDs), which can significantly deteriorate the performance of GaN-based LEDs. In this study, the microstructural details of the GaN film grown on a cone-shaped patterned Al2O3 substrate were investigated using high-resolution transmission electron microscopy and weak-beam dark-field techniques. Various defects such as misfit dislocations (MDs), recrystallized GaN (R-GaN) islands and nano-voids were observed on the patterned Al2O3 surfaces, i.e. the flat surface (FS), the inclined surface (IS) and the top surface (TS), respectively. Especially, the crystallographic orientation of R-GaN between the GaN film and the inclined Al2O3 substrate was identified as $[\\overline 1 2\\overline 1 0]_{{\\rm GaN}} \\hbox{//}[\\overline 1 101]_{{\\rm R - GaN} \\,{\\rm on}\\,{\\rm IS}} \\hbox{//}[\\overline 1 100]_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $, $(\\overline 1 012)_{{\\rm GaN}} \\hbox{//}(1\\overline 1 02)_{{\\rm R - Ga}\\,{\\rm Non}\\,{\\rm IS}} \\hbox{//}(\\overline {11} 26)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $. In addition, a rotation by 9° between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0002)_{{\\rm GaN}} $ and between $(10\\overline 1 1)_{{\\rm R - GaN}} $ and $(0006)_{ {{\\rm Al}} _{\\rm 2} {\\rm O}_{\\rm 3}} $ was found to reduce the lattice mismatch between the GaN film and the Al2O3 substrate. Many TDs in the GaN film were observed on the FS and TS of Al2O3. However, few TDs were observed on the IS. Most of the TDs generated from the FS of Al2O3 were bent to the inclined facet rather than propagating to the GaN surface, resulting in a reduction in the dislocation density. Most of the TDs generated from the TS of Al2O3 were characterized as edge dislocations.

  7. Detection of edge component of threading dislocations in GaN by Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Kokubo, Nobuhiko; Tsunooka, Yosuke; Fujie, Fumihiro; Ohara, Junji; Hara, Kazukuni; Onda, Shoichi; Yamada, Hisashi; Shimizu, Mitsuaki; Harada, Shunta; Tagawa, Miho; Ujihara, Toru

    2018-06-01

    We succeeded in measuring the density and direction of the edge component of threading dislocations (TDs) in c-plane (0001) GaN by micro-Raman spectroscopy mapping. In the micro-Raman spectroscopy mapping of the E2 H peak shift between 567.85 and 567.75 cm‑1, six different contrast images are observed toward directions of < 1\\bar{1}00> . By comparing X-ray topography and etch pit images, the E2 H peak shift is observed where the edge component of TDs exists. In contrast, the E2 H peak is not observed where the screw component of TDs exists.

  8. Recombination properties of dislocations in GaN

    NASA Astrophysics Data System (ADS)

    Yakimov, Eugene B.; Polyakov, Alexander Y.; Lee, In-Hwan; Pearton, Stephen J.

    2018-04-01

    The recombination activity of threading dislocations in n-GaN with different dislocation densities and different doping levels was studied using electron beam induced current (EBIC). The recombination velocity on a dislocation, also known as the dislocation recombination strength, was calculated. The results suggest that dislocations in n-GaN giving contrast in EBIC are charged and surrounded by a space charge region, as evidenced by the observed dependence of dislocation recombination strength on dopant concentration. For moderate (below ˜108 cm-2) dislocation densities, these defects do not primarily determine the average diffusion length of nonequilibrium charge carriers, although locally, dislocations are efficient recombination sites. In general, it is observed that the effect of the growth method [standard metalorganic chemical vapor deposition (MOCVD), epitaxial lateral overgrowth versions of MOCVD, and hydride vapor phase epitaxy] on the recombination activity of dislocations is not very pronounced, although the average diffusion lengths can widely differ for various samples. The glide of basal plane dislocations at room temperature promoted by low energy electron irradiation does not significantly change the recombination properties of dislocations.

  9. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  10. High breakdown single-crystal GaN p-n diodes by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Zhao, Yuning; Yan, Xiaodong

    2015-12-07

    Molecular beam epitaxy grown GaN p-n vertical diodes are demonstrated on single-crystal GaN substrates. A low leakage current <3 nA/cm{sup 2} is obtained with reverse bias voltage up to −20 V. With a 400 nm thick n-drift region, an on-resistance of 0.23 mΩ cm{sup 2} is achieved, with a breakdown voltage corresponding to a peak electric field of ∼3.1 MV/cm in GaN. Single-crystal GaN substrates with very low dislocation densities enable the low leakage current and the high breakdown field in the diodes, showing significant potential for MBE growth to attain near-intrinsic performance when the density of dislocations is low.

  11. Resistivity control of unintentionally doped GaN films

    NASA Astrophysics Data System (ADS)

    Grzegorczyk, A. P.; Macht, L.; Hageman, P. R.; Rudzinski, M.; Larsen, P. K.

    2005-05-01

    GaN epilayers were grown on sapphire substrates via low temperature GaN and AlN nucleation layers (NL) by metalorganic chemical vapor phase epitaxy (MOCVD). The morphology of the individual NLs strongly depends on the carrier gas used during the growth and recrystallization and this is the key factor for control of the resistivity of the GaN layer grown on it. The GaN nucleation layer grown in presence of N2 has a higher density of islands with a statistically smaller diameter than the samples grown in H2 atmosphere. The NL grown in N2 enables the growth GaN with a sheet resistivity higher than 3×104 cm as opposed to a 0.5 cm value obtained for the NL grown in H2. Introduction of an additional intermediate (IL) low temperature (GaN or AlN) nucleation layer changes the GaN epilayer resistivity to about 50 cm, regardless of the carrier gas used during the growth of the IL. Defect selective etching demonstrated that control of the type and density of the dislocations in GaN enables the growth of highly resistive layers without any intentional acceptor doping (Mg, Zn). It will be demonstrated that by changing the ratio of edge type to screw dislocations the resistivity of the layer can be changed by a few orders of magnitude.

  12. Estimation of dislocations density and distribution of dislocations during ECAP-Conform process

    NASA Astrophysics Data System (ADS)

    Derakhshan, Jaber Fakhimi; Parsa, Mohammad Habibi; Ayati, Vahid; Jafarian, Hamidreza

    2018-01-01

    Dislocation density of coarse grain aluminum AA1100 alloy (140 µm) that was severely deformed by Equal Channel Angular Pressing-Conform (ECAP-Conform) are studied at various stages of the process by electron backscattering diffraction (EBSD) method. The geometrically necessary dislocations (GNDs) density and statistically stored dislocations (SSDs) densities were estimate. Then the total dislocations densities are calculated and the dislocation distributions are presented as the contour maps. Estimated average dislocations density for annealed of about 2×1012 m-2 increases to 4×1013 m-2 at the middle of the groove (135° from the entrance), and they reach to 6.4×1013 m-2 at the end of groove just before ECAP region. Calculated average dislocations density for one pass severely deformed Al sample reached to 6.2×1014 m-2. At micrometer scale the behavior of metals especially mechanical properties largely depend on the dislocation density and dislocation distribution. So, yield stresses at different conditions were estimated based on the calculated dislocation densities. Then estimated yield stresses were compared with experimental results and good agreements were found. Although grain size of material did not clearly change, yield stress shown intensive increase due to the development of cell structure. A considerable increase in dislocations density in this process is a good justification for forming subgrains and cell structures during process which it can be reason of increasing in yield stress.

  13. Effect of Si, Mg, and Mg Zn doping on structural properties of a GaN layer grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Lee, J. Y.; Kim, K. S.; Yang, G. M.

    2001-12-01

    We have studied the structural properties of undoped, Si-doped, Mg-doped, and Mg-Zn codoped GaN using high-resolution X-ray diffraction (HRXRD) and transmission electron microscopy. When compared with undoped GaN, the dislocation density at the surface of the GaN layer decreases with Si doping and increases with Mg doping. In addition, we observed a reduction of dislocation density by codoping with Zn atoms in the Mg-doped GaN layer. The full width at half maximum of HRXRD shows that Si doping and Mg-Zn codoping improve the structural quality of the GaN layer as compared with undoped and Mg-doped GaN, respectively.

  14. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  15. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimizedmore » GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.« less

  16. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamand, S.M., E-mail: soran.mamand@univsul.net; Omar, M.S.; Muhammad, A.J.

    2012-05-15

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: Black-Right-Pointing-Pointer A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. Black-Right-Pointing-Pointer A direct method is used to calculate phonon group velocity for these nanowires. Black-Right-Pointing-Pointer 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. Black-Right-Pointing-Pointer Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2-300 K, was performed using a modified Callaway model.more » Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10{sup 14} m{sup -2} the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10{sup 14} m{sup -2}, lattice thermal conductivity would be independent of that.« less

  17. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Usami, Shigeyoshi; Ando, Yuto; Tanaka, Atsushi; Nagamatsu, Kentaro; Deki, Manato; Kushimoto, Maki; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi; Sugawara, Yoshihiro; Yao, Yong-Zhao; Ishikawa, Yukari

    2018-04-01

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

  18. Leakage current analysis for dislocations in Na-flux GaN bulk single crystals by conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Hamachi, T.; Takeuchi, S.; Tohei, T.; Imanishi, M.; Imade, M.; Mori, Y.; Sakai, A.

    2018-04-01

    The mechanisms associated with electrical conduction through individual threading dislocations (TDs) in a Na-flux GaN crystal grown with a multipoint-seed-GaN technique were investigated by conductive atomic force microscopy (C-AFM). To focus on individual TDs, dislocation-related etch pits (DREPs) were formed on the Na-flux GaN surface by wet chemical etching, after which microscopic Pt electrodes were locally fabricated on the DREPs to form conformal contacts to the Na-flux GaN crystal, using electron beam assisted deposition. The C-AFM data clearly demonstrate that the leakage current flows through the individual TD sites. It is also evident that the leakage current and the electrical conduction mechanism vary significantly based on the area within the Na-flux GaN crystal where the TDs are formed. These regions include the c-growth sector (cGS) in which the GaN grows in the [0001 ] direction on top of the point-seed with a c-plane growth front, the facet-growth sector (FGS) in which the GaN grows with {10 1 ¯ 1 } facets on the side of the cGS, the boundary region between the cGS and FGS (BR), and the coalescence boundary region between FGSs (CBR). The local current-voltage (I-V) characteristics of the specimen demonstrate space charge limited current conduction and conduction related to band-like trap states associated with TDs in the FGS, BR, and CBR. A detailed analysis of the I-V data indicates that the electrical conduction through TDs in the cGS may proceed via the Poole-Frenkel emission mechanism.

  19. Recombination-related properties of a-screw dislocations in GaN: A combined CL, EBIC, TEM study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Medvedev, O. S., E-mail: o.s.medvedev@spbu.ru; Mikhailovskii, V. Yu.; IRC for Nanotechnology, Research Park, St.-Petersburg State University

    2016-06-17

    Cathodoluminescence (CL), electron beam current (EBIC) and transmission electron microscopy (TEM) techniques have been applied to investigate recombination properties and structure of freshly introduced dislocations in low-ohmic GaN crystals. It was confirmed that the only a-screw dislocations exhibited an intense characteristic dislocation-related luminescence (DRL) which persisted up to room temperature and was red-shifted by about 0.3 eV with respect to the band gap energy not only in HVPE but also in MOCVD grown samples. EBIC contrast of the dislocations was found to be temperature independent indicating that the dislocation-related recombination level is situated below 200 meV with respect of conductionmore » band minimum. With the increasing of the magnification of the dislocation TEM cross-sectional images they were found to disappear, probably, due to the recombination enhanced dislocation glide (REDG) under electron beam exposure which was immediately observed in CL investigations on a large scale. The stacking fault ribbon in the core of dissociated a-screw dislocation which form a quantum well for electrons was proposed to play an important role both in DRL spectrum formation and in REDG.« less

  20. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  1. Exciton dynamics at a single dislocation in GaN probed by picosecond time-resolved cathodoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, W., E-mail: we.liu@epfl.ch, E-mail: gwenole.jacopin@epfl.ch; Carlin, J.-F.; Grandjean, N.

    2016-07-25

    We investigate the dynamics of donor bound excitons (D°X{sub A}) at T = 10 K around an isolated single edge dislocation in homoepitaxial GaN, using a picosecond time-resolved cathodoluminescence (TR-CL) setup with high temporal and spatial resolutions. An ∼ 1.3 meV dipole-like energy shift of D°X{sub A} is observed around the dislocation, induced by the local strain fields. By simultaneously recording the variations of both the exciton lifetime and the CL intensity across the dislocation, we directly assess the dynamics of excitons around the defect. Our observations are well reproduced by a diffusion model. It allows us to deduce an exciton diffusion length ofmore » ∼24 nm as well as an effective area of the dislocation with a radius of ∼95 nm, where the recombination can be regarded as entirely non-radiative.« less

  2. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  3. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  4. Electrical current flow at conductive nanowires formed in GaN thin films by a dislocation template technique

    NASA Astrophysics Data System (ADS)

    Amma, Shin-ichi; Tokumoto, Yuki; Edagawa, Keiichi; Shibata, Naoya; Mizoguchi, Teruyasu; Yamamoto, Takahisa; Ikuhara, Yuichi

    2010-05-01

    Conductive nanowires were fabricated in GaN thin film by selectively doping of Al along threading dislocations. Electrical current flow localized at the nanowires was directly measured by a contact mode atomic force microscope. The current flow at the nanowires was considered to be Frenkel-Poole emission mode, suggesting the existence of the deep acceptor level along the nanowires as a possible cause of the current flow. The results obtained in this study show the possibility for fabricating nanowires using pipe-diffusion at dislocations in solid thin films.

  5. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  6. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    NASA Astrophysics Data System (ADS)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  7. Luminescence from defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, M. A.; Morkoç, H.

    2006-04-01

    We briefly review the luminescence properties of defects in GaN and focus on the most interesting defects. In particular, the blue luminescence band peaking at about 3 eV is assigned to different defects and even different types of transitions in undoped, Zn-, C-, and Mg-doped GaN. Another omnipresent luminescence band, the yellow luminescence band may have different origin in nearly dislocation-free freestanding GaN templates, undoped thin layers, and carbon-doped GaN. The Y4 and Y7 lines are caused by recombination at unidentified point defects captured by threading edge dislocations.

  8. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    NASA Astrophysics Data System (ADS)

    Ohta, Akio; Truyen, Nguyen Xuan; Fujimura, Nobuyuki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The energy distribution of the electronic state density of wet-cleaned epitaxial GaN surfaces and SiO2/GaN structures has been studied by total photoelectron yield spectroscopy (PYS). By X-ray photoelectron spectroscopy (XPS) analysis, the energy band diagram for a wet-cleaned epitaxial GaN surface such as the energy level of the valence band top and electron affinity has been determined to obtain a better understanding of the measured PYS signals. The electronic state density of GaN surface with different carrier concentrations in the energy region corresponding to the GaN bandgap has been evaluated. Also, the interface defect state density of SiO2/GaN structures was also estimated by not only PYS analysis but also capacitance–voltage (C–V) characteristics. We have demonstrated that PYS analysis enables the evaluation of defect state density filled with electrons at the SiO2/GaN interface in the energy region corresponding to the GaN midgap, which is difficult to estimate by C–V measurement of MOS capacitors.

  9. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  10. Electron mobility of self-assembled and dislocation free InN nanorods grown on GaN nano wall network template

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tangi, Malleswararao; De, Arpan; Ghatak, Jay

    2016-05-28

    A kinetically controlled two-step growth process for the formation of an array of dislocation free high mobility InN nanorods (NRs) on GaN nanowall network (NWN) by Molecular Beam Epitaxy is demonstrated here. The epitaxial GaN NWN is formed on c-sapphire under nitrogen rich conditions, and then changing the source from Ga to In at appropriate substrate temperature yields the nucleation of a self assembled spontaneous m-plane side faceted-InN NR. By HRTEM, the NRs are shown to be dislocation-free and have a low band gap value of 0.65 eV. Hall measurements are carried out on a single InN NR along with J-Vmore » measurements that yield mobility values as high as ≈4453 cm{sup 2}/V s and the carrier concentration of ≈1.1 × 10{sup 17} cm{sup −3}, which are unprecedented in the literature for comparable InN NR diameters.« less

  11. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  12. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  13. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  14. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  15. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  16. Curvature and bow of bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Foronda, Humberto M.; Young, Erin C.; Robertson, Christian A.

    2016-07-21

    We investigate the bow of free standing (0001) oriented hydride vapor phase epitaxy grown GaN substrates and demonstrate that their curvature is consistent with a compressive to tensile stress gradient (bottom to top) present in the substrates. The origin of the stress gradient and the curvature is attributed to the correlated inclination of edge threading dislocation (TD) lines away from the [0001] direction. A model is proposed and a relation is derived for bulk GaN substrate curvature dependence on the inclination angle and the density of TDs. The model is used to analyze the curvature for commercially available GaN substratesmore » as determined by high resolution x-ray diffraction. The results show a close correlation between the experimentally determined parameters and those predicted from theoretical model.« less

  17. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allowmore » for even more efficient III-nitride based devices.« less

  18. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  19. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  20. The Effect of Growth Environment on the Morphological and Extended Defect Evolution in GaN Grown by Metalorganic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.

    1998-08-01

    The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.

  1. Structural defects in bulk GaN

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Z.; dos Reis, R.; Mancuso, M.; Song, C. Y.; Grzegory, I.; Porowski, S.; Bockowski, M.

    2014-10-01

    Transmission Electron Microscopy (TEM) studies of undoped and Mg doped GaN layers grown on the HVPE substrates by High Nitrogen Pressure Solution (HNPS) with the multi-feed-seed (MFS) configuration are shown. The propagation of dislocations from the HVPE substrate to the layer is observed. Due to the interaction between these dislocations in the thick layers much lower density of these defects is observed in the upper part of the HNPS layers. Amorphous Ga precipitates with attached voids pointing toward the growth direction are observed in the undoped layer. This is similar to the presence of Ga precipitates in high-pressure platelets, however the shape of these precipitates is different. The Mg doped layers do not show Ga precipitates, but MgO rectangular precipitates are formed, decorating the dislocations. Results of TEM studies of HVPE layers grown on Ammonothermal substrates are also presented. These layers have superior crystal quality in comparison to the HNPS layers, as far as density of dislocation is concern. Occasionally some small inclusions can be found, but their chemical composition was not yet determined. It is expected that growth of the HNPS layers on these substrate will lead to large layer thickness obtained in a short time and with high crystal perfection needed in devices.

  2. Structural investigations of GaN grown by low-pressure chemical vapor deposition on 6H{endash}SiC and Al{sub 2}O{sub 3} from GaCl{sub 3} and NH{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Topf, M.; Fischer, S.

    1997-08-01

    GaN films grown on (0001) 6H{endash}SiC and (0001) Al{sub 2}O{sub 3} substrates using low-pressure chemical vapor deposition with GaCl{sub 3} and NH{sub 3} as precursors are comparatively explored by optical, scanning tunneling, and transmission electron microscopy. Independent of the substrate material used, the surface of the GaN layers is covered by hexagonally shaped islands. For GaN on 6H{endash}SiC, the islands are larger in diameter ({approx}50 {mu}m) and rather uniformly distributed. An atomically flat interface is observed for GaN on Al{sub 2}O{sub 3} in contrast to GaN grown on 6H{endash}SiC, where the interface is characterized by large steps. For both substrates,more » faceted holes (named as pinholes) are observed in near-surface regions of the GaN layers occurring with a density of about 7{times}10{sup 8} cm{sup {minus}2}. No unequivocal correlation between the density of pinholes and the density of threading dislocations ({approx}1.6{times}10{sup 10} cm{sup {minus}2} for GaN/Al{sub 2}O{sub 3} and {approx}4{times}10{sup 9} cm{sup {minus}2} for GaN/6H{endash}SiC) can be found. Rather, different types of defects are identified to be correlated with the pinholes, implying a dislocation-independent mechanism for the pinhole formation. Despite the small lattice mismatch between GaN and 6H{endash}SiC, the pronounced original surface roughness of this substrate material is believed to account for both the marked interfacial roughness and the still existing high density of threading dislocations. {copyright} {ital 1997 American Institute of Physics.}« less

  3. Comparison of dislocation density tensor fields derived from discrete dislocation dynamics and crystal plasticity simulations of torsion

    DOE PAGES

    Jones, Reese E.; Zimmerman, Jonathan A.; Po, Giacomo; ...

    2016-02-01

    Accurate simulation of the plastic deformation of ductile metals is important to the design of structures and components to performance and failure criteria. Many techniques exist that address the length scales relevant to deformation processes, including dislocation dynamics (DD), which models the interaction and evolution of discrete dislocation line segments, and crystal plasticity (CP), which incorporates the crystalline nature and restricted motion of dislocations into a higher scale continuous field framework. While these two methods are conceptually related, there have been only nominal efforts focused at the global material response that use DD-generated information to enhance the fidelity of CPmore » models. To ascertain to what degree the predictions of CP are consistent with those of DD, we compare their global and microstructural response in a number of deformation modes. After using nominally homogeneous compression and shear deformation dislocation dynamics simulations to calibrate crystal plasticity ow rule parameters, we compare not only the system-level stress-strain response of prismatic wires in torsion but also the resulting geometrically necessary dislocation density fields. To establish a connection between explicit description of dislocations and the continuum assumed with crystal plasticity simulations we ascertain the minimum length-scale at which meaningful dislocation density fields appear. Furthermore, our results show that, for the case of torsion, that the two material models can produce comparable spatial dislocation density distributions.« less

  4. Morphological evolution and characterization of GaN pyramid arrays fabricated by photo-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Shiying; Xiu, Xiangqian; Xu, Qingjun; Li, Yuewen; Hua, Xuemei; Chen, Peng; Xie, Zili; Liu, Bin; Zhou, Yugang; Han, Ping; Zhang, Rong; Zheng, Youdou

    2016-12-01

    GaN pyramid arrays have been successfully synthesized by selective photo-assisted chemical etching in a K2S2O8/KOH solution. A detailed analysis of time evolution of surface morphology has been conducted, which describes an etching process of GaN pyramids. Room temperature cathodoluminescence images indicate that these pyramids are composed of crystalline GaN surrounding dislocations, which is caused by the greater recombination rate of electrons and holes at dislocation than that of crystalline GaN. The Raman results show a stress relaxation in GaN pyramids compared with unetched GaN. The optical property of both unetched GaN and GaN pyramids has been studied by photoluminescence. The formation mechanism and feature of GaN pyramids are also rationally explained.

  5. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  6. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  7. Edge Stabilized Ribbon (ESR); Stress, Dislocation Density and Electronic Performance

    NASA Technical Reports Server (NTRS)

    Sachs, E. M.

    1984-01-01

    The edge stabilized ribbon (ESR) silicon ribbon was grown in widths of 1, 2.2 and 4.0 inches at speeds ranging from .6 to 7 in/min, which result in ribbon thicknesses of 5 to 400 microns. One of the primary problems remaining in ESR growth is that of thermally induced mechanical stresses. This problem is manifested as ribbon with a high degree of residual stress or as ribbon with buckled ribbon. Thermal stresses result in a high dislocation density in the grown material, resulting in compromised electronic performance. Improvements in ribbon flatness were accomplished by modification of the ribbon cooling profile. Ribbon flatness and other experimental observations of ESR ribbon are discussed. Laser scanner measurements show a good correlation between diffusion length and dislocation density which indicates that the high dislocation densities are the primary cause of the poor current performance of ESR materials. Dislocation densities were reduced and improved electronic performance resulted. Laser scanner data on new and old material are presented.

  8. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  9. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  10. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Nano-indentation used to study pyramidal slip in GaN single crystals

    NASA Astrophysics Data System (ADS)

    Krimsky, E.; Jones, K. A.; Tompkins, R. P.; Rotella, P.; Ligda, J.; Schuster, B. E.

    2018-02-01

    The nucleation and structure of dislocations created by the nano-indentation of GaN samples with dislocation densities ≈103, 106 or 109 ⊥/cm2 were studied in the interest of learning how dislocations can be created to relieve the mismatch strain in ternary nitride films grown on (0001) oriented binary nitride substrates. Using transmission electron microscopy and stress analyses to assist in interpreting the nano-indentation data, we determined that the pop-ins in the indenter load vs. penetration depth curves are created by an avalanche process at stresses well above the typical yield stress. The process begins by the homogeneous formation of a basal plane screw dislocation that triggers the formation of pyramidal and other basal plane dislocations that relieve the excess stored elastic energy. It appears that pyramidal slip can occur on either the {1122} or {0111} planes, as there is little resistance to the cross slip of screw dislocations.

  12. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  13. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  14. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  15. Electronic and optical properties of GaN/AlN quantum dots with adjacent threading dislocations

    NASA Astrophysics Data System (ADS)

    Ye, Han; Lu, Peng-Fei; Yu, Zhong-Yuan; Yao, Wen-Jie; Chen, Zhi-Hui; Jia, Bo-Yong; Liu, Yu-Min

    2010-04-01

    We present a theory to simulate a coherent GaN QD with an adjacent pure edge threading dislocation by using a finite element method. The piezoelectric effects and the strain modified band edges are investigated in the framework of multi-band k · p theory to calculate the electron and the heavy hole energy levels. The linear optical absorption coefficients corresponding to the interband ground state transition are obtained via the density matrix approach and perturbation expansion method. The results indicate that the strain distribution of the threading dislocation affects the electronic structure. Moreover, the ground state transition behaviour is also influenced by the position of the adjacent threading dislocation.

  16. Low-dislocation-density epitatial layers grown by defect filtering by self-assembled layers of spheres

    DOEpatents

    Wang, George T.; Li, Qiming

    2013-04-23

    A method for growing low-dislocation-density material atop a layer of the material with an initially higher dislocation density using a monolayer of spheroidal particles to bend and redirect or directly block vertically propagating threading dislocations, thereby enabling growth and coalescence to form a very-low-dislocation-density surface of the material, and the structures made by this method.

  17. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  18. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  19. Method to reduce dislocation density in silicon using stress

    DOEpatents

    Buonassisi, Anthony; Bertoni, Mariana; Argon, Ali; Castellanos, Sergio; Fecych, Alexandria; Powell, Douglas; Vogl, Michelle

    2013-03-05

    A crystalline material structure with reduced dislocation density and method of producing same is provided. The crystalline material structure is annealed at temperatures above the brittle-to-ductile transition temperature of the crystalline material structure. One or more stress elements are formed on the crystalline material structure so as to annihilate dislocations or to move them into less harmful locations.

  20. Size dependence of yield strength simulated by a dislocation-density function dynamics approach

    NASA Astrophysics Data System (ADS)

    Leung, P. S. S.; Leung, H. S.; Cheng, B.; Ngan, A. H. W.

    2015-04-01

    The size dependence of the strength of nano- and micron-sized crystals is studied using a new simulation approach in which the dynamics of the density functions of dislocations are modeled. Since any quantity of dislocations can be represented by a density, this approach can handle large systems containing large quantities of dislocations, which may handicap discrete dislocation dynamics schemes due to the excessive computation time involved. For this reason, pillar sizes spanning a large range, from the sub-micron to micron regimes, can be simulated. The simulation results reveal the power-law relationship between strength and specimen size up to a certain size, beyond which the strength varies much more slowly with size. For specimens smaller than ∼4000b, their strength is found to be controlled by the dislocation depletion condition, in which the total dislocation density remains almost constant throughout the loading process. In specimens larger than ∼4000b, the initial dislocation distribution is of critical importance since the presence of dislocation entanglements is found to obstruct deformation in the neighboring regions within a distance of ∼2000b. This length scale suggests that the effects of dense dislocation clusters are greater in intermediate-sized specimens (e.g. 4000b and 8000b) than in larger specimens (e.g. 16 000b), according to the weakest-link concept.

  1. Vertical GaN power diodes with a bilayer edge termination

    DOE PAGES

    Dickerson, Jeramy R.; Allerman, Andrew A.; Bryant, Benjamin N.; ...

    2015-12-07

    Vertical GaN power diodes with a bilayer edge termination (ET) are demonstrated. The GaN p-n junction is formed on a low threading dislocation defect density (10 4 - 10 5 cm -2) GaN substrate, and has a 15-μm-thick n-type drift layer with a free carrier concentration of 5 × 10 15 cm -3. The ET structure is formed by N implantation into the p+-GaN epilayer just outside the p-type contact to create compensating defects. The implant defect profile may be approximated by a bilayer structure consisting of a fully compensated layer near the surface, followed by a 90% compensated (p)more » layer near the n-type drift region. These devices exhibit avalanche breakdown as high as 2.6 kV at room temperature. In addition simulations show that the ET created by implantation is an effective way to laterally distribute the electric field over a large area. This increases the voltage at which impact ionization occurs and leads to the observed higher breakdown voltages.« less

  2. A statistical analysis of the elastic distortion and dislocation density fields in deformed crystals

    DOE PAGES

    Mohamed, Mamdouh S.; Larson, Bennett C.; Tischler, Jonathan Z.; ...

    2015-05-18

    The statistical properties of the elastic distortion fields of dislocations in deforming crystals are investigated using the method of discrete dislocation dynamics to simulate dislocation structures and dislocation density evolution under tensile loading. Probability distribution functions (PDF) and pair correlation functions (PCF) of the simulated internal elastic strains and lattice rotations are generated for tensile strain levels up to 0.85%. The PDFs of simulated lattice rotation are compared with sub-micrometer resolution three-dimensional X-ray microscopy measurements of rotation magnitudes and deformation length scales in 1.0% and 2.3% compression strained Cu single crystals to explore the linkage between experiment and the theoreticalmore » analysis. The statistical properties of the deformation simulations are analyzed through determinations of the Nye and Kr ner dislocation density tensors. The significance of the magnitudes and the length scales of the elastic strain and the rotation parts of dislocation density tensors are demonstrated, and their relevance to understanding the fundamental aspects of deformation is discussed.« less

  3. High voltage and high current density vertical GaN power diodes

    DOE PAGES

    Fischer, A. J.; Dickerson, J. R.; Armstrong, A. M.; ...

    2016-01-01

    We report on the realization of a GaN high voltage vertical p-n diode operating at > 3.9 kV breakdown with a specific on-resistance < 0.9 mΩ.cm 2. Diodes achieved a forward current of 1 A for on-wafer, DC measurements, corresponding to a current density > 1.4 kA/cm 2. An effective critical electric field of 3.9 MV/cm was estimated for the devices from analysis of the forward and reverse current-voltage characteristics. Furthermore this suggests that the fundamental limit to the GaN critical electric field is significantly greater than previously believed.

  4. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  5. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    NASA Astrophysics Data System (ADS)

    Young, Erin C.; Wu, Feng; Romanov, Alexey E.; Haeger, Daniel A.; Nakamura, Shuji; Denbaars, Steven P.; Cohen, Daniel A.; Speck, James S.

    2012-10-01

    In this Letter, we report on the growth and properties of relaxed, compositionally graded AlxGa1 - xN buffer layers on freestanding semipolar (202¯1) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 106/cm2 as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  6. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    PubMed

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  7. Effect of strain rate and dislocation density on the twinning behavior in Tantalum

    DOE PAGES

    Florando, Jeffrey N.; El-Dasher, Bassem S.; Chen, Changqiang; ...

    2016-04-28

    The conditions which affect twinning in tantalum have been investigated across a range of strain rates and initial dislocation densities. Tantalum samples were subjected to a range of strain rates, from 10 –4/s to 10 3/s under uniaxial stress conditions, and under laser-induced shock-loading conditions. In this study, twinning was observed at 77K at strain rates from 1/s to 103/s, and during laser-induced shock experiments. The effect of the initial dislocation density, which was imparted by deforming the material to different amounts of pre-strain, was also studied, and it was shown that twinning is suppressed after a given amount ofmore » pre-strain, even as the global stress continues to increase. These results indicate that the conditions for twinning cannot be represented solely by a critical global stress value, but are also dependent on the evolution of the dislocation density. Additionally, the analysis shows that if twinning is initiated, the nucleated twins may continue to grow as a function of strain, even as the dislocation density continues to increase.« less

  8. Dislocation density evolution in the process of high-temperature treatment and creep of EK-181 steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vershinina, Tatyana, E-mail: vershinina@bsu.edu.ru

    2017-03-15

    X-ray diffraction has been used to study the dislocation structure in ferrite-martensite high-chromium steel EK-181 in the states after heat treatment and high-temperature creep. The influence of heat treatment and stress on evolution of lath martensite structure was investigated by and electron back-scattered diffraction. The effect of nitrogen content on the total dislocation density, fraction of edge and screw dislocation segments are analyzed. - Highlights: •Fraction of edge dislocation in quenched state depends on nitrogen concentration. •Nitrogen affects the character of dislocation structure evolution during annealing. •Edge dislocations fraction influences on dislocation density after aging and creep.

  9. Geometrically Necessary Dislocation Density Evolution in Interstitial Free Steel at Small Plastic Strains

    NASA Astrophysics Data System (ADS)

    Kundu, Amrita; Field, David P.

    2018-06-01

    Measurement of geometrically necessary dislocation (GND) density using electron backscatter diffraction (EBSD) has become rather common place in modern metallurgical research. The utility of this measure as an indicator of the expected flow behavior of the material is not obvious. Incorporation of total dislocation density into the Taylor equation relating flow stress to dislocation density is generally accepted, but this does not automatically extend to a similar relationship for the GND density. This is discussed in the present work using classical equations for isotropic metal plasticity in a rather straight-forward theoretical framework. This investigation examines the development of GND structure in a commercially produced interstitial free steel subject to tensile deformation. Quantification of GND density was carried out using conventional EBSD at various strain levels on the surface of a standard dog-bone-shaped tensile specimen. There is linear increase of the average GND density with imposed macroscopic strain. This is in agreement with the established framework.

  10. Dislocation Density Reduction in Cadmium Telluride and Mercury Cadmium Telluride Grown on Silicon Using Thermal Cycle Annealing

    NASA Astrophysics Data System (ADS)

    Farrell, Stuart Bennett

    Mercury Cadmium Telluride (HgCdTe) is a material of great importance for infrared focal plane array applications. In order to produce large format detector arrays this material needs to be grown on a large area substrate, with silicon being the most mature substrate, it is the optimal choice for large format arrays. To help mitigate the effect of the lattice mismatch between the two materials, cadmium telluride (CdTe) is used as a buffer layer. The CdTe itself has nearly the same lattice mismatch (19.3%) to silicon, but due to the technological advantages it offers and compatibility with HgCdTe, it is the best buffer layer choice. The lattice mismatch between HgCdTe/CdTe and the silicon substrate leads to the formation of dislocations at densities in the mid 106 to low 107 cm-2 range in the epilayers. Such a high dislocation density greatly effects detector device performance quantities such as operability and sensitivity. Hence, the dislocation density should be brought down by at least an order of magnitude by adopting novel in situ and ex situ material processing techniques. In this work, in situ and ex situ thermal cycle annealing (TCA) methods have been used to decrease dislocation density in CdTe and HgCdTe. During the molecular beam epitaxial (MBE) growth of the CdTe buffer layer, the growth was interrupted and the layer was subjected to an annealing cycle within the growth chamber under tellurium overpressure. During the annealing cycle the temperature is raised to beyond the growth temperature (290 → 550 °C) and then allowed to cool before resuming growth again. This process was repeated several times during the growth. After growth, a portion of the material was subjected to a dislocation decoration etch in order to count the etch pit density (EPD) which has a direct correspondence with the dislocation density in the crystal. The crystalline quality was also characterized by x-ray diffraction rocking curves and photoluminescence. The in situ TCA

  11. Comparative study on hydrostatic strain, stress and dislocation density of Al{sub 0.3}Ga{sub 0.7}N/GaN heterostructure before and after a-Si{sub 3}N{sub 4} passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dinara, Syed Mukulika, E-mail: smdinara.iit@gmail.com; Jana, Sanjay Kr.; Mukhopadhyay, Partha

    2015-08-28

    The hydrostatic strain, stress and dislocation densities were comparatively analyzed before and after passivation of amorphous silicon nitride (a-Si{sub 3}N{sub 4}) layer on Al{sub 0.3}Ga{sub 0.7}N/GaN heterostructure by nondestructive high resolution x-ray diffraction (HRXRD) technique. The crystalline quality, in-plane and out-of plane strain were evaluated from triple-axis (TA) (ω-2θ) diffraction profile across the (002) reflection plane and double-axis (DA) (ω-2θ) glancing incidence (GI) diffraction profile across (105) reflection plane. The hydrostatic strain and stress of Al{sub 0.3}Ga{sub 0.7}N barrier layer were increased significantly after passivation and both are tensile in nature. The dislocation density of GaN was also analyzed andmore » no significant change was observed after passivation of the heterostructure. The crystalline quality was not degraded after passivation on the heterostructure confirmed by the full-width-half-maximum (FWHM) analysis.« less

  12. Metal viscoplasticity with two-temperature thermodynamics and two dislocation densities

    NASA Astrophysics Data System (ADS)

    Roy Chowdhury, Shubhankar; Kar, Gurudas; Roy, Debasish; Reddy, J. N.

    2018-03-01

    Posed within the two-temperature theory of non-equilibrium thermodynamics, we propose a model for thermoviscoplastic deformation in metals. We incorporate the dynamics of dislocation densities-mobile and forest—that play the role of internal state variables in the formulation. The description based on two temperatures appears naturally when one recognizes that the thermodynamic system undergoing viscoplastic deformation is composed of two weakly interacting subsystems, viz. a kinetic-vibrational subsystem of the vibrating atomic lattices and a configurational subsystem of the slower degrees of freedom relating to defect motion, each with its own temperature. Starting with a basic model that involves only homogeneous deformation, a three-dimensional model for inhomogeneous viscoplasticity applicable to finite deformation is charted out in an overstress driven viscoplastic deformation framework. The model shows how the coupled evolutions of mobile and forest dislocation densities, which are critically influenced by the dynamics of configurational temperature, govern the strength and ductility of the metal. Unlike most contemporary models, the current proposal also affords a prediction of certain finer details as observed in the experimental data on stress-strain behaviour of metals and this in turn enhances the understanding of the evolving and interacting dislocation densities.

  13. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  14. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    NASA Astrophysics Data System (ADS)

    Bai, J.; Gong, Y.; Xing, K.; Yu, X.; Wang, T.

    2013-03-01

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 μm occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilation and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.

  15. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  16. Dislocation density evolution of AA 7020-T6 investigated by in-situ synchrotron diffraction under tensile load

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhong, Z.Y., E-mail: zhengye.zhong@hzg.de; Helmholtz-Zentrum Geesthacht, Max-Planck-Straße 1, D-21502 Geesthacht; Brokmeier, H.-G.

    2015-10-15

    The dislocation density evolution along the loading axis of a textured AA 7020-T6 aluminum alloy during uniaxial tension was investigated by in-situ synchrotron diffraction. The highly parallel synchrotron beam at the High Energy Materials Science beamline P07 in PETRA III, DESY, offers excellent conditions to separate different influences for line broadening from which micro-strains are obtained using the modified Williamson–Hall method which is also for defect density investigations. During tensile loading the dislocation density evolution was documented from the as-received material (initial micro-strain state) to the relaxation of the strains during elastic deformation. After yield, the increasing rate of dislocationmore » density growth was relatively fast till half-way between yield and UTS. After that, the rate started to decrease and the dislocation density fluctuated as the elongation increased due to the generation and annihilation of dislocations. When dislocation generation is dominant, the correlation between the flow stress and dislocation density satisfies the Taylor equation. Besides, a method to correct the thickness effect on peak broadening is developed in the present study. - Highlights: • In-situ synchrotron diffraction was applied to characterize peak broadening. • Dislocation evolution along the loading axis during uniaxial tension was investigated. • A method to correct the sample thickness effect on peak broadening was developed. • Dislocation density and flow stress satisfy the Taylor equation at a certain range. • The texture before load and after sample fracture was analyzed.« less

  17. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, Erin C.; Wu Feng; Haeger, Daniel A.

    In this Letter, we report on the growth and properties of relaxed, compositionally graded Al{sub x}Ga{sub 1-x}N buffer layers on freestanding semipolar (2021) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 10{sup 6}/cm{sup 2} as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  18. Effect of strain rate and dislocation density on the twinning behavior in tantalum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Florando, Jeffrey N., E-mail: florando1@llnl.gov; Swift, Damian C.; Barton, Nathan R.

    2016-04-15

    The conditions which affect twinning in tantalum have been investigated across a range of strain rates and initial dislocation densities. Tantalum samples were subjected to a range of strain rates, from 10{sup −4}/s to 10{sup 3}/s under uniaxial stress conditions, and under laser-induced shock-loading conditions. In this study, twinning was observed at 77 K at strain rates from 1/s to 10{sup 3}/s, and during laser-induced shock experiments. The effect of the initial dislocation density, which was imparted by deforming the material to different amounts of pre-strain, was also studied, and it was shown that twinning is suppressed after a givenmore » amount of pre-strain, even as the global stress continues to increase. These results indicate that the conditions for twinning cannot be represented solely by a critical global stress value, but are also dependent on the evolution of the dislocation density. In addition, the analysis shows that if twinning is initiated, the nucleated twins may continue to grow as a function of strain, even as the dislocation density continues to increase.« less

  19. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  20. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  1. Study of recombination characteristics in MOCVD grown GaN epi-layers on Si

    NASA Astrophysics Data System (ADS)

    Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.

    2017-12-01

    The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.

  2. Evolution of Dislocation Density During Tensile Deformation of BH220 Steel at Different Pre-strain Conditions

    NASA Astrophysics Data System (ADS)

    Seth, Prem Prakash; Das, A.; Bar, H. N.; Sivaprasad, S.; Basu, A.; Dutta, K.

    2015-07-01

    Tensile behavior of BH220 steel with different pre-strain conditions (2 and 8%) followed by bake hardening was studied at different strain rates (0.001 and 0.1/s). Dislocation densities of the deformed specimens were successfully estimated from x-ray diffraction profile analysis using the modified Williamson-Hall equation. The results indicate that other than 2% pre-strain the dislocation density increases with increase in pre-strain level as well as with strain rate. The decrease in the dislocation density in 2% pre-strain condition without any drop in strength value is attributed to the characteristic dislocation feature formed during pre-straining.

  3. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  4. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  5. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  6. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  7. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  8. The application of water coupled nonlinear ultrasonics to quantify the dislocation density in aluminum 1100

    NASA Astrophysics Data System (ADS)

    Mostavi, Amir; Tehrani, N.; Kamali, N.; Ozevin, D.; Chi, S. W.; Indacochea, J. E.

    2017-02-01

    This article investigates water coupled nonlinear ultrasonic method to measure the dislocation density in aluminum 1100 specimens. The different levels of dislocation densities are introduced to the samples by applying different levels of plastic strains by tensile loading. The ultrasonic testing includes 2.25 MHz transducer as transmitter and 5.0 MHz transducer as receiver in an immersion tank. The results of immersion experiments are compared with oil-coupled experiments. While water has significant nonlinearity within itself, the immersion ultrasound results agree with the literature of oil coupled ultrasound results of the specimens that the nonlinearity coefficient increases with the increase of dislocation density in aluminum.

  9. Efficient reduction of defects in (1120) non-polar and (1122) semi-polar GaN grown on nanorod templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bai, J.; Gong, Y.; Xing, K.

    2013-03-11

    (1120) non-polar and (1122) semi-polar GaNs with a low defect density have been achieved by means of an overgrowth on nanorod templates, where a quick coalescence with a thickness even below 1 {mu}m occurs. On-axis and off-axis X-ray rocking curve measurements have shown a massive reduction in the linewidth for our overgrown GaN in comparison with standard GaN films grown on sapphire substrates. Transmission electron microscope observation demonstrates that the overgrowth on the nanorod templates takes advantage of an omni-directional growth around the sidewalls of the nanostructures. The dislocations redirect in basal planes during the overgrowth, leading to their annihilationmore » and termination at voids formed due to a large lateral growth rate. In the non-polar GaN, the priority <0001> lateral growth from vertical sidewalls of nanorods allows basal plane stacking faults (BSFs) to be blocked in the nanorod gaps; while for semi-polar GaN, the propagation of BSFs starts to be impeded when the growth front is changed to be along inclined <0001> direction above the nanorods.« less

  10. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such asmore » ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be

  11. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    NASA Astrophysics Data System (ADS)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  12. Luminescence properties of defects in GaN

    NASA Astrophysics Data System (ADS)

    Reshchikov, Michael A.; Morkoç, Hadis

    2005-03-01

    Gallium nitride (GaN) and its allied binaries InN and AIN as well as their ternary compounds have gained an unprecedented attention due to their wide-ranging applications encompassing green, blue, violet, and ultraviolet (UV) emitters and detectors (in photon ranges inaccessible by other semiconductors) and high-power amplifiers. However, even the best of the three binaries, GaN, contains many structural and point defects caused to a large extent by lattice and stacking mismatch with substrates. These defects notably affect the electrical and optical properties of the host material and can seriously degrade the performance and reliability of devices made based on these nitride semiconductors. Even though GaN broke the long-standing paradigm that high density of dislocations precludes acceptable device performance, point defects have taken the center stage as they exacerbate efforts to increase the efficiency of emitters, increase laser operation lifetime, and lead to anomalies in electronic devices. The point defects include native isolated defects (vacancies, interstitial, and antisites), intentional or unintentional impurities, as well as complexes involving different combinations of the isolated defects. Further improvements in device performance and longevity hinge on an in-depth understanding of point defects and their reduction. In this review a comprehensive and critical analysis of point defects in GaN, particularly their manifestation in luminescence, is presented. In addition to a comprehensive analysis of native point defects, the signatures of intentionally and unintentionally introduced impurities are addressed. The review discusses in detail the characteristics and the origin of the major luminescence bands including the ultraviolet, blue, green, yellow, and red bands in undoped GaN. The effects of important group-II impurities, such as Zn and Mg on the photoluminescence of GaN, are treated in detail. Similarly, but to a lesser extent, the effects of

  13. Grouped and Multistep Nanoheteroepitaxy: Toward High-Quality GaN on Quasi-Periodic Nano-Mask.

    PubMed

    Feng, Xiaohui; Yu, Tongjun; Wei, Yang; Ji, Cheng; Cheng, Yutian; Zong, Hua; Wang, Kun; Yang, Zhijian; Kang, Xiangning; Zhang, Guoyi; Fan, Shoushan

    2016-07-20

    A novel nanoheteroepitaxy method, namely, the grouped and multistep nanoheteroepitaxy (GM-NHE), is proposed to attain a high-quality gallium nitride (GaN) epilayer by metal-organic vapor phase epitaxy. This method combines the effects of sub-100 nm nucleation and multistep lateral growth by using a low-cost but unique carbon nanotube mask, which consists of nanoscale growth windows with a quasi-periodic 2D fill factor. It is found that GM-NHE can facilely reduce threading dislocation density (TDD) and modulate residual stress on foreign substrate without any regrowth. As a result, high-quality GaN epilayer is produced with homogeneously low TDD of 4.51 × 10(7) cm(-2) and 2D-modulated stress, and the performance of the subsequent 410 nm near-ultraviolet light-emitting diode is greatly boosted. In this way, with the facile fabrication of nanomask and the one-off epitaxy procedure, GaN epilayer is prominently improved with the assistance of nanotechnology, which demonstrates great application potential for high-efficiency TDD-sensitive optoelectronic and electronic devices.

  14. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  15. Deep levels in as-grown and electron-irradiated n-type GaN studied by deep level transient spectroscopy and minority carrier transient spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duc, Tran Thien; School of Engineering Physics, Hanoi University of Science and Technology, 1 Dai Co Viet Road, Hanoi; Pozina, Galia

    2016-03-07

    Development of high performance GaN-based devices is strongly dependent on the possibility to control and understand defects in material. Important information about deep level defects is obtained by deep level transient spectroscopy and minority carrier transient spectroscopy on as-grown and electron irradiated n-type bulk GaN with low threading dislocation density produced by halide vapor phase epitaxy. One hole trap labelled H1 (E{sub V} + 0.34 eV) has been detected on as-grown GaN sample. After 2 MeV electron irradiation, the concentration of H1 increases and at fluences higher than 5 × 10{sup 14 }cm{sup −2}, a second hole trap labelled H2 is observed. Simultaneously, the concentration of twomore » electron traps, labelled T1 (E{sub C} – 0.12 eV) and T2 (E{sub C} – 0.23 eV), increases. By studying the increase of the defect concentration versus electron irradiation fluence, the introduction rate of T1 and T2 using 2 MeV- electrons was determined to be 7 × 10{sup −3 }cm{sup −1} and 0.9 cm{sup −1}, respectively. Due to the low introduction rate of T1, it is suggested that the defect is associated with a complex. The high introduction rate of trap H1 and T2 suggests that the defects are associated with primary intrinsic defects or complexes. Some deep levels previously observed in irradiated GaN layers with higher threading dislocation densities are not detected in present investigation. It is therefore suggested that the absent traps may be related to primary defects segregated around dislocations.« less

  16. Determination of dislocation density by electron backscatter diffraction and X-ray line profile analysis in ferrous lath martensite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berecz, Tibor, E-mail: berecz@eik.bme.hu; Jenei, Péter, E-mail: jenei@metal.elte.hu; Csóré, András, E-mail: csorean@gmail.com

    2016-03-15

    The microstructure and the dislocation density in as-quenched ferrous lath martensite were studied by different methods. The blocks, packets and variants formed due to martensitic transformation were identified and their sizes were determined by electron backscatter diffraction (EBSD). Concomitant transmission electron microscopy (TEM) investigation revealed that the laths contain subgrains with the size between 50 and 100 nm. A novel evaluation procedure of EBSD images was elaborated for the determination of the density and the space distribution of geometrically necessary dislocations from the misorientation distribution. The total dislocation density obtained by X-ray diffraction line profile analysis was in good agreementmore » with the value determined by EBSD, indicating that the majority of dislocations formed due to martensitic transformation during quenching are geometrically necessary dislocations.« less

  17. The K 2S 2O 8-KOH photoetching system for GaN

    NASA Astrophysics Data System (ADS)

    Weyher, J. L.; Tichelaar, F. D.; van Dorp, D. H.; Kelly, J. J.; Khachapuridze, A.

    2010-09-01

    A recently developed photoetching system for n-type GaN, a KOH solution containing the strong oxidizing agent potassium peroxydisulphate (K 2S 2O 8), was studied in detail. By careful selection of the etching parameters, such as the ratio of components and the hydrodynamics, two distinct modes were defined: defect-selective etching (denoted by KSO-D) and polishing (KSO-P). Both photoetching methods can be used under open-circuit (electroless) conditions. Well-defined dislocation-related etch whiskers are formed during KSO-D etching. All types of dislocations are revealed, and this was confirmed by cross-sectional TEM examination of the etched samples. Extended electrically active defects are also clearly revealed. The known relationship between etch rate and carrier concentration for photoetching of GaN in KOH solutions was confirmed for KSO-D etch using Raman measurements. It is shown that during KSO-P etching diffusion is the rate-limiting step, i.e. this etch is suitable for polishing of GaN. Some constraints of the KSO etching system for GaN are discussed and peculiar etch features, so far not understood, are described.

  18. A numerical spectral approach to solve the dislocation density transport equation

    NASA Astrophysics Data System (ADS)

    Djaka, K. S.; Taupin, V.; Berbenni, S.; Fressengeas, C.

    2015-09-01

    A numerical spectral approach is developed to solve in a fast, stable and accurate fashion, the quasi-linear hyperbolic transport equation governing the spatio-temporal evolution of the dislocation density tensor in the mechanics of dislocation fields. The approach relies on using the Fast Fourier Transform algorithm. Low-pass spectral filters are employed to control both the high frequency Gibbs oscillations inherent to the Fourier method and the fast-growing numerical instabilities resulting from the hyperbolic nature of the transport equation. The numerical scheme is validated by comparison with an exact solution in the 1D case corresponding to dislocation dipole annihilation. The expansion and annihilation of dislocation loops in 2D and 3D settings are also produced and compared with finite element approximations. The spectral solutions are shown to be stable, more accurate for low Courant numbers and much less computation time-consuming than the finite element technique based on an explicit Galerkin-least squares scheme.

  19. Dislocation density of pure copper processed by accumulative roll bonding and equal-channel angular pressing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyajima, Yoji, E-mail: miyajima.y.ab@m.titech.ac.jp; Okubo, Satoshi; Abe, Hiroki

    The dislocation density of pure copper fabricated by two severe plastic deformation (SPD) processes, i.e., accumulative roll bonding and equal-channel angular pressing, was evaluated using scanning transmission electron microscopy/transmission electron microscopy observations. The dislocation density drastically increased from ~ 10{sup 13} m{sup −} {sup 2} to about 5 × 10{sup 14} m{sup −} {sup 2}, and then saturated, for both SPD processes.

  20. Cross Slip of Dislocation Loops in GaN Under Shear

    DTIC Science & Technology

    2014-03-01

    methodology 2.1 Discrete dislocation dynamic ( DDD ) simula- tions In this work, we employ a modified version of the ParaDiS code [15, 16]. First a...plane. 4 Conclusions The cross slip mechanisms of different dislocation loops have been studied via DDD simulations using the type <a> active

  1. Fermi energy control of vacancy coalescence and dislocation density in melt-grown GaAs

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Lin, D. G.; Aoyama, T.

    1984-01-01

    A striking effect of the Fermi energy on the dislocation density in melt-grown GaAs has been discovered. Thus, a shift of the Fermi energy from 0.1 eV above to 0.2 eV below its intrinsic value (at high temperature, i.e., near 1100 K) increases the dislocation density by as much as five orders of magnitude. The Fermi energy shift was brought about by n-type and p-type doping at a level of about 10 to the 17th per cu cm (under conditions of optimum partial pressure of As, i.e., under optimum melt stoichiometry). This effect must be associated with the fact that the Fermi energy controls the charge state of vacancies (i.e., the occupancy of the associated electronic states) which in turn must control their tendency to coalesce and thus the dislocation density. It appears most likely that gallium vacancies are the critical species.

  2. Growth study of self-assembled GaN nanocolumns on silica glass by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Liudi Mulyo, Andreas; Konno, Yuta; Nilsen, Julie S.; van Helvoort, Antonius T. J.; Fimland, Bjørn-Ove; Weman, Helge; Kishino, Katsumi

    2017-12-01

    We demonstrate GaN nanocolumn growth on fused silica glass by plasma-assisted molecular beam epitaxy. The effect of the substrate temperature, Ga flux and N2 flow rate on the structural and optical properties are studied. At optimum growth conditions, GaN nanocolumns are vertically aligned and well separated with an average diameter, height and density of 72 nm, 1.2 μm and 1.6 × 109 cm-2, respectively. The nanocolumns exhibit wurtzite crystal structure with no threading dislocations, stacking faults or twinning and grow in the [0 0 0 1] direction. At the interface adjacent to the glass, there is a few atom layers thick intermediate phase with ABC stacking order (zinc blende). Photoluminescence measurements evidence intense and narrow excitonic emissions, along with the absence of any defect-related zinc blende and yellow luminescence emission.

  3. Statistical analysis of dislocations and dislocation boundaries from EBSD data.

    PubMed

    Moussa, C; Bernacki, M; Besnard, R; Bozzolo, N

    2017-08-01

    Electron BackScatter Diffraction (EBSD) is often used for semi-quantitative analysis of dislocations in metals. In general, disorientation is used to assess Geometrically Necessary Dislocations (GNDs) densities. In the present paper, we demonstrate that the use of disorientation can lead to inaccurate results. For example, using the disorientation leads to different GND density in recrystallized grains which cannot be physically justified. The use of disorientation gradients allows accounting for measurement noise and leads to more accurate results. Misorientation gradient is then used to analyze dislocations boundaries following the same principle applied on TEM data before. In previous papers, dislocations boundaries were defined as Geometrically Necessary Boundaries (GNBs) and Incidental Dislocation Boundaries (IDBs). It has been demonstrated in the past, through transmission electron microscopy data, that the probability density distribution of the disorientation of IDBs and GNBs can be described with a linear combination of two Rayleigh functions. Such function can also describe the probability density of disorientation gradient obtained through EBSD data as reported in this paper. This opens the route for determining IDBs and GNBs probability density distribution functions separately from EBSD data, with an increased statistical relevance as compared to TEM data. The method is applied on deformed Tantalum where grains exhibit dislocation boundaries, as observed using electron channeling contrast imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  5. A dislocation density-based continuum model of the anisotropic shock response of single crystal α-cyclotrimethylene trinitramine

    NASA Astrophysics Data System (ADS)

    Luscher, D. J.; Addessio, F. L.; Cawkwell, M. J.; Ramos, K. J.

    2017-01-01

    We have developed a model for the finite deformation thermomechanical response of α-cyclotrimethylene trinitramine (RDX). Our model accounts for nonlinear thermoelastic lattice deformation through a free energy-based equation of state developed by Cawkwell et al. (2016) in combination with temperature and pressure dependent elastic constants, as well as dislocation-mediated plastic slip on a set of slip systems motivated by experimental observation. The kinetics of crystal plasticity are modeled using the Orowan equation relating slip rate to dislocation density and the dislocation velocity developed by Austin and McDowell (2011), which naturally accounts for transition from thermally activated to dislocation drag limited regimes. Evolution of dislocation density is specified in terms of local ordinary differential equations reflecting dislocation-dislocation interactions. This paper presents details of the theory and parameterization of the model, followed by discussion of simulations of flyer plate impact experiments. Impact conditions explored within this combined simulation and experimental effort span shock pressures ranging from 1 to 3 GPa for four crystallographic orientations and multiple specimen thicknesses. Simulation results generated using this model are shown to be in strong agreement with velocimetry measurements from the corresponding plate impact experiments. Finally, simulation results are used to motivate conclusions about the nature of dislocation-mediated plasticity in RDX.

  6. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  7. Defect reduction in overgrown semi-polar (11-22) GaN on a regularly arrayed micro-rod array template

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Y.; Bai, J.; Hou, Y.

    2016-02-15

    We demonstrate a great improvement in the crystal quality of our semi-polar (11-22) GaN overgrown on regularly arrayed micro-rod templates fabricated using a combination of industry-matched photolithography and dry-etching techniques. As a result of our micro-rod configuration specially designed, an intrinsic issue on the anisotropic growth rate which is a great challenge in conventional overgrowth technique for semi-polar GaN has been resolved. Transmission electron microscopy measurements show a different mechanism of defect reduction from conventional overgrowth techniques and also demonstrate major advantages of our approach. The dislocations existing in the GaN micro-rods are effectively blocked by both a SiO{sub 2}more » mask on the top of each GaN micro-rod and lateral growth along the c-direction, where the growth rate along the c-direction is faster than that along any other direction. Basal stacking faults (BSFs) are also effectively impeded, leading to a distribution of BSF-free regions periodically spaced by BSF regions along the [-1-123] direction, in which high and low BSF density areas further show a periodic distribution along the [1-100] direction. Furthermore, a defect reduction model is proposed for further improvement in the crystalline quality of overgrown (11-22) GaN on sapphire.« less

  8. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  9. Optimization of hetero-epitaxial growth for the threading dislocation density reduction of germanium epilayers

    NASA Astrophysics Data System (ADS)

    Chong, Haining; Wang, Zhewei; Chen, Chaonan; Xu, Zemin; Wu, Ke; Wu, Lan; Xu, Bo; Ye, Hui

    2018-04-01

    In order to suppress dislocation generation, we develop a "three-step growth" method to heteroepitaxy low dislocation density germanium (Ge) layers on silicon with the MBE process. The method is composed of 3 growth steps: low temperature (LT) seed layer, LT-HT intermediate layer as well as high temperature (HT) epilayer, successively. Threading dislocation density (TDD) of epitaxial Ge layers is measured as low as 1.4 × 106 cm-2 by optimizing the growth parameters. The results of Raman spectrum showed that the internal strain of heteroepitaxial Ge layers is tensile and homogeneous. During the growth of LT-HT intermediate layer, TDD reduction can be obtained by lowering the temperature ramping rate, and high rate deposition maintains smooth surface morphology in Ge epilayer. A mechanism based on thermodynamics is used to explain the TDD and surface morphological dependence on temperature ramping rate and deposition rate. Furthermore, we demonstrate that the Ge layer obtained can provide an excellent platform for III-V materials integrated on Si.

  10. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    PubMed

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  11. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  12. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  13. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  14. A dislocation density-based continuum model of the anisotropic shock response of single crystal α-cyclotrimethylene trinitramine

    DOE PAGES

    Luscher, Darby Jon; Addessio, Francis L.; Cawkwell, Marc Jon; ...

    2017-01-01

    Here, we have developed a model for the finite deformation thermomechanical response of α-cyclotrimethylene trinitramine (RDX). Our model accounts for nonlinear thermoelastic lattice deformation through a free energy-based equation of state developed by Cawkwell et al. (2016) in combination with temperature and pressure dependent elastic constants, as well as dislocation-mediated plastic slip on a set of slip systems motivated by experimental observation. The kinetics of crystal plasticity are modeled using the Orowan equation relating slip rate to dislocation density and the dislocation velocity developed by Austin and McDowell (2011), which naturally accounts for transition from thermally activated to dislocation dragmore » limited regimes. Evolution of dislocation density is specified in terms of local ordinary differential equations reflecting dislocation–dislocation interactions. This paper presents details of the theory and parameterization of the model, followed by discussion of simulations of flyer plate impact experiments. Impact conditions explored within this combined simulation and experimental effort span shock pressures ranging from 1 to 3 GPa for four crystallographic orientations and multiple specimen thicknesses. Simulation results generated using this model are shown to be in strong agreement with velocimetry measurements from the corresponding plate impact experiments. Finally, simulation results are used to motivate conclusions about the nature of dislocation-mediated plasticity in RDX.« less

  15. Initial dislocation structure and dynamic dislocation multiplication in Mo single crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiung, L M; Lassila, D H

    Initial dislocation structure in annealed high-purity Mo single crystals and deformation substructure in a crystal subjected to 1% compression have been examined and studied in order to investigate dislocation multiplication mechanisms in the early stages of plastic deformation. The initial dislocation density is in a range of 10{sup 6} {approx} 10{sup 7} cm{sup -2}, and the dislocation structure is found to contain many grown-in superjogs along dislocation lines. The dislocation density increases to a range of 10{sup 8} {approx} 10{sup 9} cm{sup -2}, and the average jog height is also found to increase after compressing for a total strain ofmore » 1%. It is proposed that the preexisting jogged screw dislocations can act as (multiple) dislocation multiplication sources when deformed under quasi-static conditions. Both the jog height and length of link segment (between jogs) can increase by stress-induced jog coalescence, which takes place via the lateral migration (drift) of superjogs driven by unbalanced line-tension partials acting on link segments of unequal lengths. Applied shear stress begins to push each link segment to precede dislocation multiplication when link length and jog height are greater than critical lengths. This dynamic dislocation multiplication source is subsequently verified by direct simulations of dislocation dynamics under stress to be crucial in the early stages of plastic deformation in Mo single crystals.« less

  16. Static Recovery Modeling of Dislocation Density in a Cold Rolled Clad Aluminum Alloy

    NASA Astrophysics Data System (ADS)

    Penlington, Alex

    Clad alloys feature one or more different alloys bonded to the outside of a core alloy, with non-equilibrium, interalloy interfaces. There is limited understanding of the recovery and recrystallization behaviour of cold rolled clad aluminum alloys. In order to optimize the properties of such alloys, new heat treatment processes may be required that differ from what is used for the monolithic alloys. This study examines the recovery behaviour of a cold rolled Novelis Fusion(TM) alloy containing an AA6XXX core with an AA3003 cladding on one side. The bond between alloys appears microscopically discrete and continuous, but has a 30 microm wide chemical gradient. The as-deformed structure at the interalloy region consists of pancaked sub-grains with dislocations at the misorientation boundaries and a lower density organized within the more open interiors. X-ray line broadening was used to extract the dislocation density from the interalloy region and an equivalently deformed AA6XXX following static annealing using a modified Williamson-Hall analysis. This analysis assumed that Gaussian broadening contributions in a pseudo-Voigt function corresponded only to strain from dislocations. The kinetics of the dislocation density evolution to recrystallization were studied isothermally at 2 minute intervals, and isochronally at 175 and 205°C. The data fit the Nes model, in which the interalloy region recovered faster than AA6XXX at 175°C, but was slower at 205°C. This was most likely caused by change in texture and chemistry within this region such as over-aging of AA6XXX . Simulation of a continuous annealing and self homogenization process both with and without pre-recovery indicates a detectable, though small change in the texture and grain size in the interalloy region.

  17. Electrical properties of dislocations in III-Nitrides

    NASA Astrophysics Data System (ADS)

    Cavalcoli, D.; Minj, A.; Pandey, S.; Cavallini, A.

    2014-02-01

    Research on GaN, AlN, InN (III-N) and their alloys is achieving new heights due their high potential applications in photonics and electronics. III-N semiconductors are mostly grown epitaxially on sapphire, and due to the large lattice mismatch and the differences in the thermal expansion coefficients, the structures usually contain many threading dislocations (TDs). While their structural properties have been widely investigated, their electrical characteristics and their role in the transport properties of the devices are still debated. In the present contribution we will show conductive AFM studies of TDs in GaN and Al/In GaN ternary alloys to evidence the role of strain, different surface polarity and composition on their electrical properties. Local I-V curves measured at TDs allowed us to clarify their role in the macroscopic electrical properties (leakage current, mobilities) of III-N based devices. Samples obtained by different growers (AIXTRON, III-V Lab) were studied. The comparison between the results obtained in the different alloys allowed us to understand the role of In and Al on the TDs electrical properties.

  18. High current density 2D/3D MoS2/GaN Esaki tunnel diodes

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Sriram; Lee, Edwin W.; Lee, Choong Hee; Zhang, Yuewei; McCulloch, William D.; Johnson, Jared M.; Hwang, Jinwoo; Wu, Yiying; Rajan, Siddharth

    2016-10-01

    The integration of two-dimensional materials such as transition metal dichalcogenides with bulk semiconductors offer interesting opportunities for 2D/3D heterojunction-based device structures without any constraints of lattice matching. By exploiting the favorable band alignment at the GaN/MoS2 heterojunction, an Esaki interband tunnel diode is demonstrated by transferring large area Nb-doped, p-type MoS2 onto heavily n-doped GaN. A peak current density of 446 A/cm2 with repeatable room temperature negative differential resistance, peak to valley current ratio of 1.2, and minimal hysteresis was measured in the MoS2/GaN non-epitaxial tunnel diode. A high current density of 1 kA/cm2 was measured in the Zener mode (reverse bias) at -1 V bias. The GaN/MoS2 tunnel junction was also modeled by treating MoS2 as a bulk semiconductor, and the electrostatics at the 2D/3D interface was found to be crucial in explaining the experimentally observed device characteristics.

  19. Ionic liquid gating on atomic layer deposition passivated GaN: Ultra-high electron density induced high drain current and low contact resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Hong; Du, Yuchen; Ye, Peide D., E-mail: yep@purdue.edu

    2016-05-16

    Herein, we report on achieving ultra-high electron density (exceeding 10{sup 14 }cm{sup −2}) in a GaN bulk material device by ionic liquid gating, through the application of atomic layer deposition (ALD) of Al{sub 2}O{sub 3} to passivate the GaN surface. Output characteristics demonstrate a maximum drain current of 1.47 A/mm, the highest reported among all bulk GaN field-effect transistors, with an on/off ratio of 10{sup 5} at room temperature. An ultra-high electron density exceeding 10{sup 14 }cm{sup −2} accumulated at the surface is confirmed via Hall-effect measurement and transfer length measurement. In addition to the ultra-high electron density, we also observe a reductionmore » of the contact resistance due to the narrowing of the Schottky barrier width on the contacts. Taking advantage of the ALD surface passivation and ionic liquid gating technique, this work provides a route to study the field-effect and carrier transport properties of conventional semiconductors in unprecedented ultra-high charge density regions.« less

  20. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  1. Continuum dislocation-density based models for the dynamic shock response of single-crystal and polycrystalline materials

    NASA Astrophysics Data System (ADS)

    Luscher, Darby

    2017-06-01

    The dynamic thermomechanical responses of polycrystalline materials under shock loading are often dominated by the interaction of defects and interfaces. For example, polymer-bonded explosives (PBX) can initiate under weak shock impacts whose energy, if distributed homogeneously throughout the material, translates to temperature increases that are insufficient to drive the rapid chemistry observed. In such cases, heterogeneous thermomechanical interactions at the mesoscale (i.e. between single-crystal and macroscale) lead to the formation of localized hot spots. Within metals, a prescribed deformation associated with a shock wave may be accommodated by crystallographic slip, provided a sufficient population of mobile dislocations is available. However, if the deformation rate is large enough, there may be an insufficient number of freely mobile dislocations. In these cases, additional dislocations may be nucleated, or alternate mechanisms (e.g. twinning, damage) activated in order to accommodate the deformation. Direct numerical simulation at the mesoscale offers insight into these physical processes that can be invaluable to the development of macroscale constitutive theories, if the mesoscale models adequately represent the anisotropic nonlinear thermomechanical response of individual crystals and their interfaces. This talk will briefly outline a continuum mesoscale modeling framework founded upon local and nonlocal variations of dislocation-density based crystal plasticity theory. The nonlocal theory couples continuum dislocation transport with the local theory. In the latter, dislocation transport is modeled by enforcing dislocation conservation at a slip-system level through the solution of advection-diffusion equations. The configuration of geometrically necessary dislocation density gives rise to a back-stress that inhibits or accentuates the flow of dislocations. Development of the local theory and application to modeling the explosive molecular crystal

  2. Weak-beam scanning transmission electron microscopy for quantitative dislocation density measurement in steels.

    PubMed

    Yoshida, Kenta; Shimodaira, Masaki; Toyama, Takeshi; Shimizu, Yasuo; Inoue, Koji; Yoshiie, Toshimasa; Milan, Konstantinovic J; Gerard, Robert; Nagai, Yasuyoshi

    2017-04-01

    To evaluate dislocations induced by neutron irradiation, we developed a weak-beam scanning transmission electron microscopy (WB-STEM) system by installing a novel beam selector, an annular detector, a high-speed CCD camera and an imaging filter in the camera chamber of a spherical aberration-corrected transmission electron microscope. The capabilities of the WB-STEM with respect to wide-view imaging, real-time diffraction monitoring and multi-contrast imaging are demonstrated using typical reactor pressure vessel steel that had been used in an European nuclear reactor for 30 years as a surveillance test piece with a fluence of 1.09 × 1020 neutrons cm-2. The quantitatively measured size distribution (average loop size = 3.6 ± 2.1 nm), number density of the dislocation loops (3.6 × 1022 m-3) and dislocation density (7.8 × 1013 m m-3) were carefully compared with the values obtained via conventional weak-beam transmission electron microscopy studies. In addition, cluster analysis using atom probe tomography (APT) further demonstrated the potential of the WB-STEM for correlative electron tomography/APT experiments. © The Author 2017. Published by Oxford University Press on behalf of The Japanese Society of Microscopy. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  3. A dislocation density based micromechanical constitutive model for Sn-Ag-Cu solder alloys

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Yao, Yao; Zeng, Tao; Keer, Leon M.

    2017-10-01

    Based on the dislocation density hardening law, a micromechanical model considering the effects of precipitates is developed for Sn-Ag-Cu solder alloys. According to the microstructure of the Sn-3.0Ag-0.5Cu thin films, intermetallic compounds (IMCs) are assumed as sphere particles embedded in the polycrystalline β-Sn matrix. The mechanical behavior of polycrystalline β-Sn matrix is determined by the elastic-plastic self-consistent method. The existence of IMCs not only impedes the motion of dislocations but also increases the overall stiffness. Thus, a dislocation density based hardening law considering non-shearable precipitates is adopted locally for single β-Sn crystal, and the Mori-Tanaka scheme is applied to describe the overall viscoplastic behavior of solder alloys. The proposed model is incorporated into finite element analysis and the corresponding numerical implementation method is presented. The model can describe the mechanical behavior of Sn-3.0Ag-0.5Cu and Sn-1.0Ag-0.5Cu alloys under high strain rates at a wide range of temperatures. Furthermore, the overall Young’s modulus changes due to different contents of IMCs is predicted and compared with experimental data. Results show that the proposed model can describe both elastic and inelastic behavior of solder alloys with reasonable accuracy.

  4. Inclined dislocation arrays in AlGaN/AlGaN quantum well structures emitting at 290 nm

    NASA Astrophysics Data System (ADS)

    Chang, T. Y.; Moram, M. A.; McAleese, C.; Kappers, M. J.; Humphreys, C. J.

    2010-12-01

    We report on the structural and optical properties of deep ultraviolet emitting AlGaN/AlGaN multiple quantum wells (MQWs) grown on (0001) sapphire by metal-organic vapor phase epitaxy using two different buffer layer structures, one containing a thin (1 μm) AlN layer combined with a GaN interlayer and the other a thick (4 μm) AlN layer. Transmission electron microscopy analysis of both structures showed inclined arrays of dislocations running through the AlGaN layers at an angle of ˜30°, originating at bunched steps at the AlN surface and terminating at bunched steps at the surface of the MQW structure. In all layers, these inclined dislocation arrays are surrounded by AlGaN with a relatively higher Ga content, consistent with plan-view cathodoluminescence maps in which the bunched surface steps are associated with longer emission wavelengths. The structure with the 4 μm-thick AlN buffer layer had a dislocation density lower by a factor of 2 (at (1.7±0.1)×109 cm-2) compared to the structure with the 1 μm thick AlN buffer layer, despite the presence of the inclined dislocation arrays.

  5. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  6. Reduction of Crosshatch Roughness and Threading Dislocation Density in Metamorphic GaInP Buffers and GaInAs Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    France, R. M.; Geisz, J. F.; Steiner, M. A.

    Surface crosshatch roughness typically develops during the growth of lattice-mismatched compositionally graded buffers and can limit misfit dislocation glide. In this study, the crosshatch roughness during growth of a compressive GaInP/GaAs graded buffer is reduced by increasing the phosphine partial pressure throughout the metamorphic growth. Changes in the average misfit dislocation length are qualitatively determined by characterizing the threading defect density and residual strain. The decrease of crosshatch roughness leads to an increase in the average misfit dislocation glide length, indicating that the surface roughness is limiting dislocation glide. Growth rate is also analyzed as a method to reduce surfacemore » crosshatch roughness and increase glide length, but has a more complicated relationship with glide kinetics. Using knowledge gained from these experiments, high quality inverted GaInAs 1 eV solar cells are grown on a GaInP compositionally graded buffer with reduced roughness and threading dislocation density. The open circuit voltage is only 0.38 V lower than the bandgap potential at a short circuit current density of 15 mA/cm{sup 2}, suggesting that there is very little loss due to the lattice mismatch.« less

  7. Reduction of threading dislocation density in SiGe epilayer on Si (0 0 1) by lateral growth liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel J.

    2018-02-01

    Si0.973Ge0.027 epilayers were grown on a Si (0 0 1) substrate by a lateral liquid-phase epitaxy (LLPE) technique. The lateral growth mechanism favoured the glide of misfit dislocations and inhibited the nucleation of new dislocations by maintaining the thickness less than the critical thicknesses for dislocation nucleation and greater than the critical thickness for glide. This promoted the formation of an array of long misfit dislocations parallel to the [1 1 0] growth direction and reduced the threading dislocation density to 103 cm-2, two orders of magnitude lower than the seed area with an isotropic misfit dislocation network.

  8. Direct evidence of single quantum dot emission from GaN islands formed at threading dislocations using nanoscale cathodoluminescence: A source of single photons in the ultraviolet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Gordon, E-mail: Gordon.Schmidt@ovgu.de; Berger, Christoph; Veit, Peter

    2015-06-22

    Intense emission from GaN islands embedded in AlN resulting from GaN/AlN quantum well growth is directly resolved by performing cathodoluminescence spectroscopy in a scanning transmission electron microscope. Line widths down to 440 μeV are measured in a wavelength region between 220 and 310 nm confirming quantum dot like electronic properties in the islands. These quantum dot states can be structurally correlated to islands of slightly enlarged thicknesses of the GaN/AlN quantum well layer preferentially formed in vicinity to dislocations. The quantum dot states exhibit single photon emission in Hanbury Brown-Twiss experiments with a clear antibunching in the second order correlation function atmore » zero time delay.« less

  9. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  10. Neutron diffraction measurement of residual stresses, dislocation density and texture in Zr-bonded U-10Mo “mini” fuel foils and plates

    DOE PAGES

    Brown, Donald William; Okuniewski, Maria A.; Sisneros, Thomas A.; ...

    2016-12-01

    Here, Al clad U-10Mo fuel plates are being considered for conversion of several research reactors from high-enriched to low-enriched U fuel. Neutron diffraction measurements of the textures, residual phase stresses, and dislocation densities in the individual phases of the mini-foils throughout several processing steps and following hot-isostatic pressing to the Al cladding, have been completed. Recovery and recrystallization of the bare U-10Mo fuel foil, as indicated by the dislocation density and texture, are observed depending on the state of the material prior to annealing and the duration and temperature of the annealing process. In general, the cladding procedure significantly reducesmore » the dislocation density, but the final state of the clad plate, both texture and dislocation density, depends strongly on the final processing step of the fuel foil. In contrast, the residual stress state of the final plate is dominated by the thermal expansion mismatch of the constituent materials.« less

  11. Neutron diffraction measurement of residual stresses, dislocation density and texture in Zr-bonded U-10Mo “mini” fuel foils and plates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brown, Donald William; Okuniewski, Maria A.; Sisneros, Thomas A.

    Here, Al clad U-10Mo fuel plates are being considered for conversion of several research reactors from high-enriched to low-enriched U fuel. Neutron diffraction measurements of the textures, residual phase stresses, and dislocation densities in the individual phases of the mini-foils throughout several processing steps and following hot-isostatic pressing to the Al cladding, have been completed. Recovery and recrystallization of the bare U-10Mo fuel foil, as indicated by the dislocation density and texture, are observed depending on the state of the material prior to annealing and the duration and temperature of the annealing process. In general, the cladding procedure significantly reducesmore » the dislocation density, but the final state of the clad plate, both texture and dislocation density, depends strongly on the final processing step of the fuel foil. In contrast, the residual stress state of the final plate is dominated by the thermal expansion mismatch of the constituent materials.« less

  12. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  13. Interaction of 〈1 0 0〉 dislocation loops with dislocations studied by dislocation dynamics in α-iron

    NASA Astrophysics Data System (ADS)

    Shi, X. J.; Dupuy, L.; Devincre, B.; Terentyev, D.; Vincent, L.

    2015-05-01

    Interstitial dislocation loops with Burgers vector of 〈1 0 0〉 type are formed in α-iron under neutron or heavy ion irradiation. As the density and size of these loops increase with radiation dose and temperature, these defects are thought to play a key role in hardening and subsequent embrittlement of iron-based steels. The aim of the present work is to study the pinning strength of the loops on mobile dislocations. Prior to run massive Dislocation Dynamics (DD) simulations involving experimentally representative array of radiation defects and dislocations, the DD code and its parameterization are validated by comparing the individual loop-dislocation reactions with those obtained from direct atomistic Molecular Dynamics (MD) simulations. Several loop-dislocation reaction mechanisms are successfully reproduced as well as the values of the unpinning stress to detach mobile dislocations from the defects.

  14. Structural properties and defects of GaN crystals grown at ultra-high pressures: A molecular dynamics simulation

    NASA Astrophysics Data System (ADS)

    Gao, Tinghong; Li, Yidan; Xie, Quan; Tian, Zean; Chen, Qian; Liang, Yongchao; Ren, Lei; Hu, Xuechen

    2018-01-01

    The growth of GaN crystals at different pressures was studied by molecular dynamics simulation employing the Stillinger-Weber potential, and their structural properties and defects were characterized using the radial distribution function, the Voronoi polyhedron index method, and a suitable visualization technology. Crystal structures formed at 0, 1, 5, 10, and 20 GPa featured an overwhelming number of <4 0 0 0> Voronoi polyhedra, whereas amorphous structures comprising numerous disordered polyhedra were produced at 50 GPa. During quenching, coherent twin boundaries were easily formed between zinc-blende and wurtzite crystal structures in GaN. Notably, point defects usually appeared at low pressure, whereas dislocations were observed at high pressure, since the simultaneous growth of two crystal grains with different crystal orientations and their boundary expansion was hindered in the latter case, resulting in the formation of a dislocation between these grains.

  15. Determination of carrier diffusion length in GaN

    NASA Astrophysics Data System (ADS)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  16. Integrating AlGaN/GaN high electron mobility transistor with Si: A comparative study of integration schemes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohan, Nagaboopathy; Raghavan, Srinivasan; Centre for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012

    2015-10-07

    AlGaN/GaN high electron mobility transistor stacks deposited on a single growth platform are used to compare the most common transition, AlN to GaN, schemes used for integrating GaN with Si. The efficiency of these transitions based on linearly graded, step graded, interlayer, and superlattice schemes on dislocation density reduction, stress management, surface roughness, and eventually mobility of the 2D-gas are evaluated. In a 500 nm GaN probe layer deposited, all of these transitions result in total transmission electron microscopy measured dislocations densities of 1 to 3 × 10{sup 9}/cm{sup 2} and <1 nm surface roughness. The 2-D electron gas channels formed atmore » an AlGaN-1 nm AlN/GaN interface deposited on this GaN probe layer all have mobilities of 1600–1900 cm{sup 2}/V s at a carrier concentration of 0.7–0.9 × 10{sup 13}/cm{sup 2}. Compressive stress and changes in composition in GaN rich regions of the AlN-GaN transition are the most effective at reducing dislocation density. Amongst all the transitions studied the step graded transition is the one that helps to implement this feature of GaN integration in the simplest and most consistent manner.« less

  17. Intrinsic luminescence and core structure of freshly introduced a-screw dislocations in n-GaN

    NASA Astrophysics Data System (ADS)

    Medvedev, O.; Vyvenko, O.; Ubyivovk, E.; Shapenkov, S.; Bondarenko, A.; Saring, P.; Seibt, M.

    2018-04-01

    Dislocations introduced by the scratching or by the indentation of the basal and prismatic surfaces of low-ohmic unintentionally n-type doped GaN crystals were investigated by means of cathodoluminescence and transmission electron microscopy (TEM). A strong luminescence of straight segments of a-screw dislocations was observed in the temperature range of 70-420 K. The spectrum of dislocation related luminescence (DRL) consisted of a doublet of narrow lines red shifted by about 0.3 eV with respect to the band gap. TEM revealed dissociated character of the screw dislocations and the formation of extended nodes at their intersection. From the analysis of the DRL spectral doublet temperature, power and strain dependences DRL was ascribed to direct and indirect excitons bound by 1D quantum wells formed by partials and stacking fault (SF) ribbon of dissociated screw dislocation.

  18. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  19. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  20. Peierls potential of screw dislocations in bcc transition metals: Predictions from density functional theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weinberger, Christopher R.; Tucker, Garritt J.; Foiles, Stephen M.

    2013-02-01

    It is well known that screw dislocation motion dominates the plastic deformation in body-centered-cubic metals at low temperatures. The nature of the nonplanar structure of screw dislocations gives rise to high lattice friction, which results in strong temperature and strain rate dependence of plastic flow. Thus the nature of the Peierls potential, which is responsible for the high lattice resistance, is an important physical property of the material. However, current empirical potentials give a complicated picture of the Peierls potential. Here, we investigate the nature of the Peierls potential using density functional theory in the bcc transition metals. The resultsmore » show that the shape of the Peierls potential is sinusoidal for every material investigated. Furthermore, we show that the magnitude of the potential scales strongly with the energy per unit length of the screw dislocation in the material.« less

  1. GaN and ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Fündling, Sönke; Sökmen, Ünsal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas; Lähnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning

    2010-07-01

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self- organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures.

  2. GaAsP solar cells on GaP/Si with low threading dislocation density

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yaung, Kevin Nay; Vaisman, Michelle; Lang, Jordan

    2016-07-18

    GaAsP on Si tandem cells represent a promising path towards achieving high efficiency while leveraging the Si solar knowledge base and low-cost infrastructure. However, dislocation densities exceeding 10{sup 8} cm{sup −2} in GaAsP cells on Si have historically hampered the efficiency of such approaches. Here, we report the achievement of low threading dislocation density values of 4.0–4.6 × 10{sup 6} cm{sup −2} in GaAsP solar cells on GaP/Si, comparable with more established metamorphic solar cells on GaAs. Our GaAsP solar cells on GaP/Si exhibit high open-circuit voltage and quantum efficiency, allowing them to significantly surpass the power conversion efficiency of previous devices. The resultsmore » in this work show a realistic path towards dual-junction GaAsP on Si cells with efficiencies exceeding 30%.« less

  3. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  4. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  5. On the luminescence of freshly introduced a-screw dislocations in low-resistance GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Medvedev, O. S., E-mail: o.s.medvedev@spbu.ru; Vyvenko, O. F.; Bondarenko, A. S.

    2015-09-15

    Using scanning electron microscopy in the cathodoluminescence mode, it is shown that straight segments of a-screw dislocations introduced by plastic deformation at room temperature into unintentionally doped low-resistance gallium nitride luminesce in the spectral range 3.1–3.2 eV at 70 K. The spectral composition of dislocation luminescence shows a fine doublet structure with a component width of ∼15 meV and splitting of ∼30 meV, accompanied by LO-phonon replicas. Luminescent screw dislocations move upon exposure to an electron beam and at low temperatures, but retain immobility for a long time without external excitation. Optical transitions involving the quantum-well states of a stackingmore » fault in a split-dislocation core are considered to be the most probable mechanism of the observed phenomenon.« less

  6. Modeling of dislocation dynamics in germanium Czochralski growth

    NASA Astrophysics Data System (ADS)

    Artemyev, V. V.; Smirnov, A. D.; Kalaev, V. V.; Mamedov, V. M.; Sidko, A. P.; Podkopaev, O. I.; Kravtsova, E. D.; Shimansky, A. F.

    2017-06-01

    Obtaining very high-purity germanium crystals with low dislocation density is a practically difficult problem, which requires knowledge and experience in growth processes. Dislocation density is one of the most important parameters defining the quality of germanium crystal. In this paper, we have performed experimental study of dislocation density during 4-in. germanium crystal growth using the Czochralski method and comprehensive unsteady modeling of the same crystal growth processes, taking into account global heat transfer, melt flow and melt/crystal interface shape evolution. Thermal stresses in the crystal and their relaxation with generation of dislocations within the Alexander-Haasen model have been calculated simultaneously with crystallization dynamics. Comparison to experimental data showed reasonable agreement for the temperature, interface shape and dislocation density in the crystal between calculation and experiment.

  7. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  8. Effect of V/III ratio on the surface morphology and electrical properties of m-plane (10 1 bar 0) GaN homoepitaxial layers

    NASA Astrophysics Data System (ADS)

    Barry, Ousmane I.; Tanaka, Atsushi; Nagamatsu, Kentaro; Bae, Si-Young; Lekhal, Kaddour; Matsushita, Junya; Deki, Manato; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi

    2017-06-01

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m-plane (10 1 bar 0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m-plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m-GaN samples were characterized. Low leakage current densities of the order of 10-10 A/cm2 at -5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

  9. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  10. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  11. Identification of the primary compensating defect level responsible for determining blocking voltage of vertical GaN power diodes

    DOE PAGES

    King, M. P.; Kaplar, R. J.; Dickerson, J. R.; ...

    2016-10-31

    Electrical performance and characterization of deep levels in vertical GaN P-i-N diodes grown on low threading dislocation density (~10 4 –10 6 cm –2) bulk GaN substrates are investigated. The lightly doped n drift region of these devices is observed to be highly compensated by several prominent deep levels detected using deep level optical spectroscopy at E c-2.13, 2.92, and 3.2 eV. A combination of steady-state photocapacitance and lighted capacitance-voltage profiling indicates the concentrations of these deep levels to be N t = 3 × 10 12, 2 × 10 15, and 5 × 10 14 cm –3, respectively. Themore » E c-2.92 eV level is observed to be the primary compensating defect in as-grown n-type metal-organic chemical vapor deposition GaN, indicating this level acts as a limiting factor for achieving controllably low doping. The device blocking voltage should increase if compensating defects reduce the free carrier concentration of the n drift region. Understanding the incorporation of as-grown and native defects in thick n-GaN is essential for enabling large V BD in the next-generation wide-bandgap power semiconductor devices. Furthermore, controlling the as-grown defects induced by epitaxial growth conditions is critical to achieve blocking voltage capability above 5 kV.« less

  12. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. A continuum theory of edge dislocations

    NASA Astrophysics Data System (ADS)

    Berdichevsky, V. L.

    2017-09-01

    Continuum theory of dislocation aims to describe the behavior of large ensembles of dislocations. This task is far from completion, and, most likely, does not have a "universal solution", which is applicable to any dislocation ensemble. In this regards it is important to have guiding lines set by benchmark cases, where the transition from a discrete set of dislocations to a continuum description is made rigorously. Two such cases have been considered recently: equilibrium of dislocation walls and screw dislocations in beams. In this paper one more case is studied, equilibrium of a large set of 2D edge dislocations placed randomly in a 2D bounded region. The major characteristic of interest is energy of dislocation ensemble, because it determines the structure of continuum equations. The homogenized energy functional is obtained for the periodic dislocation ensembles with a random contents of the periodic cell. Parameters of the periodic structure can change slowly on distances of order of the size of periodic cells. The energy functional is obtained by the variational-asymptotic method. Equilibrium positions are local minima of energy. It is confirmed the earlier assertion that energy density of the system is the sum of elastic energy of averaged elastic strains and microstructure energy, which is elastic energy of the neutralized dislocation system, i.e. the dislocation system placed in a constant dislocation density field making the averaged dislocation density zero. The computation of energy is reduced to solution of a variational cell problem. This problem is solved analytically. The solution is used to investigate stability of simple dislocation arrays, i.e. arrays with one dislocation in the periodic cell. The relations obtained yield two outcomes: First, there is a state parameter of the system, dislocation polarization; averaged stresses affect only dislocation polarization and cannot change other characteristics of the system. Second, the structure of

  14. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  15. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  16. Unravelling the physics of size-dependent dislocation-mediated plasticity

    NASA Astrophysics Data System (ADS)

    El-Awady, Jaafar A.

    2015-01-01

    Size-affected dislocation-mediated plasticity is important in a wide range of materials and technologies. Here we develop a generalized size-dependent dislocation-based model that predicts strength as a function of crystal/grain size and the dislocation density. Three-dimensional (3D) discrete dislocation dynamics (DDD) simulations reveal the existence of a well-defined relationship between strength and dislocation microstructure at all length scales for both single crystals and polycrystalline materials. The results predict a transition from dislocation-source strengthening to forest-dominated strengthening at a size-dependent critical dislocation density. It is also shown that the Hall-Petch relationship can be physically interpreted by coupling with an appropriate kinetic equation of the evolution of the dislocation density in polycrystals. The model is shown to be in remarkable agreement with experiments. This work presents a micro-mechanistic framework to predict and interpret strength size-scale effects, and provides an avenue towards performing multiscale simulations without ad hoc assumptions.

  17. Impact of threading dislocation density on the lifetime of InAs quantum dot lasers on Si

    NASA Astrophysics Data System (ADS)

    Jung, Daehwan; Herrick, Robert; Norman, Justin; Turnlund, Katherine; Jan, Catherine; Feng, Kaiyin; Gossard, Arthur C.; Bowers, John E.

    2018-04-01

    We investigate the impact of threading dislocation density on the reliability of 1.3 μm InAs quantum dot lasers epitaxially grown on Si. A reduction in the threading dislocation density from 2.8 × 108 cm-2 to 7.3 × 106 cm-2 has improved the laser lifetime by about five orders of magnitude when aged continuous-wave near room temperature (35 °C). We have achieved extrapolated lifetimes (time to double initial threshold) more than 10 × 106 h. An accelerated laser aging test at an elevated temperature (60 °C) reveals that p-modulation doped quantum dot lasers on Si retain superior reliability over unintentionally doped ones. These results suggest that epitaxially grown quantum dot lasers could be a viable approach to realize a reliable, scalable, and efficient light source on Si.

  18. Atomic-scale and pit-free flattening of GaN by combination of plasma pretreatment and time-controlled chemical mechanical polishing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya, E-mail: yamamura@upst.eng.osaka-u.ac.jp

    2015-08-03

    Chemical mechanical polishing (CMP) combined with atmospheric-pressure plasma pretreatment was applied to a GaN (0001) substrate. The irradiation of a CF{sub 4}-containing plasma was proven to be very useful for modifying the surface of GaN. When CMP was conducted on a plasma-irradiated surface, a modified layer of GaF{sub 3} acted as a protective layer on GaN by preventing the formation of etch pits. Within a short duration (8 min) of CMP using a commercially available CeO{sub 2} slurry, an atomically flat surface with a root mean square (rms) roughness of 0.11 nm was obtained. Moreover, etch pits, which are inevitably introduced inmore » conventional CMP, could not be observed at the dislocation sites on the polished GaN surface. It was revealed that CMP combined with the plasma pretreatment was very effective for obtaining a pit-free and atomically flat GaN surface.« less

  19. Responsivity drop due to conductance modulation in GaN metal-semiconductor-metal Schottky based UV photodetectors on Si(111)

    NASA Astrophysics Data System (ADS)

    Ravikiran, L.; Radhakrishnan, K.; Dharmarasu, N.; Agrawal, M.; Wang, Zilong; Bruno, Annalisa; Soci, Cesare; Lihuang, Tng; Kian Siong, Ang

    2016-09-01

    GaN Schottky metal-semiconductor-metal (MSM) UV photodetectors were fabricated on a 600 nm thick GaN layer, grown on 100 mm Si (111) substrate using an ammonia-MBE growth technique. In this report, the effect of device dimensions, applied bias and input power on the linearity of the GaN Schottky-based MSM photodetectors on Si substrate were investigated. Devices with larger interdigitated spacing, ‘S’ of 9.0 μm between the fingers resulted in good linearity and flat responsivity characteristics as a function of input power with an external quantum efficiency (EQE) of ˜33% at an applied bias of 15 V and an input power of 0.8 W m-2. With the decrease of ‘S’ to 3.0 μm, the EQE was found to increase to ˜97%. However, devices showed non linearity and drop in responsivity from flatness at higher input power. Moreover, the position of dropping from flatter responsivity was found to shift to lower powers with increased bias. The drop in the responsivity was attributed to the modulation of conductance in the MSM due to the trapping of electrons at the dislocations, resulting in the formation of depletion regions around them. In devices with lower ‘S’, both the image force reduction and the enhanced collection efficiency increased the photocurrent as well as the charging of the dislocations. This resulted in the increased depletion regions around the dislocations leading to the modulation of conductance and non-linearity.

  20. Numerical analysis of thermal stress and dislocation density distributions in large size multi-crystalline silicon ingots during the seeded growth process

    NASA Astrophysics Data System (ADS)

    Nguyen, Thi Hoai Thu; Chen, Jyh-Chen; Hu, Chieh; Chen, Chun-Hung; Huang, Yen-Hao; Lin, Huang-Wei; Yu, Andy; Hsu, Bruce

    2017-06-01

    In this study, a global transient numerical simulation of silicon growth from the beginning of the solidification process until the end of the cooling process is carried out modeling the growth of an 800 kg ingot in an industrial seeded directional solidification furnace. The standard furnace is modified by the addition of insulating blocks in the hot zone. The simulation results show that there is a significant decrease in the thermal stress and dislocation density in the modified model as compared to the standard one (a maximal decrease of 23% and 75% along the center line of ingot for thermal stress and dislocation density, respectively). This modification reduces the heating power consumption for solidification of the silicon melt by about 17% and shortens the growth time by about 2.5 h. Moreover, it is found that adjusting the operating conditions of modified model to obtain the lower growth rate during the early stages of the solidification process can lower dislocation density and total heater power.

  1. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  2. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  3. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  4. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  5. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  6. Geometric contribution leading to anomalous estimation of two-dimensional electron gas density in GaN based heterostructures

    NASA Astrophysics Data System (ADS)

    Upadhyay, Bhanu B.; Jha, Jaya; Takhar, Kuldeep; Ganguly, Swaroop; Saha, Dipankar

    2018-05-01

    We have observed that the estimation of two-dimensional electron gas density is dependent on the device geometry. The geometric contribution leads to the anomalous estimation of the GaN based heterostructure properties. The observed discrepancy is found to originate from the anomalous area dependent capacitance of GaN based Schottky diodes, which is an integral part of the high electron mobility transistors. The areal capacitance density is found to increase for smaller radii Schottky diodes, contrary to a constant as expected intuitively. The capacitance is found to follow a second order polynomial on the radius of all the bias voltages and frequencies considered here. In addition to the quadratic dependency corresponding to the areal component, the linear dependency indicates a peripheral component. It is further observed that the peripheral to areal contribution is inversely proportional to the radius confirming the periphery as the location of the additional capacitance. The peripheral component is found to be frequency dependent and tends to saturate to a lower value for measurements at a high frequency. In addition, the peripheral component is found to vanish when the surface is passivated by a combination of N2 and O2 plasma treatments. The cumulative surface state density per unit length of the perimeter of the Schottky diodes as obtained by the integrated response over the distance between the ohmic and Schottky contacts is found to be 2.75 × 1010 cm-1.

  7. Supersonic Dislocation Bursts in Silicon

    DOE PAGES

    Hahn, E. N.; Zhao, S.; Bringa, E. M.; ...

    2016-06-06

    Dislocations are the primary agents of permanent deformation in crystalline solids. Since the theoretical prediction of supersonic dislocations over half a century ago, there is a dearth of experimental evidence supporting their existence. Here we use non-equilibrium molecular dynamics simulations of shocked silicon to reveal transient supersonic partial dislocation motion at approximately 15 km/s, faster than any previous in-silico observation. Homogeneous dislocation nucleation occurs near the shock front and supersonic dislocation motion lasts just fractions of picoseconds before the dislocations catch the shock front and decelerate back to the elastic wave speed. Applying a modified analytical equation for dislocation evolutionmore » we successfully predict a dislocation density of 1.5 x 10(12) cm(-2) within the shocked volume, in agreement with the present simulations and realistic in regards to prior and on-going recovery experiments in silicon.« less

  8. Supersonic Dislocation Bursts in Silicon

    PubMed Central

    Hahn, E. N.; Zhao, S.; Bringa, E. M.; Meyers, M. A.

    2016-01-01

    Dislocations are the primary agents of permanent deformation in crystalline solids. Since the theoretical prediction of supersonic dislocations over half a century ago, there is a dearth of experimental evidence supporting their existence. Here we use non-equilibrium molecular dynamics simulations of shocked silicon to reveal transient supersonic partial dislocation motion at approximately 15 km/s, faster than any previous in-silico observation. Homogeneous dislocation nucleation occurs near the shock front and supersonic dislocation motion lasts just fractions of picoseconds before the dislocations catch the shock front and decelerate back to the elastic wave speed. Applying a modified analytical equation for dislocation evolution we successfully predict a dislocation density of 1.5 × 1012 cm−2 within the shocked volume, in agreement with the present simulations and realistic in regards to prior and on-going recovery experiments in silicon. PMID:27264746

  9. Supersonic Dislocation Bursts in Silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hahn, E. N.; Zhao, S.; Bringa, E. M.

    Dislocations are the primary agents of permanent deformation in crystalline solids. Since the theoretical prediction of supersonic dislocations over half a century ago, there is a dearth of experimental evidence supporting their existence. Here we use non-equilibrium molecular dynamics simulations of shocked silicon to reveal transient supersonic partial dislocation motion at approximately 15 km/s, faster than any previous in-silico observation. Homogeneous dislocation nucleation occurs near the shock front and supersonic dislocation motion lasts just fractions of picoseconds before the dislocations catch the shock front and decelerate back to the elastic wave speed. Applying a modified analytical equation for dislocation evolutionmore » we successfully predict a dislocation density of 1.5 x 10(12) cm(-2) within the shocked volume, in agreement with the present simulations and realistic in regards to prior and on-going recovery experiments in silicon.« less

  10. Effect of a Cooling Step Treatment on a High-Voltage GaN LED During ICP Dry Etching

    NASA Astrophysics Data System (ADS)

    Lin, Yen-Sheng; Hsiao, Sheng-Yu; Tseng, Chun-Lung; Shen, Ching-Hsing; Chiang, Jung-Sheng

    2017-02-01

    In this study, a lower dislocation density for a GaN surface and a reduced current path are observed at the interface of a SiO2 isolation sidewall, using high-resolution transmission electron microscopy. This is grown using a 3-min cooling step treatment during inductivity coupled plasma dry etching. The lower forward voltage is measured, the leakage current decreases from 53nA to 32nA, and the maximum output power increases from 354.8 W to 357.2 W for an input current of 30 mA. The microstructure and the optoelectronic properties of high-voltage light-emitting-diodes is proven to be affected by the cooling step treatment, which allows enough time to release the thermal energy of the SiO2 isolation well.

  11. Conductivity based on selective etch for GaN devices and applications thereof

    DOEpatents

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  12. The Weighted Burgers Vector: a new quantity for constraining dislocation densities and types using electron backscatter diffraction on 2D sections through crystalline materials.

    PubMed

    Wheeler, J; Mariani, E; Piazolo, S; Prior, D J; Trimby, P; Drury, M R

    2009-03-01

    The Weighted Burgers Vector (WBV) is defined here as the sum, over all types of dislocations, of [(density of intersections of dislocation lines with a map) x (Burgers vector)]. Here we show that it can be calculated, for any crystal system, solely from orientation gradients in a map view, unlike the full dislocation density tensor, which requires gradients in the third dimension. No assumption is made about gradients in the third dimension and they may be non-zero. The only assumption involved is that elastic strains are small so the lattice distortion is entirely due to dislocations. Orientation gradients can be estimated from gridded orientation measurements obtained by EBSD mapping, so the WBV can be calculated as a vector field on an EBSD map. The magnitude of the WBV gives a lower bound on the magnitude of the dislocation density tensor when that magnitude is defined in a coordinate invariant way. The direction of the WBV can constrain the types of Burgers vectors of geometrically necessary dislocations present in the microstructure, most clearly when it is broken down in terms of lattice vectors. The WBV has three advantages over other measures of local lattice distortion: it is a vector and hence carries more information than a scalar quantity, it has an explicit mathematical link to the individual Burgers vectors of dislocations and, since it is derived via tensor calculus, it is not dependent on the map coordinate system. If a sub-grain wall is included in the WBV calculation, the magnitude of the WBV becomes dependent on the step size but its direction still carries information on the Burgers vectors in the wall. The net Burgers vector content of dislocations intersecting an area of a map can be simply calculated by an integration round the edge of that area, a method which is fast and complements point-by-point WBV calculations.

  13. Computational analysis of heat transfer, thermal stress and dislocation density during resistively Czochralski growth of germanium single crystal

    NASA Astrophysics Data System (ADS)

    Tavakoli, Mohammad Hossein; Renani, Elahe Kabiri; Honarmandnia, Mohtaram; Ezheiyan, Mahdi

    2018-02-01

    In this paper, a set of numerical simulations of fluid flow, temperature gradient, thermal stress and dislocation density for a Czochralski setup used to grow IR optical-grade Ge single crystal have been done for different stages of the growth process. A two-dimensional steady state finite element method has been applied for all calculations. The obtained numerical results reveal that the thermal field, thermal stress and dislocation structure are mainly dependent on the crystal height, heat radiation and gas flow in the growth system.

  14. Dislocation dynamics simulations of interactions between gliding dislocations and radiation induced prismatic loops in zirconium

    NASA Astrophysics Data System (ADS)

    Drouet, Julie; Dupuy, Laurent; Onimus, Fabien; Mompiou, Frédéric; Perusin, Simon; Ambard, Antoine

    2014-06-01

    The mechanical behavior of Pressurized Water Reactor fuel cladding tubes made of zirconium alloys is strongly affected by neutron irradiation due to the high density of radiation induced dislocation loops. In order to investigate the interaction mechanisms between gliding dislocations and loops in zirconium, a new nodal dislocation dynamics code, adapted to Hexagonal Close Packed metals, has been used. Various configurations have been systematically computed considering different glide planes, basal or prismatic, and different characters, edge or screw, for gliding dislocations with -type Burgers vectors. Simulations show various interaction mechanisms such as (i) absorption of a loop on an edge dislocation leading to the formation of a double super-jog, (ii) creation of a helical turn, on a screw dislocation, that acts as a strong pinning point or (iii) sweeping of a loop by a gliding dislocation. It is shown that the clearing of loops is more favorable when the dislocation glides in the basal plane than in the prismatic plane explaining the easy dislocation channeling in the basal plane observed after neutron irradiation by transmission electron microscopy.

  15. A unified dislocation density-dependent physical-based constitutive model for cold metal forming

    NASA Astrophysics Data System (ADS)

    Schacht, K.; Motaman, A. H.; Prahl, U.; Bleck, W.

    2017-10-01

    Dislocation-density-dependent physical-based constitutive models of metal plasticity while are computationally efficient and history-dependent, can accurately account for varying process parameters such as strain, strain rate and temperature; different loading modes such as continuous deformation, creep and relaxation; microscopic metallurgical processes; and varying chemical composition within an alloy family. Since these models are founded on essential phenomena dominating the deformation, they have a larger range of usability and validity. Also, they are suitable for manufacturing chain simulations since they can efficiently compute the cumulative effect of the various manufacturing processes by following the material state through the entire manufacturing chain and also interpass periods and give a realistic prediction of the material behavior and final product properties. In the physical-based constitutive model of cold metal plasticity introduced in this study, physical processes influencing cold and warm plastic deformation in polycrystalline metals are described using physical/metallurgical internal variables such as dislocation density and effective grain size. The evolution of these internal variables are calculated using adequate equations that describe the physical processes dominating the material behavior during cold plastic deformation. For validation, the model is numerically implemented in general implicit isotropic elasto-viscoplasticity algorithm as a user-defined material subroutine (UMAT) in ABAQUS/Standard and used for finite element simulation of upsetting tests and a complete cold forging cycle of case hardenable MnCr steel family.

  16. Determination of mass density, dielectric, elastic, and piezoelectric constants of bulk GaN crystal.

    PubMed

    Soluch, Waldemar; Brzozowski, Ernest; Lysakowska, Magdalena; Sadura, Jolanta

    2011-11-01

    Mass density, dielectric, elastic, and piezoelectric constants of bulk GaN crystal were determined. Mass density was obtained from the measured ratio of mass to volume of a cuboid. The dielectric constants were determined from the measured capacitances of an interdigital transducer (IDT) deposited on a Z-cut plate and from a parallel plate capacitor fabricated from this plate. The elastic and piezoelectric constants were determined by comparing the measured and calculated SAW velocities and electromechanical coupling coefficients on the Z- and X-cut plates. The following new constants were obtained: mass density p = 5986 kg/m(3); relative dielectric constants (at constant strain S) ε(S)(11)/ε(0) = 8.6 and ε(S)(11)/ε(0) = 10.5, where ε(0) is a dielectric constant of free space; elastic constants (at constant electric field E) C(E)(11) = 349.7, C(E)(12) = 128.1, C(E)(13) = 129.4, C(E)(33) = 430.3, and C(E)(44) = 96.5 GPa; and piezoelectric constants e(33) = 0.84, e(31) = -0.47, and e(15) = -0.41 C/m(2).

  17. Multiphysical simulation analysis of the dislocation structure in germanium single crystals

    NASA Astrophysics Data System (ADS)

    Podkopaev, O. I.; Artemyev, V. V.; Smirnov, A. D.; Mamedov, V. M.; Sid'ko, A. P.; Kalaev, V. V.; Kravtsova, E. D.; Shimanskii, A. F.

    2016-09-01

    To grow high-quality germanium crystals is one of the most important problems of growth industry. The dislocation density is an important parameter of the quality of single crystals. The dislocation densities in germanium crystals 100 mm in diameter, which have various shapes of the side surface and are grown by the Czochralski technique, are experimentally measured. The crystal growth is numerically simulated using heat-transfer and hydrodynamics models and the Alexander-Haasen dislocation model in terms of the CGSim software package. A comparison of the experimental and calculated dislocation densities shows that the dislocation model can be applied to study lattice defects in germanium crystals and to improve their quality.

  18. Self-Healing Thermal Annealing: Surface Morphological Restructuring Control of GaN Nanorods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Conroy, Michele; Li, Haoning; Zubialevich, Vitaly Z.

    With advances in nanolithography and dry etching, top-down methods of nanostructuring have become a widely used tool for improving the efficiency of optoelectronics. These nano dimensions can offer various benefits to the device performance in terms of light extraction and efficiency, but often at the expense of emission color quality. Broadening of the target emission peak and unwanted yellow luminescence are characteristic defect-related effects due to the ion beam etching damage, particularly for III–N based materials. In this article we focus on GaN based nanorods, showing that through thermal annealing the surface roughness and deformities of the crystal structure canmore » be “self-healed”. Correlative electron microscopy and atomic force microscopy show the change from spherical nanorods to faceted hexagonal structures, revealing the temperature-dependent surface morphology faceting evolution. The faceted nanorods were shown to be strain- and defect-free by cathodoluminescence hyperspectral imaging, micro-Raman, and transmission electron microscopy (TEM). In-situ TEM thermal annealing experiments allowed for real time observation of dislocation movements and surface restructuring observed in ex-situ annealing TEM sampling. This thermal annealing investigation gives new insight into the redistribution path of GaN material and dislocation movement post growth, allowing for improved understanding and in turn advances in optoelectronic device processing of compound semiconductors.« less

  19. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  20. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    NASA Astrophysics Data System (ADS)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  1. Nucleation mechanisms of epitaxial GaN nanowires: Origin of their self-induced formation and initial radius

    NASA Astrophysics Data System (ADS)

    Consonni, V.; Knelangen, M.; Geelhaar, L.; Trampert, A.; Riechert, H.

    2010-02-01

    The formation mechanisms of epitaxial GaN nanowires grown within a self-induced approach by molecular-beam epitaxy have been investigated at the onset of the nucleation process by combining in situ reflection high-energy electron-diffraction measurements and ex situ high-resolution transmission electron microscopy imaging. It is shown that the self-induced growth of GaN nanowires on the AlN buffer layer is initially governed by the nucleation of dislocation-free coherent islands. These coherent islands develop through a series of shape transitions from spherical caps through truncated to full pyramids in order to elastically relieve the lattice-mismatch-induced strain. A strong correlation between the subsequent process of plastic relaxation and the final shape transition from full pyramids toward the very first nanowires is found. The experimental critical radius at which the misfit dislocation nucleates is in very good agreement with the theoretical critical radius for the formation of the misfit dislocation in full pyramids, showing that the plastic relaxation process does take place within full pyramids: this critical size corresponds to the initial radius of the very first nanowires. We associate the plastic relaxation of the lattice-mismatch-induced strain occurring within full pyramids with a drastic change in their total free energy: this gives rise to a driving force for the shape transition toward the very first nanowires, which is mainly due to the anisotropy of surface energy.

  2. Understanding of surface pit formation mechanism of GaN grown in MOCVD based on local thermodynamic equilibrium assumption

    NASA Astrophysics Data System (ADS)

    Zhi-Yuan, Gao; Xiao-Wei, Xue; Jiang-Jiang, Li; Xun, Wang; Yan-Hui, Xing; Bi-Feng, Cui; De-Shu, Zou

    2016-06-01

    Frank’s theory describes that a screw dislocation will produce a pit on the surface, and has been evidenced in many material systems including GaN. However, the size of the pit calculated from the theory deviates significantly from experimental result. Through a careful observation of the variations of surface pits and local surface morphology with growing temperature and V/III ratio for c-plane GaN, we believe that Frank’s model is valid only in a small local surface area where thermodynamic equilibrium state can be assumed to stay the same. If the kinetic process is too vigorous or too slow to reach a balance, the local equilibrium range will be too small for the center and edge of the screw dislocation spiral to be kept in the same equilibrium state. When the curvature at the center of the dislocation core reaches the critical value 1/r 0, at the edge of the spiral, the accelerating rate of the curvature may not fall to zero, so the pit cannot reach a stationary shape and will keep enlarging under the control of minimization of surface energy to result in a large-sized surface pit. Project supported by the National Natural Science Foundation of China (Grant Nos. 11204009 and 61204011) and the Beijing Municipal Natural Science Foundation, China (Grant No. 4142005).

  3. Understanding luminescence properties of grain boundaries in GaN thin films and their atomistic origin

    NASA Astrophysics Data System (ADS)

    Yoo, Hyobin; Yoon, Sangmoon; Chung, Kunook; Kang, Seoung-Hun; Kwon, Young-Kyun; Yi, Gyu-Chul; Kim, Miyoung

    2018-03-01

    We report our findings on the optical properties of grain boundaries in GaN films grown on graphene layers and discuss their atomistic origin. We combine electron backscatter diffraction with cathodoluminescence to directly correlate the structural defects with their optical properties, enabling the high-precision local luminescence measurement of the grain boundaries in GaN films. To further understand the atomistic origin of the luminescence properties, we carefully probed atomic core structures of the grain boundaries by exploiting aberration-corrected scanning transmission electron microscopy. The atomic core structures of grain boundaries show different ordering behaviors compared with those observed previously in threading dislocations. Energetics of the grain boundary core structures and their correlation with electronic structures were studied by first principles calculation.

  4. Intensity Distribution of the Three-Wave Diffraction from Dislocation Epitaxial Layers in the Reciprocal Space

    NASA Astrophysics Data System (ADS)

    Kyutt, R. N.

    2018-04-01

    The three-wave X-ray diffraction in strongly disordered epitaxial layers of GaN and ZnO is experimentally investigated. The charts of the intensity distribution in the reciprocal space are plotted in coordinates q θ and q ϕ for the most intensive three-wave combination (1010)/(1011) by means of subsequent θ- and ϕ-scanning. A nontrivial shape of the θ-sections of these contours at a distance from the ϕ center of reflection is revealed; it is different for different samples. For the θ-curves at the center of reflection, we observed a common peak that may be approximated by the Voigt function with a power-low decrease in the intensity at the wings; the decrease law (from-4.5 to-5.0) is found to be considerably greater than that for the similar curves of two-wave diffraction and not depending on the dislocation density and distribution in layers. In some films we observed a coarse-block structure; in addition, it follows from the distribution in the reciprocal space that these blocks are turned with respect to each other around a normal to the surface, which allows us to suggest the existence of low-angle boundaries between them, consisting exclusively of edge dislocations.

  5. Molecular dynamics studies of InGaN growth on nonpolar (11 2 \\xAF0 ) GaN surfaces

    NASA Astrophysics Data System (ADS)

    Chu, K.; Gruber, J.; Zhou, X. W.; Jones, R. E.; Lee, S. R.; Tucker, G. J.

    2018-01-01

    We have performed direct molecular dynamics (MD) simulations of heteroepitaxial vapor deposition of I nxG a1 -xN films on nonpolar (11 2 ¯0 ) wurtzite-GaN surfaces to investigate strain relaxation by misfit-dislocation formation. The simulated growth is conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN substrate. We apply time-and-position-dependent boundary constraints to affect the appropriate environments for the vapor phase, the near-surface solid phase, and the bulklike regions of the growing layer. The simulations employ a newly optimized Stillinger-Weber In-Ga-N system interatomic potential wherein multiple binary and ternary structures are included in the underlying density-functional theory and experimental training sets to improve the treatment of the In-Ga-N related interactions. To examine the effect of growth conditions, we study a matrix of 63 different MD-growth simulations spanning seven I nxG a1 -xN -alloy compositions ranging from x =0.0 to x =0.8 and nine growth temperatures above half the simulated melt temperature. We found a composition dependent temperature range where all kinetically trapped defects were eliminated, leaving only quasiequilibrium misfit and threading dislocations present in the simulated films. Based on the MD results obtained in this temperature range, we observe the formation of interfacial misfit and threading dislocation arrays with morphologies strikingly close to those seen in experiments. In addition, we compare the MD-observed thickness-dependent onset of misfit-dislocation formation to continuum-elasticity-theory models of the critical thickness and find reasonably good agreement. Finally, we use the three-dimensional atomistic details uniquely available in the MD-growth histories to directly observe the nucleation of dislocations at surface pits in the evolving free surface.

  6. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals.

    PubMed

    Qin, Hongbo; Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-12-12

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol -1 K -1 , respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band

  7. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    PubMed Central

    Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-01-01

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100) and (111) are isotropic, while the Poisson’s ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a

  8. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    NASA Astrophysics Data System (ADS)

    Gruber, J.; Zhou, X. W.; Jones, R. E.; Lee, S. R.; Tucker, G. J.

    2017-05-01

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ( 11 2 ¯ 0 ) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T*m(x) ≤ 0.90], where T*m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ( 11 2 ¯ 0 ) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  9. High dislocation density-induced large ductility in deformed and partitioned steels

    NASA Astrophysics Data System (ADS)

    He, B. B.; Hu, B.; Yen, H. W.; Cheng, G. J.; Wang, Z. K.; Luo, H. W.; Huang, M. X.

    2017-09-01

    A wide variety of industrial applications require materials with high strength and ductility. Unfortunately, the strategies for increasing material strength, such as processing to create line defects (dislocations), tend to decrease ductility. We developed a strategy to circumvent this in inexpensive, medium manganese steel. Cold rolling followed by low-temperature tempering developed steel with metastable austenite grains embedded in a highly dislocated martensite matrix. This deformed and partitioned (D and P) process produced dislocation hardening but retained high ductility, both through the glide of intensive mobile dislocations and by allowing us to control martensitic transformation. The D and P strategy should apply to any other alloy with deformation-induced martensitic transformation and provides a pathway for the development of high-strength, high-ductility materials.

  10. Effect of annealing temperature on the thermal stress and dislocation density of mc-Si ingot grown by DS process for solar cell application

    NASA Astrophysics Data System (ADS)

    Sanmugavel, S.; Srinivasan, M.; Aravinth, K.; Ramasamy, P.

    2018-04-01

    90% of the solar industries are using crystalline silicon. Cost wise the multi-crystalline silicon solar cells are better compared to mono crystalline silicon. But because of the presence of grain boundaries, dislocations and impurities, the efficiency of the multi-crystalline silicon solar cells is lower than that of mono crystalline silicon solar cells. By reducing the defect and dislocation we can achieve high conversion efficiency. The velocity of dislocation motion increases with stress. By annealing the grown ingot at proper temperature we can decrease the stress and dislocation. Our simulation results show that the value of stress and dislocation density is decreased by annealing the grown ingot at 1400K and the input parameters can be implemented in real system to grow a better mc-Si ingot for energy harvesting applications.

  11. Mechanical responses of a-axis GaN nanowires under axial loads

    NASA Astrophysics Data System (ADS)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  12. Size effects under homogeneous deformation of single crystals: A discrete dislocation analysis

    NASA Astrophysics Data System (ADS)

    Guruprasad, P. J.; Benzerga, A. A.

    Mechanism-based discrete dislocation plasticity is used to investigate the effect of size on micron scale crystal plasticity under conditions of macroscopically homogeneous deformation. Long-range interactions among dislocations are naturally incorporated through elasticity. Constitutive rules are used which account for key short-range dislocation interactions. These include junction formation and dynamic source and obstacle creation. Two-dimensional calculations are carried out which can handle high dislocation densities and large strains up to 0.1. The focus is laid on the effect of dimensional constraints on plastic flow and hardening processes. Specimen dimensions ranging from hundreds of nanometers to tens of microns are considered. Our findings show a strong size-dependence of flow strength and work-hardening rate at the micron scale. Taylor-like hardening is shown to be insufficient as a rationale for the flow stress scaling with specimen dimensions. The predicted size effect is associated with the emergence, at sufficient resolution, of a signed dislocation density. Heuristic correlations between macroscopic flow stress and macroscopic measures of dislocation density are sought. Most accurate among those is a correlation based on two state variables: the total dislocation density and an effective, scale-dependent measure of signed density.

  13. Effect of 60Co γ-irradiation on the nature of electronic transport in heavily doped n-type GaN based Schottky photodetectors

    NASA Astrophysics Data System (ADS)

    Chatterjee, Abhishek; Khamari, Shailesh K.; Porwal, S.; Kher, S.; Sharma, T. K.

    2018-04-01

    GaN Schottky photodetectors are fabricated on heavily doped n-type GaN epitaxial layers grown by the hydride vapour phase epitaxy technique. The effect of 60Co γ-radiation on the electronic transport in GaN epilayers and Schottky detectors is studied. In contrast to earlier observations, a steady rise in the carrier concentration with increasing irradiation dose is clearly seen. By considering a two layer model, the contribution of interfacial dislocations in carrier transport is isolated from that of the bulk layer for both the pristine and irradiated samples. The bulk carrier concentration is fitted by using the charge balance equation which indicates that no new electrically active defects are generated by γ-radiation even at 500 kGy dose. The irradiation induced rise in the bulk carrier concentration is attributed to the activation of native Si impurities that are already present in an electrically inert form in the pristine sample. Further, the rise in interfacial contribution in the carrier concentration is governed by the enhanced rate of formation of nitrogen vacancies by irradiation, which leads to a larger diffusion of oxygen impurities. A large value of the characteristic tunnelling energy for both the pristine and irradiated Au/Ni/GaN Schottky devices confirms that the dislocation-assisted tunnelling dominates the low temperature current transport even after irradiation. The advantage of higher displacement energy and larger bandgap of GaN as compared to GaAs is evident from the change in leakage current after irradiation. Further, a fast recovery of the photoresponse of GaN photodetectors after irradiation signifies their compatibility to operate in high radiation zones. The results presented here are found to be crucial in understanding the interaction of 60Co γ-irradiation with n+-GaN epilayers.

  14. Competing charge density wave and antiferromagnetism of metallic atom wires in GaN(10 1 ¯ ) and ZnO(10 1 ¯ )

    NASA Astrophysics Data System (ADS)

    Kang, Yoon-Gu; Kim, Sun-Woo; Cho, Jun-Hyung

    2017-12-01

    Low-dimensional electron systems often show a delicate interplay between electron-phonon and electron-electron interactions, giving rise to interesting quantum phases such as the charge density wave (CDW) and magnetism. Using the density-functional theory (DFT) calculations with the semilocal and hybrid exchange-correlation functionals as well as the exact-exchange plus correlation in the random-phase approximation (EX + cRPA), we systematically investigate the ground state of the metallic atom wires containing dangling-bond (DB) electrons, fabricated by partially hydrogenating the GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) surfaces. We find that the CDW or antiferromagnetic (AFM) order has an electronic energy gain due to a band-gap opening, thereby being more stabilized compared to the metallic state. Our semilocal DFT calculation predicts that both DB wires in GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) have the same CDW ground state, whereas the hybrid DFT and EX + cRPA calculations predict the AFM ground state for the former DB wire and the CDW ground state for the latter one. It is revealed that more localized Ga DB electrons in GaN(10 1 ¯0 ) prefer the AFM order, while less localized Zn DB electrons in ZnO(10 1 ¯0 ) the CDW formation. Our findings demonstrate that the drastically different ground states are competing in the DB wires created on the two representative compound semiconductor surfaces.

  15. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  16. Native defects in GaN: a hybrid functional study

    NASA Astrophysics Data System (ADS)

    Diallo, Ibrahima Castillo; Demchenko, Denis

    Intrinsic defects play an important role in the performance of GaN-based devices. We present hybrid density functional calculations of the electronic and possible optical properties of interstitial N (Ni-Ni) , N antisite (NGa) , interstitial Ga (Gai) , Ga antisite (GaN) , Ga vacancy (VGa) , N vacancy (VN) and Ga-N divacancies (VGaVN) in GaN. Our results show that the vacancies display relatively low formation energies in certain samples, whereas antisites and interstitials are energetically less favorable. However, interstitials can be created by electron irradiation. For instance, in 2.5 MeV electron-irradiated GaN samples, a strong correlation between the frequently observed photoluminescence (PL) band centered around 0.85 eV accompanied with a rich phonon sideband of ~0.88 eV and the theoretical optical behavior of interstitial Ga is discussed. N vacancies are found to likely contribute to the experimentally obtained green luminescence band (GL2) peaking at 2.24 eV in high-resistivity undoped and Mg-doped GaN. National Science Foundation (DMR-1410125) and the Thomas F. and Kate Miller Jeffress Memorial Trust.

  17. Interface state density of free-standing GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Faraz, S. M.; Ashraf, H.; Imran Arshad, M.; Hageman, P. R.; Asghar, M.; Wahab, Q.

    2010-09-01

    Schottky diodes were fabricated on the HVPE-grown, free-standing gallium nitride (GaN) layers of n- and p-types. Both contacts (ohmic and Schottky) were deposited on the top surface using Al/Ti and Pd/Ti/Au, respectively. The Schottky diode fabricated on n-GaN exhibited double barriers with values of 0.9 and 0.6 eV and better performance in the rectification factor together with reverse and forward currents with an ideality factor of 1.8. The barrier height for the p-GaN Schottky diode is 0.6 eV with an ideality factor of 4.16. From the capacitance-voltage (C-V) measurement, the net doping concentration of n-GaN is 4 × 1017 cm-3, resulting in a lower reverse breakdown of around -12 V. The interface state density (NSS) as a function of EC-ESS is found to be in the range 4.23 × 1012-3.87 × 1011 eV-1 cm-2 (below the conduction band) from Ec-0.90 to EC-0.99. Possible reasons responsible for the low barrier height and high ideality factor have been addressed.

  18. High purity low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1982-01-01

    Recent advances in GaAs bulk crystal growth using the LEC (liquid encapsulated Czochralski) technique are described. The dependence of the background impurity concentration and the dislocation density distribution on the materials synthesis and growth conditions were investigated. Background impurity concentrations as low as 4 x 10 to the 15th power were observed in undoped LEC GaAs. The dislocation density in selected regions of individual ingots was very low, below the 3000 cm .3000/sq cm threshold. The average dislocation density over a large annular ring on the wafers fell below the 10000/sq cm level for 3 inch diameter ingots. The diameter control during the program advanced to a diameter variation along a 3 inch ingot less than 2 mm.

  19. Dislocation pinning effects induced by nano-precipitates during warm laser shock peening: Dislocation dynamic simulation and experiments

    NASA Astrophysics Data System (ADS)

    Liao, Yiliang; Ye, Chang; Gao, Huang; Kim, Bong-Joong; Suslov, Sergey; Stach, Eric A.; Cheng, Gary J.

    2011-07-01

    Warm laser shock peening (WLSP) is a new high strain rate surface strengthening process that has been demonstrated to significantly improve the fatigue performance of metallic components. This improvement is mainly due to the interaction of dislocations with highly dense nanoscale precipitates, which are generated by dynamic precipitation during the WLSP process. In this paper, the dislocation pinning effects induced by the nanoscale precipitates during WLSP are systematically studied. Aluminum alloy 6061 and AISI 4140 steel are selected as the materials with which to conduct WLSP experiments. Multiscale discrete dislocation dynamics (MDDD) simulation is conducted in order to investigate the interaction of dislocations and precipitates during the shock wave propagation. The evolution of dislocation structures during the shock wave propagation is studied. The dislocation structures after WLSP are characterized via transmission electron microscopy and are compared with the results of the MDDD simulation. The results show that nano-precipitates facilitate the generation of highly dense and uniformly distributed dislocation structures. The dislocation pinning effect is strongly affected by the density, size, and space distribution of nano-precipitates.

  20. Modeling of dislocation channel width evolution in irradiated metals

    NASA Astrophysics Data System (ADS)

    Doyle, Peter J.; Benensky, Kelsa M.; Zinkle, Steven J.

    2018-02-01

    Defect-free dislocation channel formation has been reported to promote plastic instability during tensile testing via localized plastic flow, leading to a distinct loss of ductility and strain hardening in many low-temperature irradiated materials. In order to study the underlying mechanisms governing dislocation channel width and formation, the channel formation process is modeled via a simple stochastic dislocation-jog process dependent upon grain size, defect cluster density, and defect size. Dislocations traverse a field of defect clusters and jog stochastically upon defect interaction, forming channels of low defect-density. Based upon prior molecular dynamics (MD) simulations and in-situ experimental transmission electron microscopy (TEM) observations, each dislocation encounter with a dislocation loop or stacking fault tetrahedron (SFT) is assumed to cause complete absorption of the defect cluster, prompting the dislocation to jog up or down by a distance equal to half the defect cluster diameter. Channels are predicted to form rapidly and are comparable to reported TEM measurements for many materials. Predicted channel widths are found to be most strongly dependent on mean defect size and correlated well with a power law dependence on defect diameter and density, and distance from the dislocation source. Due to the dependence of modeled channel width on defect diameter and density, maximum channel width is predicted to slowly increase as accumulated dose increases. The relatively weak predicted dependence of channel formation width with distance, in accordance with a diffusion analogy, implies that after only a few microns from the source, most channels observed via TEM analyses may not appear to vary with distance because of limitations in the field-of-view to a few microns. Further, examinations of the effect of the so-called "source-broadening" mechanism of channel formation showed that its effect is simply to add a minimum thickness to the channel

  1. Model for threading dislocations in metamorphic tandem solar cells on GaAs (001) substrates

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-02-01

    We present an approximate model for the threading dislocations in III-V heterostructures and have applied this model to study the defect behavior in metamorphic triple-junction solar cells. This model represents a new approach in which the coefficient for second-order threading dislocation annihilation and coalescence reactions is considered to be determined by the length of misfit dislocations, LMD, in the structure, and we therefore refer to it as the LMD model. On the basis of this model we have compared the average threading dislocation densities in the active layers of triple junction solar cells using linearly-graded buffers of varying thicknesses as well as S-graded (complementary error function) buffers with varying thicknesses and standard deviation parameters. We have shown that the threading dislocation densities in the active regions of metamorphic tandem solar cells depend not only on the thicknesses of the buffer layers but on their compositional grading profiles. The use of S-graded buffer layers instead of linear buffers resulted in lower threading dislocation densities. Moreover, the threading dislocation densities depended strongly on the standard deviation parameters used in the S-graded buffers, with smaller values providing lower threading dislocation densities.

  2. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  3. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    NASA Astrophysics Data System (ADS)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  4. Characterization of Deep and Shallow Levels in GaN

    NASA Astrophysics Data System (ADS)

    Wessels, Bruce

    1997-03-01

    The role of native defects and impurities in compensating n-type GaN was investigated. From the observed dependence of carrier concentration on dopant partial pressure the compensating acceptor in n-type material is attributed to the triply charged gallium vacancy. This is consistent with recent calculations on defect stability using density functional theory. The interaction of hydrogen and point defects in GaN was also investigated using FTIR. The role of these defects in compensation will be discussed.

  5. Interfacial Structure and Chemistry of GaN on Ge(111)

    NASA Astrophysics Data System (ADS)

    Zhang, Siyuan; Zhang, Yucheng; Cui, Ying; Freysoldt, Christoph; Neugebauer, Jörg; Lieten, Ruben R.; Barnard, Jonathan S.; Humphreys, Colin J.

    2013-12-01

    The interface of GaN grown on Ge(111) by plasma-assisted molecular beam epitaxy is resolved by aberration corrected scanning transmission electron microscopy. A novel interfacial structure with a 5∶4 closely spaced atomic bilayer is observed that explains why the interface is flat, crystalline, and free of GeNx. Density functional theory based total energy calculations show that the interface bilayer contains Ge and Ga atoms, with no N atoms. The 5∶4 bilayer at the interface has a lower energy than a direct stacking of GaN on Ge(111) and enables the 5∶4 lattice-matching growth of GaN.

  6. Microstructural comparison of the kinematics of discrete and continuum dislocations models

    NASA Astrophysics Data System (ADS)

    Sandfeld, Stefan; Po, Giacomo

    2015-12-01

    The Continuum Dislocation Dynamics (CDD) theory and the Discrete Dislocation Dynamics (DDD) method are compared based on concise mathematical formulations of the coarse graining of discrete data. A numerical tool for converting from a discrete to a continuum representation of a given dislocation configuration is developed, which allows to directly compare both simulation approaches based on continuum quantities (e.g. scalar density, geometrically necessary densities, mean curvature). Investigating the evolution of selected dislocation configurations within analytically given velocity fields for both DDD and CDD reveals that CDD contains a surprising number of important microstructural details.

  7. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  8. Reduced dislocation density in Ga xIn 1–xP compositionally graded buffer layers through engineered glide plane switch

    DOE PAGES

    Schulte, Kevin L.; France, Ryan M.; McMahon, William E.; ...

    2016-11-17

    In this work we develop control over dislocation glide dynamics in Ga xIn 1-xP compositionally graded buffer layers (CGBs) through control of CuPt ordering on the group-III sublattice. The ordered structure is metastable in the bulk, so any glissile dislocation that disrupts the ordered pattern will release stored energy, and experience an increased glide force. Here we show how this connection between atomic ordering and dislocation glide force can be exploited to control the threading dislocation density (TDD) in Ga xIn 1-xP CGBs. When ordered Ga xIn 1-xP is graded from the GaAs lattice constant to InP, the order parametermore » ..eta.. decreases as x decreases, and dislocation glide switches from one set of glide planes to the other. This glide plane switch (GPS) is accompanied by the nucleation of dislocations on the new glide plane, which typically leads to increased TDD. We develop control of the GPS position within a Ga xIn 1-xP CGB through manipulation of deposition temperature, surfactant concentration, and strain-grading rate. We demonstrate a two-stage Ga xIn 1-xP CGB from GaAs to InP with sufficiently low TDD for high performance devices, such as the 4-junction inverted metamorphic multi-junction solar cell, achieved through careful control the GPS position. Here, experimental results are analyzed within the context of a model that considers the force balance on dislocations on the two competing glide planes as a function of the degree of ordering.« less

  9. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    NASA Astrophysics Data System (ADS)

    Jiang, Y.; Wang, Q. P.; Tamai, K.; Miyashita, T.; Motoyama, S.; Wang, D. J.; Ao, J. P.; Ohno, Y.

    2013-06-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl3) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl4) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl3 based dry recess achieved a high maximum electron mobility of 141.5 cm2V-1s-1 and a low interface state density.

  10. Electron spin relaxation in two polymorphic structures of GaN

    NASA Astrophysics Data System (ADS)

    Kang, Nam Lyong

    2015-03-01

    The relaxation process of electron spin in systems of electrons interacting with piezoelectric deformation phonons that are mediated through spin-orbit interactions was interpreted from a microscopic point of view using the formula for the electron spin relaxation times derived by a projection-reduction method. The electron spin relaxation times in two polymorphic structures of GaN were calculated. The piezoelectric material constant for the wurtzite structure obtained by a comparison with a previously reported experimental result was {{P}pe}=1.5 × {{10}29} eV {{m}-1}. The temperature and magnetic field dependence of the relaxation times for both wurtzite and zinc-blende structures were similar, but the relaxation times in zinc-blende GaN were smaller and decreased more rapidly with increasing temperature and magnetic field than that in wurtzite GaN. This study also showed that the electron spin relaxation for wurtzite GaN at low density could be explained by the Elliot-Yafet process but not for zinc-blende GaN in the metallic regime.

  11. Probing the character of ultra-fast dislocations

    DOE PAGES

    Rudd, R. E.; Ruestes, C. J.; Bringa, E. M.; ...

    2015-11-23

    Plasticity is often controlled by dislocation motion, which was first measured for low pressure, low strain rate conditions decades ago. However, many applications require knowledge of dislocation motion at high stress conditions where the data are sparse, and come from indirect measurements dominated by the effect of dislocation density rather than velocity. Here we make predictions based on atomistic simulations that form the basis for a new approach to measure dislocation velocities directly at extreme conditions using three steps: create prismatic dislocation loops in a near-surface region using nanoindentation, drive the dislocations with a shockwave, and use electron microscopy tomore » determine how far the dislocations moved and thus their velocity at extreme stress and strain rate conditions. We report on atomistic simulations of tantalum that make detailed predictions of dislocation flow, and find that the approach is feasible and can uncover an exciting range of phenomena, such as transonic dislocations and a novel form of loop stretching. Furthermore, the simulated configuration enables a new class of experiments to probe average dislocation velocity at very high applied shear stress.« less

  12. Droplet heteroepitaxy of zinc-blende vs. wurtzite GaN quantum dots

    NASA Astrophysics Data System (ADS)

    Reese, C.; Jeon, S.; Hill, T.; Jones, C.; Shusterman, S.; Yacoby, Y.; Clarke, R.; Deng, H.; Goldman, Rs

    We have developed a GaN droplet heteroepitaxy process based upon plasma-assisted molecular-beam epitaxy. Using various surface treatments and Ga deposition parameters, we have demonstrated polycrystalline, zinc-blende (ZB), and wurtzite (WZ) GaN quantum dots (QDs) on Si(001), r-Al2O3, Si(111), and c-GaN substrates. For the polar substrates (i.e. Si(111) and c-GaN), high-resolution transmission electron microscopy and coherent Bragg rod analysis reveals the formation of coherent WZ GaN QDs with nitridation-temperature-dependent sizes and densities. For the non-polar substrates (i.e. Si(001) and r-Al2O3) , QDs with strong near-band photoluminescence emission are observed and ZB GaN QD growth on Si(001) is demonstrated for the first time.

  13. Dislocation mechanisms in stressed crystals with surface effects

    NASA Astrophysics Data System (ADS)

    Wu, Chi-Chin; Crone, Joshua; Munday, Lynn; Discrete Dislocation Dynamics Team

    2014-03-01

    Understanding dislocation properties in stressed crystals is the key for important processes in materials science, including the strengthening of metals and the stress relaxation during the growth of hetero-epitaxial structures. Despite existing experimental approaches and theories, many dislocation mechanisms with surface effects still remain elusive in experiments. Even though discrete dislocation dynamics (DDD) simulations are commonly employed to study dislocations, few demonstrate sufficient computational capabilities for massive dislocations with the combined effects of surfaces and stresses. Utilizing the Army's newly developed FED3 code, a DDD computation code coupled with finite elements, this work presents several dislocation mechanisms near different types of surfaces in finite domains. Our simulation models include dislocations in a bended metallic cantilever beam, near voids in stressed metals, as well as threading and misfit dislocations in as-grown semiconductor epitaxial layers and their quantitative inter-correlations to stress relaxation and surface instability. Our studies provide not only detailed physics of individual dislocation mechanisms, but also important collective dislocation properties such as dislocation densities and strain-stress profiles and their interactions with surfaces.

  14. Study on GaN nanostructures: Growth and the suppression of the yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, Ting; Chen, Fei; Ji, Xiaohong; Zhang, Qinyuan

    2018-07-01

    GaN nanostructures were synthesized via a simple chemical vapor deposition using Ga2O3 and NH3 as precursors. Structural and morphological properties were systematically characterized by field emission scanning electron microscopy, X-ray diffractometer, transmission electron microscopy, and Raman spectroscopy. The configuration of GaN nanostructures was found to be strongly dependent on the growth temperature and the NH3 flow rate. Photoluminescence analysis revealed that all the fabricated GaN NSs exhibited a strong ultra-violet emission (∼364 nm), and the yellow emission of GaN nanorods can be suppressed at appropriate III/V ratio. The suppression of the yellow emission was attributed to the low density of surface or the VGa defect. The work demonstrates that the GaN nanostructures have potential applications in the optoelectronic and nanoelectronic devices.

  15. Selective area growth and characterization of GaN nanocolumns, with and without an InGaN insertion, on semi-polar (11–22) GaN templates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bengoechea-Encabo, A.; Albert, S.; Barbagini, F.

    The aim of this work is the selective area growth (SAG) of GaN nanocolumns, with and without an InGaN insertion, by molecular beam epitaxyon semi-polar (11–22) GaN templates. The high density of stacking faults present in the template is strongly reduced after SAG. A dominant sharp photoluminescence emission at 3.473 eV points to high quality strain-free material. When embedding an InGaN insertion into the ordered GaN nanostructures, very homogeneous optical properties are observed, with two emissions originating from different regions of each nanostructure, most likely related to different In contents on different crystallographic planes.

  16. Nanoair-bridged lateral overgrowth of GaN on ordered nanoporous GaN template

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Zang, K. Y.; Chua, S. J.; Tripathy, S.; Chen, P.; Fonstad, C. G.

    2005-12-01

    We report the growth of high-quality GaN epilayers on an ordered nanoporous GaN template by metalorganic chemical vapor deposition. The nanopores in GaN template were created by inductively coupled plasma etching using anodic aluminum oxide film as an etch mask. The average pore diameter and interpore distance is about 65 and 110nm, respectively. Subsequent overgrowth of GaN first begins at the GaN crystallite surface between the pores, and then air-bridge-mediated lateral overgrowth leads to the formation of the continuous layer. Microphotoluminescence and micro-Raman measurements show improved optical properties and significant strain relaxation in the overgrown layer when compared to GaN layer of same thickness simultaneously grown on sapphire without any template. Similar to conventional epitaxial lateral overgrown GaN, such overgrown GaN on a nanopatterned surface would also serve as a template for the growth of ultraviolet-visible light-emitting III-nitride devices.

  17. Dislocation and Structural Studies at Metal-Metallic Glass Interface at Low Temperature

    NASA Astrophysics Data System (ADS)

    Gupta, Pradeep; Yedla, Natraj

    2017-12-01

    In this paper, molecular dynamics (MD) simulation deformation studies on the Al (metal)-Cu50Zr50 (metallic glass) model interface is carried out based on cohesive zone model. The interface is subjected to mode-I loading at a strain rate of 109 s-1 and temperature of 100 K. The dislocations reactions and evolution of dislocation densities during the deformation have been investigated. Atomic interactions between Al, Cu and Zr atoms are modeled using EAM (embedded atom method) potential, and a timestep of 0.002 ps is used for performing the MD simulations. A circular crack and rectangular notch are introduced at the interface to investigate the effect on the deformation behavior and fracture. Further, scale size effect is also investigated. The structural changes and evolution of dislocation density are also examined. It is found that the dominant deformation mechanism is by Shockley partial dislocation nucleation. Amorphization is observed in the Al regions close to the interface and occurs at a lower strain in the presence of a crack. The total dislocation density is found to be maximum after the first yield in both the perfect and defect interface models and is highest in the case of perfect interface with a density of 6.31 × 1017 m-2. In the perfect and circular crack defect interface models, it is observed that the fraction of Shockley partial dislocation density decreases, whereas that of strain rod dislocations increases with increase in strain.

  18. Computational issues in the simulation of two-dimensional discrete dislocation mechanics

    NASA Astrophysics Data System (ADS)

    Segurado, J.; LLorca, J.; Romero, I.

    2007-06-01

    The effect of the integration time step and the introduction of a cut-off velocity for the dislocation motion was analysed in discrete dislocation dynamics (DD) simulations of a single crystal microbeam. Two loading modes, bending and uniaxial tension, were examined. It was found that a longer integration time step led to a progressive increment of the oscillations in the numerical solution, which would eventually diverge. This problem could be corrected in the simulations carried out in bending by introducing a cut-off velocity for the dislocation motion. This strategy (long integration times and a cut-off velocity for the dislocation motion) did not recover, however, the solution computed with very short time steps in uniaxial tension: the dislocation density was overestimated and the dislocation patterns modified. The different response to the same numerical algorithm was explained in terms of the nature of the dislocations generated in each case: geometrically necessary in bending and statistically stored in tension. The evolution of the dislocation density in the former was controlled by the plastic curvature of the beam and was independent of the details of the simulations. On the contrary, the steady-state dislocation density in tension was determined by the balance between nucleation of dislocations and those which are annihilated or which exit the beam. Changes in the DD imposed by the cut-off velocity altered this equilibrium and the solution. These results point to the need for detailed analyses of the accuracy and stability of the dislocation dynamic simulations to ensure that the results obtained are not fundamentally affected by the numerical strategies used to solve this complex problem.

  19. Modeling of dislocation channel width evolution in irradiated metals

    DOE PAGES

    Doyle, Peter J.; Benensky, Kelsa M.; Zinkle, Steven J.

    2017-11-08

    Defect-free dislocation channel formation has been reported to promote plastic instability during tensile testing via localized plastic flow, leading to a distinct loss of ductility and strain hardening in many low-temperature irradiated materials. In order to study the underlying mechanisms governing dislocation channel width and formation, the channel formation process is modeled via a simple stochastic dislocation-jog process dependent upon grain size, defect cluster density, and defect size. Dislocations traverse a field of defect clusters and jog stochastically upon defect interaction, forming channels of low defect-density. And based upon prior molecular dynamics (MD) simulations and in-situ experimental transmission electron microscopymore » (TEM) observations, each dislocation encounter with a dislocation loop or stacking fault tetrahedron (SFT) is assumed to cause complete absorption of the defect cluster, prompting the dislocation to jog up or down by a distance equal to half the defect cluster diameter. Channels are predicted to form rapidly and are comparable to reported TEM measurements for many materials. Predicted channel widths are found to be most strongly dependent on mean defect size and correlated well with a power law dependence on defect diameter and density, and distance from the dislocation source. Due to the dependence of modeled channel width on defect diameter and density, maximum channel width is predicted to slowly increase as accumulated dose increases. The relatively weak predicted dependence of channel formation width with distance, in accordance with a diffusion analogy, implies that after only a few microns from the source, most channels observed via TEM analyses may not appear to vary with distance because of limitations in the field-of-view to a few microns. Furthermore, examinations of the effect of the so-called “source-broadening” mechanism of channel formation showed that its effect is simply to add a minimum thickness

  20. Modeling of dislocation channel width evolution in irradiated metals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Doyle, Peter J.; Benensky, Kelsa M.; Zinkle, Steven J.

    Defect-free dislocation channel formation has been reported to promote plastic instability during tensile testing via localized plastic flow, leading to a distinct loss of ductility and strain hardening in many low-temperature irradiated materials. In order to study the underlying mechanisms governing dislocation channel width and formation, the channel formation process is modeled via a simple stochastic dislocation-jog process dependent upon grain size, defect cluster density, and defect size. Dislocations traverse a field of defect clusters and jog stochastically upon defect interaction, forming channels of low defect-density. And based upon prior molecular dynamics (MD) simulations and in-situ experimental transmission electron microscopymore » (TEM) observations, each dislocation encounter with a dislocation loop or stacking fault tetrahedron (SFT) is assumed to cause complete absorption of the defect cluster, prompting the dislocation to jog up or down by a distance equal to half the defect cluster diameter. Channels are predicted to form rapidly and are comparable to reported TEM measurements for many materials. Predicted channel widths are found to be most strongly dependent on mean defect size and correlated well with a power law dependence on defect diameter and density, and distance from the dislocation source. Due to the dependence of modeled channel width on defect diameter and density, maximum channel width is predicted to slowly increase as accumulated dose increases. The relatively weak predicted dependence of channel formation width with distance, in accordance with a diffusion analogy, implies that after only a few microns from the source, most channels observed via TEM analyses may not appear to vary with distance because of limitations in the field-of-view to a few microns. Furthermore, examinations of the effect of the so-called “source-broadening” mechanism of channel formation showed that its effect is simply to add a minimum thickness

  1. Dislocation evolution in 316 L stainless steel during multiaxial ratchetting deformation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong Yawei; Kang Guozheng, E-mail: guozhengkang@yahoo.com.cn; Liu Yujie

    2012-03-15

    Dislocation patterns and their evolutions in 316 L stainless steel during the multiaxial ratchetting deformation were observed by transmission electron microscopy (TEM). The microscopic observations indicate that the dislocation evolution presented during the multiaxial ratchetting with four kinds of multiaxial loading paths is similar to that in the uniaxial case [G. Z. Kang et al., Mater Sci Eng A 527 (2010) 5952]. That is, dislocation networks and dislocation tangles are formed quickly by the multiple-slip and cross-slip of dislocation activated by applied multiaxial stress; and then polarized patterns such as dislocation walls and elongated incipient dislocation cells are formed atmore » the last stage of multiaxial ratchetting. The dislocation patterns evolve more quickly from the modes at low dislocation density to the ones at high density during the multiaxial ratchetting than that in the uniaxial case, and some traces of multiple-slip are observed in the multiaxial ones. The dislocation evolution during the multiaxial ratchetting deformation is summarized by comparing the observed dislocation patterns with those presented in the multiaxial strain-controlled and symmetrical stress-controlled cyclic tests. The multiaxial ratchetting of 316 L stainless steel can be microscopically and qualitatively explained by the observed evolution of dislocation patterns. - Highlights: Black-Right-Pointing-Pointer Dislocation patterns change from lines and nets to tangles, walls and cells. Black-Right-Pointing-Pointer Dislocation patterns evolve quicker in the multiaxial case. Black-Right-Pointing-Pointer Aligned dislocation arrays and some traces of multiple slips are observed. Black-Right-Pointing-Pointer Heterogeneous dislocation patterns result in the multiaxial ratchetting.« less

  2. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  3. AB INITIO Investigations of the Magnetism in Diluted Magnetic Semiconductor Fe-DOPED GaN

    NASA Astrophysics Data System (ADS)

    Cheng, Jie; Zhou, Jing; Xu, Wei; Dong, Peng

    2014-01-01

    In this paper, we present a first principle investigation on Fe-doped GaN with wurtzite and zinc-blend structure using full potential density functional calculations. Data point out that the magnetic behavior of Fe-doped GaN system is strongly dependent on Fe doping configurations. In agreement with the experimental reports, and independently by doping, antiferromagnetism occurs in the zinc-blend structure, while in the wurtzite structure ferromagnetism depends on the Fe doping configurations. Detailed analyses combined with density of state calculations support the assignment that the ferromagnetism is closely related to the impurity band at the origin of the hybridization of Fe 3d and N 2p states in the Fe-doped GaN of wurtzite phase.

  4. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces

    DOE PAGES

    Gruber, J.; Zhou, X. W.; Jones, R. E.; ...

    2017-05-15

    Here, we investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and (11more » $$\\bar{2}$$0) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of InxGa1-xN-alloy compositions (0 ≤ x ≤ 0.4) and homologous growth temperatures [0.50 ≤ T/T* m(x) ≤ 0.90], where T* m(x) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar (11$$\\bar{2}$$0) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. Finally, while the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.« less

  5. Molecular dynamics studies of defect formation during heteroepitaxial growth of InGaN alloys on (0001) GaN surfaces.

    PubMed

    Gruber, J; Zhou, X W; Jones, R E; Lee, S R; Tucker, G J

    2017-05-21

    We investigate the formation of extended defects during molecular-dynamics (MD) simulations of GaN and InGaN growth on (0001) and ([Formula: see text]) wurtzite-GaN surfaces. The simulated growths are conducted on an atypically large scale by sequentially injecting nearly a million individual vapor-phase atoms towards a fixed GaN surface; we apply time-and-position-dependent boundary constraints that vary the ensemble treatments of the vapor-phase, the near-surface solid-phase, and the bulk-like regions of the growing layer. The simulations employ newly optimized Stillinger-Weber In-Ga-N-system potentials, wherein multiple binary and ternary structures are included in the underlying density-functional-theory training sets, allowing improved treatment of In-Ga-related atomic interactions. To examine the effect of growth conditions, we study a matrix of >30 different MD-growth simulations for a range of In x Ga 1-x N-alloy compositions (0 ≤  x  ≤ 0.4) and homologous growth temperatures [0.50 ≤  T/T * m ( x ) ≤ 0.90], where T * m ( x ) is the simulated melting point. Growths conducted on polar (0001) GaN substrates exhibit the formation of various extended defects including stacking faults/polymorphism, associated domain boundaries, surface roughness, dislocations, and voids. In contrast, selected growths conducted on semi-polar ([Formula: see text]) GaN, where the wurtzite-phase stacking sequence is revealed at the surface, exhibit the formation of far fewer stacking faults. We discuss variations in the defect formation with the MD growth conditions, and we compare the resulting simulated films to existing experimental observations in InGaN/GaN. While the palette of defects observed by MD closely resembles those observed in the past experiments, further work is needed to achieve truly predictive large-scale simulations of InGaN/GaN crystal growth using MD methodologies.

  6. The equivalence between dislocation pile-ups and cracks

    NASA Technical Reports Server (NTRS)

    Liu, H. W.; Gao, Q.

    1990-01-01

    Cracks and dislocation pile-ups are equivalent to each other. In this paper, the physical equivalence between cracks and pile-ups is delineated, and the relationshps between crack-extension force, force on the leading dislocation, stress-intensity factor, and dislocation density are reviewed and summarized. These relations make it possible to extend quantitatively the recent advances in the concepts and practices of fracture mechanics to the studies of microfractures and microplastic deformations.

  7. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    PubMed

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  8. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  9. Period-doubling reconstructions of semiconductor partial dislocations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Ji -Sang; Huang, Bing; Wei, Su -Huai

    2015-09-18

    Atomic-scale understanding and control of dislocation cores is of great technological importance, because they act as recombination centers for charge carriers in optoelectronic devices. Using hybrid density-functional calculations, we present period-doubling reconstructions of a 90 degrees partial dislocation in GaAs, for which the periodicity of like-atom dimers along the dislocation line varies from one to two, to four dimers. The electronic properties of a dislocation change drastically with each period doubling. The dimers in the single-period dislocation are able to interact, to form a dispersive one-dimensional band with deep-gap states. However, the inter-dimer interaction for the double-period dislocation becomes significantlymore » reduced; hence, it is free of mid-gap states. The Ga core undergoes a further period-doubling transition to a quadruple-period reconstruction induced by the formation of small hole polarons. In conclusion, the competition between these dislocation phases suggests a new passivation strategy via population manipulation of the detrimental single-period phase.« less

  10. The relationship between strain geometry and geometrically necessary dislocations

    NASA Astrophysics Data System (ADS)

    Hansen, Lars; Wallis, David

    2016-04-01

    single crystals and aggregates of olivine for which the strain geometry is known. Tested geometries include constrictional strain, flattening strain, and plane strain. We use measured lattice curvatures to calculate the densities and spatial distributions of geometrically necessary dislocations. Dislocation densities are calculated for each of the major dislocation types in olivine. These densities are then used to estimate the plastic strain geometry under the assumption that the population of geometrically necessary dislocations accurately represents the relative activity of different dislocations during deformation. Our initial results demonstrate compelling relationships between the imposed strain geometry and the calculated plastic strain geometry. In addition, the calculated plastic strain geometry is linked to the distribution of crystallographic orientations, giving insight into the nature of plastic anisotropy in textured olivine aggregates. We present this technique as a new microstructural tool for assessing the kinematic history of deformed rocks.

  11. Structure guided GANs

    NASA Astrophysics Data System (ADS)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  12. Estimating ice particle scattering properties using a modified Rayleigh-Gans approximation

    NASA Astrophysics Data System (ADS)

    Lu, Yinghui; Clothiaux, Eugene E.; Aydin, Kültegin; Verlinde, Johannes

    2014-09-01

    A modification to the Rayleigh-Gans approximation is made that includes self-interactions between different parts of an ice crystal, which both improves the accuracy of the Rayleigh-Gans approximation and extends its applicability to polarization-dependent parameters. This modified Rayleigh-Gans approximation is both efficient and reasonably accurate for particles with at least one dimension much smaller than the wavelength (e.g., dendrites at millimeter or longer wavelengths) or particles with sparse structures (e.g., low-density aggregates). Relative to the Generalized Multiparticle Mie method, backscattering reflectivities at horizontal transmit and receive polarization (HH) (ZHH) computed with this modified Rayleigh-Gans approach are about 3 dB more accurate than with the traditional Rayleigh-Gans approximation. For realistic particle size distributions and pristine ice crystals the modified Rayleigh-Gans approach agrees with the Generalized Multiparticle Mie method to within 0.5 dB for ZHH whereas for the polarimetric radar observables differential reflectivity (ZDR) and specific differential phase (KDP) agreement is generally within 0.7 dB and 13%, respectively. Compared to the A-DDA code, the modified Rayleigh-Gans approximation is several to tens of times faster if scattering properties for different incident angles and particle orientations are calculated. These accuracies and computational efficiencies are sufficient to make this modified Rayleigh-Gans approach a viable alternative to the Rayleigh-Gans approximation in some applications such as millimeter to centimeter wavelength radars and to other methods that assume simpler, less accurate shapes for ice crystals. This method should not be used on materials with dielectric properties much different from ice and on compact particles much larger than the wavelength.

  13. Impact of extended defects on optical properties of (1-101)GaN grown on patterned Si

    NASA Astrophysics Data System (ADS)

    Okur, S.; Izyumskaya, N.; Zhang, F.; Avrutin, V.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.; Özgür, Ü.

    2014-03-01

    The optical quality of semipolar (1 101)GaN layers was explored by time- and polarization-resolved photoluminescence spectroscopy. High intensity bandedge emission was observed in +c-wing regions of the stripes as a result of better structural quality, while -c-wing regions were found to be of poorer optical quality due to basal plane and prismatic stacking faults (BSFs and PSFs) in addition to a high density of TDs. The high optical quality region formed on the +cwings was evidenced also from the much slower biexponential PL decays (0.22 ns and 1.70 ns) and an order of magnitude smaller amplitude ratio of the fast decay (nonradiative origin) to the slow decay component (radiative origin) compared to the -c-wing regions. In regard to defect-related emission, decay times for the BSF and PSF emission lines at 25 K (~ 0.80 ns and ~ 3.5 ns, respectively) were independent of the excitation density within the range employed (5 - 420 W/cm2), and much longer than that for the donor bound excitons (0.13 ns at 5 W/cm2 and 0.22 ns at 420 W/cm2). It was also found that the emission from BSFs had lower polarization degree (0.22) than that from donor bound excitons (0.35). The diminution of the polarization degree when photogenerated carriers recombine within the BSFs is another indication of the negative effects of stacking faults on the optical quality of the semipolar (1101)GaN. In addition, spatial distribution of defects in semipolar (1101)-oriented InGaN active region layers grown on stripe patterned Si substrates was investigated using near-field scanning optical microscopy. The optical quality of -c- wing regions was found to be worse compared to +c-wing regions due to the presence of higher density of stacking faults and threading dislocations. The emission from the +c-wings was very bright and relatively uniform across the sample, which is indicative of a homogeneous In distribution.

  14. Characteristics of dislocation structure in creep deformed lamellar tial alloy within primary regime

    NASA Astrophysics Data System (ADS)

    Cho, H. S.; Nam, Soo W.

    1999-06-01

    In this investigation, dislocations of a lamellar TiAl alloy are analyzed after creeping in the primary range at 800°C/200MPa in order to interpret their mobility It was found that the dislocation density in γ-laths decreased as the creep deformation proceeds within primary creep regime Schmid factor analysis suggests that the creep deformation in the early stage of the primary creep regime is controlled by the gliding of some of the initial dislocations which have a high enough Schmid factor As the creep deformation progressed, those dislocations with high Schmid factors slip preferentially to be annihilated at the α-γ interface For further continuous deformation, dislocation generation is required, and for this, α-phase is transformed to γ-phase in order to generate new dislocations A slow dislocation generation process by phase transformation of α-phase compared with the absorbing rate to sinks is responsible for the decreasing dislocation density as the creep strain increases

  15. Modeling dislocation generation in high pressure Czochralski growth of indium phosphide single crystals

    NASA Astrophysics Data System (ADS)

    Pendurti, Srinivas

    InP is an important material for opto-electronic and high speed electronics applications. Its main use today is as the substrate material for epitaxy to produce GaInAsP lasers. The present technology for growing bulk InP is the high pressure Czochralski process. Bulk InP grown through this technique suffers from presence of a high density of line defects or dislocations, which are produced by thermal stresses the material goes through during its growth in the high temperature furnace. Modeling of these thermal stresses and the resulting plastic deformation, giving rise to dislocation densities, entails simulation of the entire thermal history of the crystal during its growth in the furnace, and studying the deformation of the crystal through suitable visco-plastic constitutive equations. Accordingly, a suitable visco-plastic model for deformation of InP was constructed, integrated with the ABAQUS finite element code, and verified through experimental data for uniaxial constant strain rate deformation tests available in literature. This was then coupled with a computation fluid dynamics model, predicting the entire temperature history in the furnace during crystal growth, to study the plastic deformation and dislocation density evolution in the crystal during growth. Growth in a variety of conditions was simulated and those conditions that generate minimum dislocation density identified. Macroscopic controllable parameters that affect the dislocation densities the most, have also been delineated. It was found that the strength of gas convection in the Czochralski furnace has the strongest effect on the dislocation densities in the fully grown crystal. Comparison of the simulated dislocation densities on wafers, with experimentally recorded etch pit profiles on as-grown crystals was reasonable. Finally some limitations in the work are discussed and avenues for future work identified.

  16. Piezo-generator integrating a vertical array of GaN nanowires.

    PubMed

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  17. The Peculiarities of Strain Relaxation in GaN/AlN Superlattices Grown on Vicinal GaN (0001) Substrate: Comparative XRD and AFM Study.

    PubMed

    Kuchuk, Andrian V; Kryvyi, Serhii; Lytvyn, Petro M; Li, Shibin; Kladko, Vasyl P; Ware, Morgan E; Mazur, Yuriy I; Safryuk, Nadiia V; Stanchu, Hryhorii V; Belyaev, Alexander E; Salamo, Gregory J

    2016-12-01

    Superlattices (SLs) consisting of symmetric layers of GaN and AlN have been investigated. Detailed X-ray diffraction and reflectivity measurements demonstrate that the relaxation of built-up strain in the films generally increases with an increasing number of repetitions; however, an apparent relaxation for subcritical thickness SLs is explained through the accumulation of Nagai tilt at each interface of the SL. Additional atomic force microscopy measurements reveal surface pit densities which appear to correlate with the amount of residual strain in the films along with the appearance of cracks for SLs which have exceeded the critical thickness for plastic relaxation. These results indicate a total SL thickness beyond which growth may be limited for the formation of high-quality coherent crystal structures; however, they may indicate a growth window for the reduction of threading dislocations by controlled relaxation of the epilayers.

  18. One-step fabrication of porous GaN crystal membrane and its application in energy storage

    NASA Astrophysics Data System (ADS)

    Zhang, Lei; Wang, Shouzhi; Shao, Yongliang; Wu, Yongzhong; Sun, Changlong; Huo, Qin; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2017-03-01

    Single-crystal gallium nitride (GaN) membranes have great potential for a variety of applications. However, fabrication of single-crystalline GaN membranes remains a challenge owing to its chemical inertness and mechanical hardness. This study prepares large-area, free-standing, and single-crystalline porous GaN membranes using a one-step high-temperature annealing technique for the first time. A promising separation model is proposed through a comprehensive study that combines thermodynamic theories analysis and experiments. Porous GaN crystal membrane is processed into supercapacitors, which exhibit stable cycling life, high-rate capability, and ultrahigh power density, to complete proof-of-concept demonstration of new energy storage application. Our results contribute to the study of GaN crystal membranes into a new stage related to the elelctrochemical energy storage application.

  19. Mechanical properties of nanoporous GaN and its application for separation and transfer of GaN thin films.

    PubMed

    Huang, Shanjin; Zhang, Yu; Leung, Benjamin; Yuan, Ge; Wang, Gang; Jiang, Hao; Fan, Yingmin; Sun, Qian; Wang, Jianfeng; Xu, Ke; Han, Jung

    2013-11-13

    Nanoporous (NP) gallium nitride (GaN) as a new class of GaN material has many interesting properties that the conventional GaN material does not have. In this paper, we focus on the mechanical properties of NP GaN, and the detailed physical mechanism of porous GaN in the application of liftoff. A decrease in elastic modulus and hardness was identified in NP GaN compared to the conventional GaN film. The promising application of NP GaN as release layers in the mechanical liftoff of GaN thin films and devices was systematically studied. A phase diagram was generated to correlate the initial NP GaN profiles with the as-overgrown morphologies of the NP structures. The fracture toughness of the NP GaN release layer was studied in terms of the voided-space-ratio. It is shown that the transformed morphologies and fracture toughness of the NP GaN layer after overgrowth strongly depends on the initial porosity of NP GaN templates. The mechanical separation and transfer of a GaN film over a 2 in. wafer was demonstrated, which proves that this technique is useful in practical applications.

  20. Threading Dislocations in InGaAs/GaAs (001) Buffer Layers for Metamorphic High Electron Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-07-01

    In order to evaluate various buffer layers for metamorphic devices, threading dislocation densities have been calculated for uniform composition In x Ga1- x As device layers deposited on GaAs (001) substrates with an intermediate graded buffer layer using the L MD model, where L MD is the average length of misfit dislocations. On this basis, we compare the relative effectiveness of buffer layers with linear, exponential, and S-graded compositional profiles. In the case of a 2 μm thick buffer layer linear grading results in higher threading dislocation densities in the device layer compared to either exponential or S-grading. When exponential grading is used, lower threading dislocation densities are obtained with a smaller length constant. In the S-graded case, lower threading dislocation densities result when a smaller standard deviation parameter is used. As the buffer layer thickness is decreased from 2 μm to 0.1 μm all of the above effects are diminished, and the absolute threading dislocation densities increase.

  1. High purity, low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1983-01-01

    Liquid encapsulated Czochralski crystal growth techniques for producing undoped, high resistivity, low dislocation material suitable for device applications is described. Technique development resulted in reduction of dislocation densities in 3 inch GaAs crystals. Control over the melt stoichiometry was determined to be of critical importance for the reduction of twinning and polycrystallinity during growth.

  2. Investigation of dislocation cluster evolution during directional solidification of multicrystalline silicon

    NASA Astrophysics Data System (ADS)

    Oriwol, Daniel; Trempa, Matthias; Sylla, Lamine; Leipner, Hartmut S.

    2017-04-01

    Dislocation clusters are the main crystal defects in multicrystalline silicon and are detrimental for solar cell efficiency. They were formed during the silicon ingot casting due to the relaxation of strain energy. The evolution of the dislocation clusters was studied by means of automated analysing tools of the standard wafer and cell production giving information about the cluster development as a function of the ingot height. Due to the observation of the whole wafer surface the point of view is of macroscopic nature. It was found that the dislocations tend to build clusters of high density which usually expand in diameter as a function of ingot height. According to their structure the dislocation clusters can be divided into light and dense clusters. The appearance of both types shows a clear dependence on the orientation of the grain growth direction. Additionally, a process of annihilation of dislocation clusters during the crystallization has been observed. To complement the macroscopic description, the dislocation clusters were also investigates by TEM. It is shown that the dislocations within the subgrain boundaries are closely arranged. Distances of 40-30 nm were found. These results lead to the conclusion that the dislocation density within the cluster structure is impossible to quantify by means of etch pit counting.

  3. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  4. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  5. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  6. Effect of dislocations on properties of heteroepitaxial InP solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Curtis, H. B.; Brinker, D. J.; Jenkins, P.; Faur, M.

    1991-01-01

    The apparently unrelated phenomena of temperature dependency, carrier removal and photoluminescence are shown to be affected by the high dislocation densities present in heteroepitaxial InP solar cells. Using homoepitaxial InP cells as a baseline, it is found that the relatively high dislocation densities present in heteroepitaxial InP/GaAs cells lead to increased volumes of dVoc/dt and carrier removal rate and substantial decreases in photoluminescence spectral intensities. With respect to dVoc/dt, the observed effect is attributed to the tendency of dislocations to reduce Voc. Although the basic cause for the observed increase in carrier removal rate is unclear, it is speculated that the decreased photoluminescence intensity is attributable to defect levels introduced by dislocations in the heteroepitaxial cells.

  7. Creep Deformation by Dislocation Movement in Waspaloy

    PubMed Central

    Whittaker, Mark; Harrison, Will; Deen, Christopher; Rae, Cathie; Williams, Steve

    2017-01-01

    Creep tests of the polycrystalline nickel alloy Waspaloy have been conducted at Swansea University, for varying stress conditions at 700 °C. Investigation through use of Transmission Electron Microscopy at Cambridge University has examined the dislocation networks formed under these conditions, with particular attention paid to comparing tests performed above and below the yield stress. This paper highlights how the dislocation structures vary throughout creep and proposes a dislocation mechanism theory for creep in Waspaloy. Activation energies are calculated through approaches developed in the use of the recently formulated Wilshire Equations, and are found to differ above and below the yield stress. Low activation energies are found to be related to dislocation interaction with γ′ precipitates below the yield stress. However, significantly increased dislocation densities at stresses above yield cause an increase in the activation energy values as forest hardening becomes the primary mechanism controlling dislocation movement. It is proposed that the activation energy change is related to the stress increment provided by work hardening, as can be observed from Ti, Ni and steel results. PMID:28772421

  8. Computational modelling of mesoscale dislocation patterning and plastic deformation of single crystals

    NASA Astrophysics Data System (ADS)

    Xia, Shengxu; El-Azab, Anter

    2015-07-01

    We present a continuum dislocation dynamics model that predicts the formation of dislocation cell structure in single crystals at low strains. The model features a set of kinetic equations of the curl type that govern the space and time evolution of the dislocation density in the crystal. These kinetic equations are coupled to stress equilibrium and deformation kinematics using the eigenstrain approach. A custom finite element method has been developed to solve the coupled system of equations of dislocation kinetics and crystal mechanics. The results show that, in general, dislocations self-organize in patterns under their mutual interactions. However, the famous dislocation cell structure has been found to form only when cross slip is implemented in the model. Cross slip is also found to lower the yield point, increase the hardening rate, and sustain an increase in the dislocation density over the hardening regime. Analysis of the cell structure evolution reveals that the average cell size decreases with the applied stress, which is consistent with the similitude principle.

  9. MOCVD growth of gallium nitride with indium surfactant

    NASA Astrophysics Data System (ADS)

    Won, Dong Jin

    grow beyond the critical radius. Thus, introduction of indium surfactant and Si doping was found to be the most favorable conditions for V-defect formation in Ga-polar GaN films grown on Si-face SiC substrates. The nucleation and growth model predicted that V-defects may not form in homoepitaxy because the energy barrier for V-defect formation approaches infinity due to zero misfit stress. When indium surfactant and Si dopant were introduced simultaneously during the homoepitaxial growth, V-defects did not form in 1.8 microm thick Ga-polar GaN films grown at 950 °C on bulk GaN that had very low threading dislocation density, as predicted by the nucleation and growth model. Ga-polar GaN films grown on Si(111) substrates using indium surfactant showed that additional tensile stress was induced by indium with respect to the reference GaN. Since cracking is known to be a stress relaxation mechanism for tension, the In-induced additional tensile stress is thus detrimental to the GaN films which experience the tensile thermal stress associated with the difference in coefficient of thermal expansion between GaN and the substrate during cooling after growth. The generation of tensile stress by indium seemed correlated with a reduction of V-defects since a high density of V-defects formed under the initial compressive stress at the GaN nucleation stage and then V-defect density decreased as the film grew. Even though the initial misfit stress of the GaN film grown on Si(111) was lower than that of GaN grown on SiC, a high density of V-defects were created under the initial compressive stress. Therefore, the high density of threading dislocations was believed to strongly drive the V-defect formation under In-rich conditions. Consequently, without using high quality bulk GaN substrates, V-defects could not be avoided in Ga-polar GaN films grown on foreign substrates such as Si-face SiC and Si(111) in the presence of indium surfactant and Si dopants during growth. Thus, N

  10. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  11. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  12. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  13. Impact of Group-II Acceptors on the Electrical and Optical Properties of GaN

    NASA Astrophysics Data System (ADS)

    Lyons, John L.; Janotti, Anderson; Van de Walle, Chris G.

    2013-08-01

    We explore the properties of group-II acceptors in GaN by performing hybrid density functional calculations. We find that MgGa gives rise to hole localization in zinc-blende GaN, similar to the behavior in the wurtzite phase. Alternative acceptor impurities, such as Zn and Be, also lead to localized holes in wurtzite GaN, and their ionization energies are larger than that of Mg. All these group-II acceptors also cause large lattice distortions in their neutral charge state, which in turn lead to deep and broad luminescence signals. We explore the consequences of these results for p-type doping.

  14. Influence of strain on dislocation core in silicon

    NASA Astrophysics Data System (ADS)

    Pizzagalli, L.; Godet, J.; Brochard, S.

    2018-05-01

    First principles, density functional-based tight binding and semi-empirical interatomic potentials calculations are performed to analyse the influence of large strains on the structure and stability of a 60? dislocation in silicon. Such strains typically arise during the mechanical testing of nanostructures like nanopillars or nanoparticles. We focus on bi-axial strains in the plane normal to the dislocation line. Our calculations surprisingly reveal that the dislocation core structure largely depends on the applied strain, for strain levels of about 5%. In the particular case of bi-axial compression, the transformation of the dislocation to a locally disordered configuration occurs for similar strain magnitudes. The formation of an opening, however, requires larger strains, of about 7.5%. Furthermore, our results suggest that electronic structure methods should be favoured to model dislocation cores in case of large strains whenever possible.

  15. Dislocation Multiplication in the Early Stage of Deformation in Mo Single Crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hsiung, L.; Lassila, D.H.

    Initial dislocation structure in annealed high-purity Mo single crystals and deformation substructure in a crystal subjected to 1% compression have been examined and studied using transmission electron microscopy (TEM) techniques in order to investigate dislocation multiplication mechanisms in the early stage of plastic deformation. The initial dislocation density is in a range of 10{sup 6} {approx} 10{sup 7} cm{sup -2}, and the dislocation structure is found to contain many grown-in superjogs along dislocation lines. The dislocation density increases to a range of 10{sup 8} {approx} 10{sup 9} cm{sup -2}, and the average jog height is also found to increase aftermore » compressing for a total strain of 1%. It is proposed that the preexisting jogged screw dislocations can act as (multiple) dislocation multiplication sources when deformed under quasi-static conditions. The jog height can increase by stress-induced jog coalescence, which takes place via the lateral migration (drift) of superjogs driven by unbalanced line-tension partials acting on link segments of unequal lengths. The coalescence of superjogs results in an increase of both link length and jog height. Applied shear stress begins to push each link segment to precede dislocation multiplication when link length and jog height are greater than critical lengths. This ''dynamic'' dislocation multiplication source is suggested to be crucial for the dislocation multiplication in the early stage of plastic deformation in Mo.« less

  16. Computational study of dislocation based mechanisms in FCC materials

    NASA Astrophysics Data System (ADS)

    Yellakara, Ranga Nikhil

    Understanding the relationships between microstructures and properties of materials is a key to developing new materials with more suitable qualities or employing the appropriate materials in special uses. In the present world of material research, the main focus is on microstructural control to cost-effectively enhance properties and meet performance specifications. This present work is directed towards improving the fundamental understanding of the microscale deformation mechanisms and mechanical behavior of metallic alloys, particularly focusing on face centered cubic (FCC) structured metals through a unique computational methodology called three-dimensional dislocation dynamics (3D-DD). In these simulations, the equations of motion for dislocations are mathematically solved to determine the evolution and interaction of dislocations. Microstructure details and stress-strain curves are a direct observation in the simulation and can be used to validate experimental results. The effect of initial dislocation microstructure on the yield strength has been studied. It has been shown that dislocation density based crystal plasticity formulations only work when dislocation densities/numbers are sufficiently large so that a statistically accurate description of the microstructure can be obtainable. The evolution of the flow stress for grain sizes ranging from 0.5 to 10 mum under uniaxial tension was simulated using an improvised model by integrating dislocation pile-up mechanism at grain boundaries has been performed. This study showed that for a same initial dislocation density, the Hall--Petch relationship holds well at small grain sizes (0.5--2 mum), beyond which the yield strength remains constant as the grain size increases. Various dislocation-particle interaction mechanisms have been introduced and investigations were made on their effect on the uniaxial tensile properties. These studies suggested that increase in particle volume fraction and decrease in particle

  17. Dislocations

    MedlinePlus

    ... or a blow, sometimes from playing a contact sport. You can dislocate your ankles, knees, shoulders, hips, ... to dislocate it again. Wearing protective gear during sports may help prevent dislocations.

  18. Statistics of dislocation pinning at localized obstacles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dutta, A.; Bhattacharya, M., E-mail: mishreyee@vecc.gov.in; Barat, P.

    2014-10-14

    Pinning of dislocations at nanosized obstacles like precipitates, voids, and bubbles is a crucial mechanism in the context of phenomena like hardening and creep. The interaction between such an obstacle and a dislocation is often studied at fundamental level by means of analytical tools, atomistic simulations, and finite element methods. Nevertheless, the information extracted from such studies cannot be utilized to its maximum extent on account of insufficient information about the underlying statistics of this process comprising a large number of dislocations and obstacles in a system. Here, we propose a new statistical approach, where the statistics of pinning ofmore » dislocations by idealized spherical obstacles is explored by taking into account the generalized size-distribution of the obstacles along with the dislocation density within a three-dimensional framework. Starting with a minimal set of material parameters, the framework employs the method of geometrical statistics with a few simple assumptions compatible with the real physical scenario. The application of this approach, in combination with the knowledge of fundamental dislocation-obstacle interactions, has successfully been demonstrated for dislocation pinning at nanovoids in neutron irradiated type 316-stainless steel in regard to the non-conservative motion of dislocations. An interesting phenomenon of transition from rare pinning to multiple pinning regimes with increasing irradiation temperature is revealed.« less

  19. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    NASA Astrophysics Data System (ADS)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  20. Modeling and optimal designs for dislocation and radiation tolerant single and multijunction solar cells

    NASA Astrophysics Data System (ADS)

    Mehrotra, A.; Alemu, A.; Freundlich, A.

    2011-02-01

    Crystalline defects (e.g. dislocations or grain boundaries) as well as electron and proton induced defects cause reduction of minority carrier diffusion length which in turn results in degradation of efficiency of solar cells. Hetro-epitaxial or metamorphic III-V devices with low dislocation density have high BOL efficiencies but electron-proton radiation causes degradation in EOL efficiencies. By optimizing the device design (emitter-base thickness, doping) we can obtain highly dislocated metamorphic devices that are radiation resistant. Here we have modeled III-V single and multi junction solar cells using drift and diffusion equations considering experimental III-V material parameters, dislocation density, 1 Mev equivalent electron radiation doses, thicknesses and doping concentration. Thinner device thickness leads to increment in EOL efficiency of high dislocation density solar cells. By optimizing device design we can obtain nearly same EOL efficiencies from high dislocation solar cells than from defect free III-V multijunction solar cells. As example defect free GaAs solar cell after optimization gives 11.2% EOL efficiency (under typical 5x1015cm-2 1 MeV electron fluence) while a GaAs solar cell with high dislocation density (108 cm-2) after optimization gives 10.6% EOL efficiency. The approach provides an additional degree of freedom in the design of high efficiency space cells and could in turn be used to relax the need for thick defect filtering buffer in metamorphic devices.

  1. Homogeneous AlGaN/GaN superlattices grown on free-standing (1100) GaN substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Jiayi; Malis, Oana; Physics Department, Purdue University, West Lafayette, Indiana 47907

    Two-dimensional and homogeneous growth of m-plane AlGaN by plasma-assisted molecular beam epitaxy has been realized on free-standing (1100) GaN substrates by implementing high metal-to-nitrogen (III/N) flux ratio. AlN island nucleation, often reported for m-plane AlGaN under nitrogen-rich growth conditions, is suppressed at high III/N flux ratio, highlighting the important role of growth kinetics for adatom incorporation. The homogeneity and microstructure of m-plane AlGaN/GaN superlattices are assessed via a combination of scanning transmission electron microscopy and high resolution transmission electron microscopy (TEM). The predominant defects identified in dark field TEM characterization are short basal plane stacking faults (SFs) bounded by eithermore » Frank-Shockley or Frank partial dislocations. In particular, the linear density of SFs is approximately 5 × 10{sup −5} cm{sup −1}, and the length of SFs is less than 15 nm.« less

  2. Structural characterization of bulk GaN crystals grown under high hydrostatic pressure

    NASA Astrophysics Data System (ADS)

    Liliental-Weber, Zuzanna; Kisielowski, C.; Ruvimov, S.; Chen, Y.; Washburn, J.; Grzegory, I.; Bockowski, M.; Jun, J.; Porowski, S.

    1996-09-01

    This paper describes TEM characterization of bulk GaN crystals grown at 1500-1800Kin the form of plates from a solution of atomic nitrogen in liquid gallium under high nitrogen pressure (up to 20 kbars). The x-ray rocking curves for these crystals were in the range of 20-30 arc-sec. The plate thickness along the c axis was about 100 times smaller than the nonpolar growth directions. A substantial difference in material quality was observed on the opposite sides of the plates normal to the c direction. On one side the surface was atomically flat, while on the other side the surface was rough, with pyramidal features up to 100 nm high. The polarity of the crystals was determined using convergent-beam electron diffraction. The results showed that, regarding the long bond between Ga and N along the c-axis, Ga atoms were found to be closer to the flat side of the crystal, while N atoms were found to be closer to the rough side. Near the rough side, within 1/10 to 1/4 of the plate thickness, there was a high density of planar defects (stacking faults and dislocation loops decorated by Ga/void precipitates). A model explaining the defect formation is proposed.

  3. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  4. Substitutional and interstitial oxygen in wurtzite GaN

    NASA Astrophysics Data System (ADS)

    Wright, A. F.

    2005-11-01

    Density-functional theory was used to compute energy-minimum configurations and formation energies of substitutional and interstitial oxygen (O) in wurtzite GaN. The results indicate that O substituted at a N site (ON) acts as a single donor with the ionized state (ON+1) being the most stable O state in p-type GaN. In n-type GaN, interstitial O (OI) is predicted to be a double acceptor and O substituted at a Ga site (OGa) is predicted to be a triple acceptor. The formation energies of these two species are comparable to that of ON in n-type GaN and, as such, they should form and compensate the ON donors. The extent of compensation was estimated for both Ga-rich and N-rich conditions with a total O concentration of 1017cm-3. Ga-rich conditions yielded negligible compensation and an ON concentration in excess of 9.9×1016cm-3. N-rich conditions yielded a 25% lower ON concentration, due to the increased stability of OI and OGa relative to ON, and moderate compensation. These findings are consistent with experimental results indicating that O acts as a donor in GaN(O). Complexes of ON with the Mg acceptor and OI with the Si donor were examined. Binding energies for charge-conserving reactions were ⩾0.5eV, indicating that these complexes can exist in equilibrium at room temperature. Complexes of ON with the Ga vacancy in n-type GaN were also examined and their binding energies were 1.2 and 1.4eV, indicating that appreciable concentrations can exist in equilibrium even at elevated temperatures.

  5. Leakage current reduction of vertical GaN junction barrier Schottky diodes using dual-anode process

    NASA Astrophysics Data System (ADS)

    Hayashida, Tetsuro; Nanjo, Takuma; Furukawa, Akihiko; Watahiki, Tatsuro; Yamamuka, Mikio

    2018-04-01

    The origin of the leakage current of a trench-type vertical GaN diode was discussed. We found that the edge of p-GaN is the main leakage spot. To reduce the reverse leakage current at the edge of p-GaN, a dual-anode process was proposed. As a result, the reverse blocking voltage defined at the leakage current density of 1 mA/cm2 of a vertical GaN junction barrier Schottky (JBS) diode was improved from 780 to 1,190 V, which is the highest value ever reported for vertical GaN Schottky barrier diodes (SBDs).

  6. Characterization of vertical GaN p-n diodes and junction field-effect transistors on bulk GaN down to cryogenic temperatures

    NASA Astrophysics Data System (ADS)

    Kizilyalli, I. C.; Aktas, O.

    2015-12-01

    There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction

  7. III-V compound semiconductor material characterization of microstructures and nanostructures on various optoelectronic devices with analytical transmission electron microscopy and high resolution electron microscopy

    NASA Astrophysics Data System (ADS)

    Zhou, Wei

    Analytical Transmission Electron Microscopy (TEM) and High Resolution Electron Microscopy have been carried out to characterize microstructures and nanostructures in various III-V compound semiconductor devices by metalorganic chemical vapor deposition (MOCVD). The low-defect GaN nonplanar templates by lateral epitaxial overgrowth (LEO) has a trapezoidal cross-section with smooth (0001) and {112¯2} facets. Penetration of threading dislocations (TDs) beyond mask windows is observed in ordinary LEO substrates. In two-step LEO substrates, where TDs are engineered to bend 90° in the TD bending layer after the first LEO step, only perfect a-type dislocations with Burgers vector b = 1/3 <112¯0> are generated in the upper Post-bending layer with a density of ˜8 x 107cm-2. The demonstrated 3-dimensional dislocation spatial distribution in the LEO nonplanar substrate substantiates the dislocation reaction mechanism. Al0.07GaN/GaN superlattice can further decrease dislocations. InGaN QW thickness enhancement on top of GaN nonplanar templates has been verified to influence the optoelectronic properties significantly. Dense arrays of hexagonally ordered MOCVD-grown (In)(Ga)As nano-QDs by block copolymer nanolithography & selective area growth (SAG), approximately 20nm in diameter and 40nm apart with a density of 1011/cm 2, are perfect crystals by TEM. V-shaped defects and worse InAs growth uniformity have been observed in multiple layers of vertically coupled self-assembled InAs nanostructure arrays on strain-modulated GaAs substrates. TEM shows a smooth coalesced GaN surface with a thickness as thin as ˜200nm after Nano-LEO and a defect reduction of 70%-75%. The (In)GaAs 20 nm twist bonded compliant substrates have almost no compliant effect and higher dislocation density, but the 10nm compliant substrates are on the contrary. A 60nm oxygen-infiltrated crystallized transition layer is observed between the amorphous oxidized layer and the crystallized unoxidized aperture

  8. Statistical description of the motion of dislocation kinks in a random field of impurities adsorbed by a dislocation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petukhov, B. V., E-mail: petukhov@ns.crys.ras.r

    2010-01-15

    A model has been proposed for describing the influence of impurities adsorbed by dislocation cores on the mobility of dislocation kinks in materials with a high crystalline relief (Peierls barriers). The delay time spectrum of kinks at statistical fluctuations of the impurity density has been calculated for a sufficiently high energy of interaction between impurities and dislocations when the migration potential is not reduced to a random Gaussian potential. It has been shown that fluctuations in the impurity distribution substantially change the character of the migration of dislocation kinks due to the slow decrease in the probability of long delaymore » times. The dependences of the position of the boundary of the dynamic phase transition to a sublinear drift of kinks x {proportional_to} t{sup {delta}} ({delta} {sigma} 1) and the characteristics of the anomalous mobility on the physical parameters (stress, impurity concentration, experimental temperature, etc.) have been calculated.« less

  9. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  10. Strength and Dislocation Structure Evolution of Small Metals under Vibrations

    NASA Astrophysics Data System (ADS)

    Ngan, Alfonso

    2015-03-01

    It is well-known that ultrasonic vibration can soften metals, and this phenomenon has been widely exploited in industrial applications concerning metal forming and bonding. In this work, we explore the effects of a superimposed small oscillatory load on metal plasticity, from the nano- to macro-size range, and from audible to ultrasonic frequency ranges. Macroscopic and nano-indentation were performed on aluminum, copper and molybdenum, and the results show that the simultaneous application of oscillatory stresses can lower the hardness of these samples. More interestingly, EBSD and TEM observations show that subgrain formation and reduction in dislocation density generally occurred when stress oscillations were applied. These findings point to an important knowledge gap in metal plasticity - the existing understanding of ultrasound softening in terms of the vibrations either imposing additional stress waves to augment the quasi-static applied load, or heating up the metal, whereas the metal's intrinsic deformation resistance or dislocation interactive processes are assumed unaltered by the ultrasound, is proven wrong by the present results. Furthermore, in the case of nanoindentation, the Continuous Stiffness Measurement technique for contact stiffness measurement assumes that the imposed signal-carrier oscillations do not intrinsically alter the material properties of the specimen, and again, the present results prove that this can be wrong. To understand the enhanced subgrain formation and dislocation annihilation, Discrete Dislocation Dynamics (DDD) simulations were carried out and these show that when an oscillatory stress is superimposed on a quasi-static applied stress, reversals of motion of dislocations may occur, and these allow the dislocations to revisit repeatedly suitable configurations for annihilation. DDD, however, was unable to predict the observed subgrain formation presumably because the number of dislocations that can be handled is not large

  11. GaN: From three- to two-dimensional single-layer crystal and its multilayer van der Waals solids

    NASA Astrophysics Data System (ADS)

    Onen, A.; Kecik, D.; Durgun, E.; Ciraci, S.

    2016-02-01

    Three-dimensional (3D) GaN is a III-V compound semiconductor with potential optoelectronic applications. In this paper, starting from 3D GaN in wurtzite and zinc-blende structures, we investigated the mechanical, electronic, and optical properties of the 2D single-layer honeycomb structure of GaN (g -GaN ) and its bilayer, trilayer, and multilayer van der Waals solids using density-functional theory. Based on high-temperature ab initio molecular-dynamics calculations, we first showed that g -GaN can remain stable at high temperature. Then we performed a comparative study to reveal how the physical properties vary with dimensionality. While 3D GaN is a direct-band-gap semiconductor, g -GaN in two dimensions has a relatively wider indirect band gap. Moreover, 2D g -GaN displays a higher Poisson ratio and slightly less charge transfer from cation to anion. In two dimensions, the optical-absorption spectra of 3D crystalline phases are modified dramatically, and their absorption onset energy is blueshifted. We also showed that the physical properties predicted for freestanding g -GaN are preserved when g -GaN is grown on metallic as well as semiconducting substrates. In particular, 3D layered blue phosphorus, being nearly lattice-matched to g -GaN , is found to be an excellent substrate for growing g -GaN . Bilayer, trilayer, and van der Waals crystals can be constructed by a special stacking sequence of g -GaN , and they can display electronic and optical properties that can be controlled by the number of g -GaN layers. In particular, their fundamental band gap decreases and changes from indirect to direct with an increasing number of g -GaN layers.

  12. Theoretical study for heterojunction surface of NEA GaN photocathode dispensed with Cs activation

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Wang, Honggang; Wang, Meishan; Kong, Yike

    2016-09-01

    For the disadvantages of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, new-type NEA GaN photocathodes with heterojunction surface dispensed with Cs activation are investigated based on first-principle study with density functional theory. Through the growth of an ultrathin n-type GaN cap layer on p-type GaN emission layer, a p-n heterojunction is formed on the surface. According to the calculation results, it is found that Si atoms tend to replace Ga atoms to result in an n-type doped cap layer which contributes to the decreasing of work function. After the growth of n-type GaN cap layer, the atom structure near the p-type emission layer is changed while that away from the surface has no obvious variations. By analyzing the E-Mulliken charge distribution of emission surface with and without cap layer, it is found that the positive charge of Ga and Mg atoms in the emission layer decrease caused by the cap layer, while the negative charge of N atom increases. The conduction band moves downwards after the growth of cap layer. Si atom produces donor levels around the valence band maximum. The absorption coefficient of GaN emission layer decreases and the reflectivity increases caused by n-type GaN cap layer.

  13. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  14. Work Hardening, Dislocation Structure, and Load Partitioning in Lath Martensite Determined by In Situ Neutron Diffraction Line Profile Analysis

    NASA Astrophysics Data System (ADS)

    Harjo, Stefanus; Kawasaki, Takuro; Tomota, Yo; Gong, Wu; Aizawa, Kazuya; Tichy, Geza; Shi, Zengmin; Ungár, Tamas

    2017-09-01

    A lath martensite steel containing 0.22 mass pct carbon was analyzed in situ during tensile deformation by high-resolution time-of-flight neutron diffraction to clarify the large work-hardening behavior at the beginning of plastic deformation. The diffraction peaks in plastically deformed states exhibit asymmetries as the reflection of redistributions of the stress and dislocation densities/arrangements in two lath packets: soft packet, where the dislocation glides are favorable, and hard packet, where they are unfavorable. The dislocation density was as high as 1015 m-2 in the as-heat-treated state. During tensile straining, the load and dislocation density became different between the two lath packets. The dislocation character and arrangement varied in the hard packet but hardly changed in the soft packet. In the hard packet, dislocations that were mainly screw-type in the as-heat-treated state became primarily edge-type and rearranged towards a dipole character related to constructing cell walls. The hard packet played an important role in the work hardening in martensite, which could be understood by considering the increase in dislocation density along with the change in dislocation arrangement.

  15. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  16. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  17. Defect reduction in Si-doped Al{sub 0.45}Ga{sub 0.55}N films by SiN{sub x} interlayer method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Yang; Chen, Shengchang; Kong, Man

    2014-01-28

    The dislocation density in AlGaN epitaxial layers with Al content as high as 45% grown on sapphire substrates has been effectively reduced by introducing an in-situ deposited SiN{sub x} nanomask layer in this study. By closely monitoring the evolution of numerous material properties, such as surface morphology, dislocation density, photoluminescence, strain states, and electron mobility of the Si-Al{sub 0.45}Ga{sub 0.55}N layers as the functions of SiN{sub x} interlayer growth time, the surface coverage fraction of SiN{sub x} is found to be a crucial factor determining the strain states and dislocation density. The dependence of the strain states and the dislocationmore » density on the surface coverage fraction of SiN{sub x} nanomask supports the very different growth models of Al-rich AlGaN on SiN{sub x} interlayer due to the reduced nucleation selectivity compared with the GaN counterpart. Compared with GaN, which can only nucleate at open pores of SiN{sub x} nanomask, Al-rich AlGaN can simultaneously nucleate at both open pores and SiN{sub x} covered areas. Dislocations will annihilate at the openings due to the 3D growth initiated on the opening area, while 2D growth mode is preserved on SiN{sub x} and the threading dislocations are also preserved. During the following growth process, lateral overgrowth will proceed from the Al{sub 0.45}Ga{sub 0.55}N islands on the openings towards the regions covered by SiN{sub x}, relaxing the compressive strain and bending the dislocations at the same time.« less

  18. A dislocation-based crystal plasticity framework for dynamic ductile failure of single crystals

    DOE PAGES

    Nguyen, Thao; Luscher, D. J.; Wilkerson, J. W.

    2017-08-02

    We developed a framework for dislocation-based viscoplasticity and dynamic ductile failure to model high strain rate deformation and damage in single crystals. The rate-dependence of the crystal plasticity formulation is based on the physics of relativistic dislocation kinetics suited for extremely high strain rates. The damage evolution is based on the dynamics of void growth, which are governed by both micro-inertia as well as dislocation kinetics and dislocation substructure evolution. Furthermore, an averaging scheme is proposed in order to approximate the evolution of the dislocation substructure in both the macroscale as well as its spatial distribution at the microscale. Inmore » addition, a concept of a single equivalent dislocation density that effectively captures the collective influence of dislocation density on all active slip systems is proposed here. Together, these concepts and approximations enable the use of semi-analytic solutions for void growth dynamics developed in [J. Wilkerson and K. Ramesh. A dynamic void growth model governed by dislocation kinetics. J. Mech. Phys. Solids, 70:262–280, 2014.], which greatly reduce the computational overhead that would otherwise be required. The resulting homogenized framework has been implemented into a commercially available finite element package, and a validation study against a suite of direct numerical simulations was carried out.« less

  19. A dislocation-based crystal plasticity framework for dynamic ductile failure of single crystals

    NASA Astrophysics Data System (ADS)

    Nguyen, Thao; Luscher, D. J.; Wilkerson, J. W.

    2017-11-01

    A framework for dislocation-based viscoplasticity and dynamic ductile failure has been developed to model high strain rate deformation and damage in single crystals. The rate-dependence of the crystal plasticity formulation is based on the physics of relativistic dislocation kinetics suited for extremely high strain rates. The damage evolution is based on the dynamics of void growth, which are governed by both micro-inertia as well as dislocation kinetics and dislocation substructure evolution. An averaging scheme is proposed in order to approximate the evolution of the dislocation substructure in both the macroscale as well as its spatial distribution at the microscale. Additionally, a concept of a single equivalent dislocation density that effectively captures the collective influence of dislocation density on all active slip systems is proposed here. Together, these concepts and approximations enable the use of semi-analytic solutions for void growth dynamics developed in (Wilkerson and Ramesh, 2014), which greatly reduce the computational overhead that would otherwise be required. The resulting homogenized framework has been implemented into a commercially available finite element package, and a validation study against a suite of direct numerical simulations was carried out.

  20. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  1. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    NASA Astrophysics Data System (ADS)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  2. Hydrogen diffusion in the elastic fields of dislocations in iron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sivak, A. B., E-mail: Sivak-AB@nrcki.ru; Sivak, P. A.; Romanov, V. A.

    2016-12-15

    The effect of dislocation stress fields on the sink efficiency thereof is studied for hydrogen interstitial atoms at temperatures of 293 and 600 K and at a dislocation density of 3 × 10{sup 14} m{sup –2} in bcc iron crystal. Rectilinear full screw and edge dislocations in basic slip systems 〈111〉(110), 〈111〉(112), 〈100〉(100), and 〈100〉(110) are considered. Diffusion of defects is simulated by means of the object kinetic Monte Carlo method. The energy of interaction between defects and dislocations is calculated using the anisotropic theory of elasticity. The elastic fields of dislocations result in a less than 25% change ofmore » the sink efficiency as compared to the noninteracting linear sink efficiency at a room temperature. The elastic fields of edge dislocations increase the dislocation sink efficiency, whereas the elastic fields of screw dislocations either decrease this parameter (in the case of dislocations with the Burgers vector being 1/2〈111〉) or do not affect it (in the case of dislocations with the Burgers vector being 〈100〉). At temperatures above 600 K, the dislocations affect the behavior of hydrogen in bcc iron mainly owing to a high binding energy between the hydrogen atom and dislocation cores.« less

  3. Doping Induced Structural Stability and Electronic Properties of GaN Nanotubes

    PubMed Central

    Khan, Mohammad Irfan; Tyagi, Neha; Swaroop Khare, Purnima

    2014-01-01

    The present paper discusses the effect of manganese doping on the structural stability and electronic band gap of chiral (2, 1), armchair (3, 3), and zigzag ((6, 0) and (10, 0)) single walled GaN nanotube by using density functional theory based Atomistix Toolkit (ATK) Virtual NanoLab (VNL). The structural stability has been analyzed in terms of minimum ground state total energy, binding, and formation energy. As an effect of Mn doping (1–4 atoms), all the GaN nanotubes taken into consideration show semiconducting to metallic transition first and after certain level of Mn doping changes its trend. PMID:24707225

  4. Collective behaviour of dislocations in a finite medium

    NASA Astrophysics Data System (ADS)

    Kooiman, M.; Hütter, M.; Geers, M. G. D.

    2014-04-01

    We derive the grand-canonical partition function of straight and parallel dislocation lines without making a priori assumptions on the temperature regime. Such a systematic derivation for dislocations has, to the best of our knowledge, not been carried out before, and several conflicting assumptions on the free energy of dislocations have been made in the literature. Dislocations have gained interest as they are the carriers of plastic deformation in crystalline materials and solid polymers, and they constitute a prototype system for two-dimensional Coulomb particles. Our microscopic starting level is the description of dislocations as used in the discrete dislocation dynamics (DDD) framework. The macroscopic level of interest is characterized by the temperature, the boundary deformation and the dislocation density profile. By integrating over state space, we obtain a field theoretic partition function, which is a functional integral of the Boltzmann weight over an auxiliary field. The Hamiltonian consists of a term quadratic in the field and an exponential of this field. The partition function is strongly non-local, and reduces in special cases to the sine-Gordon model. Moreover, we determine implicit expressions for the response functions and the dominant scaling regime for metals, namely the low-temperature regime.

  5. 3D discrete dislocation dynamics study of creep behavior in Ni-base single crystal superalloys by a combined dislocation climb and vacancy diffusion model

    NASA Astrophysics Data System (ADS)

    Gao, Siwen; Fivel, Marc; Ma, Anxin; Hartmaier, Alexander

    2017-05-01

    A three-dimensional (3D) discrete dislocation dynamics (DDD) creep model is developed to investigate creep behavior under uniaxial tensile stress along the crystallographic [001] direction in Ni-base single crystal superalloys, which takes explicitly account of dislocation glide, climb and vacancy diffusion, but neglects phase transformation like rafting of γ‧ precipitates. The vacancy diffusion model takes internal stresses by dislocations and mismatch strains into account and it is coupled to the dislocation dynamics model in a numerically efficient way. This model is helpful for understanding the fundamental creep mechanisms in superalloys and clarifying the effects of dislocation glide and climb on creep deformation. In cases where the precipitate cutting rarely occurs, e.g. due to the high anti-phase boundary energy and the lack of superdislocations, the dislocation glide in the γ matrix and the dislocation climb along the γ/γ‧ interface dominate plastic deformation. The simulation results show that a high temperature or a high stress both promote dislocation motion and multiplication, so as to cause a large creep strain. Dislocation climb accelerated by high temperature only produces a small plastic strain, but relaxes the hardening caused by the filling γ channels and lets dislocations further glide and multiply. The strongest variation of vacancy concentration occurs in the horizontal channels, where more mixed dislocations exit and tend to climb. The increasing internal stresses due to the increasing dislocation density are easily overcome by dislocations under a high external stress that leads to a long-term dislocation glide accompanied by multiplication.

  6. Zinc-blende MnN bilayer formation on the GaN(111) surface

    NASA Astrophysics Data System (ADS)

    Gutierrez-Ojeda, S. J.; Guerrero-Sánchez, J.; Garcia-Diaz, R.; Ramirez-Torres, A.; Takeuchi, Noboru; H. Cocoletzi, Gregorio

    2017-07-01

    Atomic layers of manganese nitride, deposited on the cubic gallium nitride (111) surface, are investigated using spin polarized periodic density functional theory calculations. The adsorption of a manganese atom has been evaluated at different high symmetry sites. Incorporation into the GaN substrate by replacing gallium atoms drives the formation of a site in which the displaced Ga atom forms bonds with Ga atoms at the surface. This energetically favorable configuration shows a ferromagnetic alignment. Surface formation energy calculations demonstrate that when a full Mn ML is incorporated into the GaN structure, a Ga ML on top of a MnN bilayer may be formed for very Ga-rich conditions. On the other hand, when a full Mn ML is deposited on top of the nitrogen terminated surface, an epitaxial MnN bilayer is formed with antiferromagnetic characteristics. Density of states and partial density of states are reported to show the antiferromagnetic alignment in both structures. This behavior is mainly induced by the Mn-d orbitals.

  7. Tuning electronic and magnetic properties of GaN nanosheets by surface modifications and nanosheet thickness.

    PubMed

    Xiao, Meixia; Yao, Tingzhen; Ao, Zhimin; Wei, Peng; Wang, Danghui; Song, Haiyang

    2015-04-14

    Density-functional theory calculations are performed to investigate the effects of surface modifications and nanosheet thickness on the electronic and magnetic properties of gallium nitride (GaN) nanosheets (NSs). Unlike the bare GaN NSs terminating with polar surfaces, the systems with hydrogenated Ga (H-GaN), fluorinated Ga (F-GaN), and chlorinated Ga (Cl-GaN) preserve their initial wurtzite structures and exhibit ferromagnetic states. The abovementioned three different decorations on Ga atoms are energetically more favorable for thicker GaN NSs. Moreover, as the thickness increases, H-GaN and F-GaN NSs undergo semiconductor to metal and half-metal to metal transition, respectively, while Cl-GaN NSs remain completely metallic. The predicted diverse and tunable electronic and magnetic properties highlight the potential of GaN NSs for novel electronic and spintronic nanodevices.

  8. How localized acceptors limit p-type conductivity in GaN

    NASA Astrophysics Data System (ADS)

    Lyons, John L.

    2013-03-01

    Despite the impressive development of GaN as an optoelectronic material, p-type conductivity is still limited. Only a single acceptor impurity, magnesium, is known to lead to p-type GaN. But Mg is far from a well-behaved acceptor. Hydrogen is known to passivate Mg, necessitating a post-growth anneal for acceptor activation. In addition, the ionization energy is quite large (~ 200 meV in GaN), meaning only a few percent of Mg acceptors are ionized at room temperature. Thus, hole conductivity is limited, and high concentrations of Mg are required to achieve moderately p-type GaN. Other acceptor impurities have not proven to be effective p-type dopants, for reasons that are still unresolved. Using advanced first-principles calculations based on a hybrid functional, we investigate the electrical and optical properties of the isolated Mg acceptor and its complexes with hydrogen in GaN, InN, and AlN.[2] We employ a technique that overcomes the band-gap-problem of traditional density functional theory, and allows for quantitative predictions of acceptor ionization energies and optical transition energies. Our results allow us to explain the deep or shallow nature of the Mg acceptor and its relation to the optical signals observed in Mg-doped GaN. We also revisit the properties of other group-II acceptors in GaN. We find that all cation-site acceptors show behavior similar to MgGa, and lead to highly localized holes. The ZnGa and BeGa acceptors have ionization energies that are even larger than that of Mg, making them ineffective dopants. All acceptors cause large lattice distortions in their neutral charge state, in turn leading to deep, broad luminescence signals that can serve as a means of experimentally verifying the deep nature of these acceptors. This work was performed in collaboration with Audrius Alkauskas, Anderson Janotti, and Chris G. Van de Walle. It was supported by the NSF and by the Solid State Lighting and Energy Center at UCSB.

  9. Anharmonic phonon decay in cubic GaN

    NASA Astrophysics Data System (ADS)

    Cuscó, R.; Domènech-Amador, N.; Novikov, S.; Foxon, C. T.; Artús, L.

    2015-08-01

    We present a Raman-scattering study of optical phonons in zinc-blende (cubic) GaN for temperatures ranging from 80 to 750 K. The experiments were performed on high-quality, cubic GaN films grown by molecular-beam epitaxy on GaAs (001) substrates. The observed temperature dependence of the optical phonon frequencies and linewidths is analyzed in the framework of anharmonic decay theory, and possible decay channels are discussed in the light of density-functional-theory calculations. The longitudinal-optical (LO) mode relaxation is found to occur via asymmetric decay into acoustic phonons, with an appreciable contribution of higher-order processes. The transverse-optical mode linewidth shows a weak temperature dependence and its frequency downshift is primarily determined by the lattice thermal expansion. The LO phonon lifetime is derived from the observed Raman linewidth and an excellent agreement with previous theoretical predictions is found.

  10. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  11. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  12. Coupling continuum dislocation transport with crystal plasticity for application to shock loading conditions

    DOE PAGES

    Luscher, Darby Jon; Mayeur, Jason Rhea; Mourad, Hashem Mohamed; ...

    2015-08-05

    Here, we have developed a multi-physics modeling approach that couples continuum dislocation transport, nonlinear thermoelasticity, crystal plasticity, and consistent internal stress and deformation fields to simulate the single-crystal response of materials under extreme dynamic conditions. Dislocation transport is modeled by enforcing dislocation conservation at a slip-system level through the solution of advection-diffusion equations. Nonlinear thermoelasticity provides a thermodynamically consistent equation of state to relate stress (including pressure), temperature, energy densities, and dissipation. Crystal plasticity is coupled to dislocation transport via Orowan's expression where the constitutive description makes use of recent advances in dislocation velocity theories applicable under extreme loading conditions.more » The configuration of geometrically necessary dislocation density gives rise to an internal stress field that can either inhibit or accentuate the flow of dislocations. An internal strain field associated with the internal stress field contributes to the kinematic decomposition of the overall deformation. The paper describes each theoretical component of the framework, key aspects of the constitutive theory, and some details of a one-dimensional implementation. Results from single-crystal copper plate impact simulations are discussed in order to highlight the role of dislocation transport and pile-up in shock loading regimes. The main conclusions of the paper reinforce the utility of the modeling approach to shock problems.« less

  13. Hydrogen incorporation in high hole density GaN:Mg

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Uprety, Y.; Dashdorj, J.; Moseley, M.; Doolittle, W. Alan

    2011-03-01

    We investigate hydrogen passivation in heavily doped p-type GaN using electron paramagnetic resonance (EPR) spectroscopy. Samples include both conventionally grown GaN (1019 cm-3 Mg, 1017 cm-3 holes) and films grown by metal modulation epitaxy (MME), which yielded higher Mg (1- 4 x 1020 cm-3) and hole (1- 40 x 1018 cm-3) densities than found in conventionally grown GaN. The Mg acceptor signal is monitored throughout 30 minute annealing steps in N2 :H2 (92%:7%)) and subsequently pure N2 . N2 :H2 heat treatments of the lower hole density films begin to reduce the Mg EPR intensity at 750 o C, but quench the signal in high hole density films at 600 o C. Revival of the signal by subsequent N2 annealing occurs at 800 o C for the low hole density material and 600 o C in MME GaN. The present work highlights chemical differences between heavily Mg doped and lower doped films; however, it is unclear whether the difference is due to changes in hydrogen-Mg complex formation or hydrogen diffusion. The work at UAB is supported by the NSF.

  14. Effect of oxygen on dislocation multiplication in silicon crystals

    NASA Astrophysics Data System (ADS)

    Fukushima, Wataru; Harada, Hirofumi; Miyamura, Yoshiji; Imai, Masato; Nakano, Satoshi; Kakimoto, Koichi

    2018-03-01

    This paper aims to clarify the effect of oxygen on dislocation multiplication in silicon single crystals grown by the Czochralski and floating zone methods using numerical analysis. The analysis is based on the Alexander-Haasen-Sumino model and involves oxygen diffusion from the bulk to the dislocation cores during the annealing process in a furnace. The results show that after the annealing process, the dislocation density in silicon single crystals decreases as a function of oxygen concentration. This decrease can be explained by considering the unlocking stress caused by interstitial oxygen atoms. When the oxygen concentration is 7.5 × 1017 cm-3, the total stress is about 2 MPa and the unlocking stress is less than 1 MPa. As the oxygen concentration increases, the unlocking stress also increases; however, the dislocation velocity decreases.

  15. P-type doping of GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wong, Raechelle Kimberly

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C.more » The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.« less

  16. New method for revealing dislocations in garnet: premelting decoration

    NASA Astrophysics Data System (ADS)

    Liu, Xiangwen; Xie, Zhanjun; Jin, Zhenmin; Li, Zhuoyue; Ao, Ping; Wu, Yikun

    2018-05-01

    Premelting decoration (PMD) of dislocation experiments was carried out on garnets at 1 atmosphere pressure and temperatures of 800-1000 °C. Numerous decorated lines were observed on the polished surface of heat-treated garnet grains. The results of scanning electron microscopy, laser Raman spectroscopy and transmission electron microscopy (TEM) analyses indicate that these decorated lines were generated by premelting reaction along the dislocation lines and subgrain boundaries. The constituents of decorated lines on the polished surface of garnet are hematite, magnetite, and melt. While, in the interior of garnet, their constituents changed to Al-bearing magnetite and melt. The dislocation density of a gem-quality megacrystal garnet grain by means of the PMD is similar to that obtained by TEM, which confirms that the PMD is a new reliable method for revealing dislocations in garnet. This method greatly reduces the cost and time involved in the observation of dislocation microstructures in deformed garnet.

  17. Roma Gans: Still Writing at 95.

    ERIC Educational Resources Information Center

    Sullivan, Joanna

    1991-01-01

    Recounts discussions with reading educator Roma Gans over a 25-year period. Presents Gans' views about reading, teachers, her family, and her years at Teachers College, Columbia. Notes that Gans has seen the teaching of reading come full circle since her first teaching assignment in 1919. (RS)

  18. First-Principle Study of the Optical Properties of Dilute-P GaN1-xPx Alloys.

    PubMed

    Borovac, Damir; Tan, Chee-Keong; Tansu, Nelson

    2018-04-16

    An investigation on the optical properties of dilute-P GaN 1-x P x alloys by First-Principle Density Functional Theory (DFT) methods is presented, for phosphorus (P) content varying from 0% up to 12.5%. Findings on the imaginary and real part of the dielectric function are analyzed and the results are compared with previously reported theoretical works on GaN. The complex refractive index, normal-incidence reflectivity and birefringence are presented and a difference in the refractive index in the visible regime between GaN and GaNP alloys of ~0.3 can be engineered by adding minute amounts of phosphorus, indicating strong potential for refractive index tunability. The optical properties of the GaN 1-x P x alloys indicate their strong potential for implementation in various III-nitride-based photonic waveguide applications and Distributed Bragg Reflectors (DBR).

  19. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  20. Effects of doping impurity and growth orientation on dislocation generation in GaAs crystals grown from the melt: A qualitative finite-element study

    NASA Astrophysics Data System (ADS)

    Zhu, X. A.; Tsai, C. T.

    2000-09-01

    Dislocations in gallium arsenide (GaAs) crystals are generated by excessive thermal stresses induced during the crystal growth process. The presence of dislocations has adverse effects on the performance and reliability of the GaAs-based devices. It is well known that dislocation density can be significantly reduced by doping impurity atoms into a GaAs crystal during its growth process. A viscoplastic constitutive equation that couples the microscopic dislocation density with the macroscopic plastic deformation is employed in a crystallographic finite element model for calculating the dislocation density generated in the GaAs crystal during its growth process. The dislocation density is considered as an internal state variable and the drag stress caused by doping impurity is included in this constitutive equation. A GaAs crystal grown by the vertical Bridgman process is adopted as an example to study the influences of doping impurity and growth orientation on dislocation generation. The calculated results show that doping impurity can significantly reduce the dislocation density generated in the crystal. The level of reduction is also influenced by the growth orientation during the crystal growth process.

  1. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  2. Cathodoluminescence Study on Spatial Luminescence Properties of InN/GaN Multiple Quantum Wells Consisting of 1-Monolayer-Thick InN Wells/GaN Matrix

    NASA Astrophysics Data System (ADS)

    Hwang, E. S.; Che, S. B.; Saito, H.; Wang, X.; Ishitani, Y.; Yoshikawa, A.

    2008-05-01

    Spatially resolved luminescence properties of InN/GaN multiple quantum wells (MQWs) consisting of nominally one monolayer (1-ML)-thick InN QWs embedded in a GaN matrix are studied by cross-sectional and plan-view cathodoluminescence measurements. First it is confirmed that the dominant emission peaks observed at around 390 nm to 430 nm in the MQWs samples are attributed to the effects of inserting ˜1-ML-thick InN wells in the GaN matrix, resulting in efficient localization of GaN excitons at InN QWs. Furthermore, it is revealed that the detailed structure of the MQWs, such as the thickness distribution and interface sharpness, is very sensitive to the presence of surface defects such as hillocks around screw-component threading dislocations, resulting in different emission wavelengths/energies. This is because the epitaxy process for depositing such thin InN wells is seriously affected by the atomic-level surface structures/properties of the growth front. It will be concluded that it is necessary to use lower dislocation density GaN bulk templates to obtain much higher structural quality InN/GaN MQWs good enough for characterizing their optical properties.

  3. Defect analysis of the LED structure deposited on the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Nie, Qichu; Jiang, Zhimin; Gan, Zhiyin; Liu, Sheng; Yan, Han; Fang, Haisheng

    2018-04-01

    Transmission electron microscope (TEM) and double-crystal X-ray diffraction (DCXRD) measurements have been performed to investigate dislocations of the whole structure of the LED layers deposited on both the conventional (unpatterned sapphire substrate, UPSS) and patterned sapphire substrates (PSS). TEM results show that there exists a dislocation-accumulated region near the substrate/GaN interface, where the dislocation density is much higher with the UPPS than that with the PSS. It indicates that the pattern on the substrate surface is able to block the formation and propagation of dislocations. Further analysis discloses that slope of the pattern is found to suppress the deposition of GaN, and thus to provide more spaces for the epitaxially lateral overgrowth (ELO) of high temperature GaN, which significantly reduces the number of the initial islands, and minimizes dislocation formation due to the island coalescence. V-defect incorporating the threading dislocation is detected in the InGaN/GaN multi-quantum wells (MQWs), and its propagation mechanism is determined as the decrease of the surface energy due to the incorporation of indium. In addition, temperature dependence of dislocation formation is further investigated. The results show that dislocation with the screw component decreases monotonously as temperature goes up. However, edge dislocation firstly drops, and then increases by temperature due to the enhanced thermal mismatch stress. It implies that an optimized range of the growth temperature can be obtained to improve quality of the LED layers.

  4. Transition of dislocation glide to shear transformation in shocked tantalum

    DOE PAGES

    Hsiung, Luke L.; Campbell, Geoffrey H.

    2017-02-28

    A TEM study of pure tantalum and tantalum-tungsten alloys explosively shocked at a peak pressure of 30 GPa (strain rate: ~1 x 10 4 sec -1) is presented. While no ω (hexagonal) phase was found in shock-recovered pure Ta and Ta-5W that contain mainly a low-energy cellular dislocation structure, shock-induced ω phase was found to form in Ta-10W that contains evenly distributed dislocations with a stored dislocation density higher than 1 x 10 12 cm -2. The TEM results clearly reveal that shock-induced α (bcc) → ω (hexagonal) shear transformation occurs when dynamic recovery reactions which lead the formation low-energymore » cellular dislocation structure become largely suppressed in Ta-10W shocked under dynamic (i.e., high strain-rate and high-pressure) conditions. A novel dislocation-based mechanism is proposed to rationalize the transition of dislocation glide to twinning and/or shear transformation in shock-deformed tantalum. Lastly, twinning and/or shear transformation take place as an alternative deformation mechanism to accommodate high-strain-rate straining when the shear stress required for dislocation multiplication exceeds the threshold shear stresses for twinning and/or shear transformation.« less

  5. Less strained and more efficient GaN light-emitting diodes with embedded silica hollow nanospheres

    PubMed Central

    Kim, Jonghak; Woo, Heeje; Joo, Kisu; Tae, Sungwon; Park, Jinsub; Moon, Daeyoung; Park, Sung Hyun; Jang, Junghwan; Cho, Yigil; Park, Jucheol; Yuh, Hwankuk; Lee, Gun-Do; Choi, In-Suk; Nanishi, Yasushi; Han, Heung Nam; Char, Kookheon; Yoon, Euijoon

    2013-01-01

    Light-emitting diodes (LEDs) become an attractive alternative to conventional light sources due to high efficiency and long lifetime. However, different material properties between GaN and sapphire cause several problems such as high defect density in GaN, serious wafer bowing, particularly in large-area wafers, and poor light extraction of GaN-based LEDs. Here, we suggest a new growth strategy for high efficiency LEDs by incorporating silica hollow nanospheres (S-HNS). In this strategy, S-HNSs were introduced as a monolayer on a sapphire substrate and the subsequent growth of GaN by metalorganic chemical vapor deposition results in improved crystal quality due to nano-scale lateral epitaxial overgrowth. Moreover, well-defined voids embedded at the GaN/sapphire interface help scatter lights effectively for improved light extraction, and reduce wafer bowing due to partial alleviation of compressive stress in GaN. The incorporation of S-HNS into LEDs is thus quite advantageous in achieving high efficiency LEDs for solid-state lighting. PMID:24220259

  6. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  7. Gamma Prime Precipitation, Dislocation Densities, and TiN in Creep-Exposed Inconel 617 Alloy

    NASA Astrophysics Data System (ADS)

    Krishna, Ram; Atkinson, Helen V.; Hainsworth, Sarah V.; Gill, Simon P.

    2016-01-01

    Inconel 617 is a solid-solution-strengthened Ni-based superalloy with a small amount of gamma prime (γ') present. Here, samples are examined in the as-received condition and after creep exposure at 923 K (650 °C) for 574 hours and 45,000 hours and at 973 K (700 °C) for 4000 hours. The stress levels are intermediate (estimated, respectively, as of the order of 350, 275, and 200 MPa) and at levels of interest for the future operation of power plant. The hardness of the specimens has been measured in the gage length and the head. TEM thin foils have been obtained to quantify dislocation densities (3.5 × 1013 for the as-received, 5.0 × 1014, 5.9 × 1014, and 3.5 × 1014 lines/m2 for the creep-exposed specimens, respectively). There are no previous data in the literature for dislocation densities in this alloy after creep exposure. There is some evidence from the dislocation densities that for the creep-exposed samples, the higher hardness in the gage length in comparison with the creep test specimen head is due to work hardening rather than any other effect. Carbon replicas have been used to extract gamma prime precipitates. The morphology of γ' precipitates in the `as-received' condition was spheroidal with an average diameter of 18 nm. The morphology of these particles does not change with creep exposure but the size increases to 30 nm after 574 hours at 923 K (650 °C) but with little coarsening in 45,000 hours. At 973 K (700 °C) 4000 hours, the average gamma prime size is 32 nm. In the TEM images of the replicas, the particles overlap, and therefore, a methodology has been developed to estimate the volume fraction of gamma prime in the alloy given the carbon replica film thickness. The results are 5.8 vol pct in the as-received and then 2.9, 3.2, and 3.4 vol pct, respectively, for the creep-exposed specimens. The results are compared with predictions from thermodynamic analysis given the alloy compositions. Thermodynamic prediction shows that nitrogen

  8. GaN based nanorods for solid state lighting

    NASA Astrophysics Data System (ADS)

    Li, Shunfeng; Waag, Andreas

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  9. Dislocation

    MedlinePlus

    ... Dislocations can occur in contact sports, such as football and hockey, and in sports in which falls ... downhill skiing, gymnastics and volleyball. Basketball players and football players also commonly dislocate joints in their fingers ...

  10. Effect of hydrogen on Ca and Mg acceptors in GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, J.W.; Pearton, S.J.; Zolper, J.C.

    The influence of minority carrier injection on the reactivation of hydrogen passivated Mg in GaN at 175 C has been investigated in p-n junction diodes. The dissociation of the neutral MgH complexes is greatly enhanced in the presence of minority carrier and the reactivation process follows second order kinetics. Conventional annealing under zero-bias conditions does not produce Mg-H dissociation until temperatures {ge} 450 C. These results provide an explanation for the e-beam induced reactivation of Mg acceptors in hydrogenated GaN. Exposure to a hydrogen plasma at 250 C of p-type GaN (Ca) prepared by either Ca{sup +} or Ca{sup +}more » plus P{sup +} coimplantation leads to a reduction in sheet carrier density of approximately an order of magnitude (1.6 {times} 10{sup 12} cm{sup {minus}2} to 1.8 {times} 10{sup 11} cm{sup {minus}2}), and an accompanying increase in hole mobility (6 cm{sup 2}/Vs to 18 cm{sup 2}/Vs). The passivation process can be reversed by post-hydrogenation annealing at 400--500 C under a N{sub 2} ambient. This reactivation of the acceptors is characteristic of the formation of neutral (Ca-H) complexes in the GaN. The thermal stability of the passivation is similar to that of Mg-H complexes in material prepared in the same manner (implantation) with similar initial doping levels. Hydrogen passivation of acceptor dopants in GaN appears to be a ubiquitous phenomenon, as it is in other p-type semiconductors.« less

  11. Materials properties and dislocation dynamics in InAsP compositionally graded buffers on InP substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jandl, Adam, E-mail: jandl@mit.edu; Bulsara, Mayank T.; Fitzgerald, Eugene A.

    The properties of InAs{sub x}P{sub 1−x} compositionally graded buffers grown by metal organic chemical vapor deposition are investigated. We report the effects of strain gradient (ε/thickness), growth temperature, and strain initiation sequence (gradual or abrupt strain introduction) on threading dislocation density, surface roughness, epi-layer relaxation, and tilt. We find that gradual introduction of strain causes increased dislocation densities (>10{sup 6}/cm{sup 2}) and tilt of the epi-layer (>0.1°). A method of abrupt strain initiation is proposed which can result in dislocation densities as low as 1.01 × 10{sup 5} cm{sup −2} for films graded from the InP lattice constant to InAs{sub 0.15}P{sub 0.85}.more » A model for a two-energy level dislocation nucleation system is proposed based on our results.« less

  12. Structure of screw dislocation core in Ta at high pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Shaofeng, E-mail: sfwang@cqu.edu.cn; Jiang, Na; Wang, Rui

    2014-03-07

    The core structure and Peierls stress of the 1/2 〈111〉(110) screw dislocation in Ta have been investigated theoretically using the modified Peierls–Nabarro theory that takes into account the discreteness effect of crystal. The lattice constants, the elastic properties, and the generalized-stacking-fault energy(γ-surface) under the different pressures have been calculated from the electron density functional theory. The core structure of dislocation is determined by the modified Peierls equation, and the Peierls stress is evaluated from the dislocation energy that varies periodically as dislocation moves. The results show the core width and Peierls stress in Ta are weakly dependent of the pressuremore » up to 100 GPa when the length and stress are measured separately by the Burgers vector b and shear modulus μ. This indicates that core structure is approximately scaling invariant for the screw dislocation in Ta. The scaled plasticity of Ta changes little in high pressure environment.« less

  13. Dislocations

    MedlinePlus

    ... Things That Help Feelings Expert Answers Q&A Movies & More for Teens ... A dislocation is when two connected bones become separated. Dislocations are caused by falls and hard impacts, such as in sports injuries, and are more ...

  14. Electrical properties of polycrystalline GaN films functionalized with cysteine and stabilization of GaN nanoparticles in aqueous media.

    PubMed

    Arízaga, Gregorio Guadalupe Carbajal; Oviedo, Mariana J; López, Oscar Edel Contreras

    2012-10-01

    GaN was synthesized onto sapphire substrates by chemical vapor deposition, reacting gallium, ammonium chloride and ammonia. The polycrystalline films were immersed in glycine, aspartic acid and cysteine solutions. Cysteine chemisorbed onto GaN films produced detectable changes in conductivity, mobility and Hall coefficient indicating that GaN is capable of detecting and reacting with thiolate groups, which was confirmed by X-ray photoelectron spectroscopy. The Cys-GaN film solution was adjusted to pH 10, upon which the GaN nanoparticles were transferred to the aqueous phase forming a suspension stable for seven days. The alkaline colloid was then further adjusted down to pH 3 retaining stability for three days. The GaN colloid obtained represents a suitable medium to study GaN properties for biological applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Control of epitaxial defects for optimal AlGaN/GaN HEMT performance and reliability

    NASA Astrophysics Data System (ADS)

    Green, D. S.; Gibb, S. R.; Hosse, B.; Vetury, R.; Grider, D. E.; Smart, J. A.

    2004-12-01

    High-quality GaN epitaxy continues to be challenged by the lack of matched substrates. Threading dislocations that result from heteroepitaxy are responsible for leakage currents, trapping effects, and may adversely affect device reliability. We have studied the impact of AlN nucleation conditions on the density and character of threading dislocations on SiC substrates. Variation of the nucleation temperature, V/III ratio, and thickness are seen to have a dramatic effect on the balance between edge, screw and mixed character dislocation densities. Electrical and structural properties have been assessed by AFM and XRD on a material level and through DC and RF performance at the device level. The ratio between dislocation characteristics has been established primarily through comparison of symmetric and asymmetric XRD rocking curve widths. The effect of each dislocation type on leakage current, RF power and reliability at 2 GHz, the targeted band for cell phone infrastructure applications, is discussed.

  16. Dislocation related droop in InGaN/GaN light emitting diodes investigated via cathodoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pozina, Galia; Ciechonski, Rafal; Bi, Zhaoxia

    2015-12-21

    Today's energy saving solutions for general illumination rely on efficient white light emitting diodes (LEDs). However, the output efficiency droop experienced in InGaN based LEDs with increasing current injection is a serious limitation factor for future development of bright white LEDs. We show using cathodoluminescence (CL) spatial mapping at different electron beam currents that threading dislocations are active as nonradiative recombination centers only at high injection conditions. At low current, the dislocations are inactive in carrier recombination due to local potentials, but these potentials are screened by carriers at higher injection levels. In CL images, this corresponds to the increasemore » of the dark contrast around dislocations with the injection (excitation) density and can be linked with droop related to the threading dislocations. Our data indicate that reduction of droop in the future efficient white LED can be achieved via a drastic reduction of the dislocation density by using, for example, bulk native substrates.« less

  17. High optical quality GaN nanopillar arrays

    NASA Astrophysics Data System (ADS)

    Wang, Y. D.; Chua, S. J.; Tripathy, S.; Sander, M. S.; Chen, P.; Fonstad, C. G.

    2005-02-01

    GaN nanopillar arrays have been fabricated by inductively coupled plasma etching of GaN films using anodic aluminum oxide film as an etch mask. The average diameter and length of these pillars are 60-65nm and 350-400nm, respectively. Ultraviolet microphotoluminescence measurements indicate high photoluminescence intensity and stress relaxation in these GaN nanopillars as compared to the starting epitaxial GaN films. Evidence of good crystalline quality is also observed by micro-Raman measurements, wherein a redshift of the E2high mode from GaN nanopillars suggests partial relaxation of the compressive strain. In addition, breakdown of the polarization selection rules led to the appearance of symmetry-forbidden and quasipolar modes.

  18. Dislocations and charge density distributions of {gamma} phase in Ti47.5Al2.5V deformed at room temperature and 400 {degree}C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, J.; Gao, Y.; Miao, Y.

    The observations on quantity and configuration of dislocations by TEM conventional diffraction contrast method as well as the determinations of the electron charge density distributions by the quantitative electron crystallography method in Ti47.5Al2.5V deformed at 400 C and room temperature (R.T.) have been carried out. The metallic bonding between Al-Al or Ti-Ti atom pair along {l_angle}110] and Ti-Ti along {l_angle}112] direction is strengthened; while the metallic bonding between Ti-Al atom pair both along {l_angle}101] and {l_angle}121] direction is weakened at 400 C. The quantities of a/2{l_angle}110], a/2{l_angle}112] and dissociated a{l_angle}101] (a[101]{yields}a/2[1{bar 1}0] + a/3[112] + SISF + a/6[112]) dislocations aremore » increased at 400 C, compared with that at R. T.. The a/2 {l_angle}121] super dislocations have not been seen both at 400 C and R.T.« less

  19. Dynamic phases, pinning, and pattern formation for driven dislocation assemblies

    DOE PAGES

    Zhou, Caizhi; Reichhardt, Charles; Olson Reichhardt, Cynthia J.; ...

    2015-01-23

    We examine driven dislocation assemblies and show that they can exhibit a set of dynamical phases remarkably similar to those of driven systems with quenched disorder such as vortices in superconductors, magnetic domain walls, and charge density wave materials. These phases include pinned-jammed, fluctuating, and dynamically ordered states, and each produces distinct dislocation patterns as well as specific features in the noise fluctuations and transport properties. Lastly, our work suggests that many of the results established for systems with quenched disorder undergoing plastic depinning transitions can be applied to dislocation systems, providing a new approach for understanding pattern formation andmore » dynamics in these systems.« less

  20. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  1. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  2. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    PubMed

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  3. Dislocation Strengthening without Ductility Trade-off in Metastable Austenitic Steels

    PubMed Central

    Liu, Jiabin; Jin, Yongbin; Fang, Xiaoyang; Chen, Chenxu; Feng, Qiong; Liu, Xiaowei; Chen, Yuzeng; Suo, Tao; Zhao, Feng; Huang, Tianlin; Wang, Hongtao; Wang, Xi; Fang, Youtong; Wei, Yujie; Meng, Liang; Lu, Jian; Yang, Wei

    2016-01-01

    Strength and ductility are mutually exclusive if they are manifested as consequence of the coupling between strengthening and toughening mechanisms. One notable example is dislocation strengthening in metals, which invariably leads to reduced ductility. However, this trend is averted in metastable austenitic steels. A one-step thermal mechanical treatment (TMT), i.e. hot rolling, can effectively enhance the yielding strength of the metastable austenitic steel from 322 ± 18 MPa to 675 ± 15 MPa, while retaining both the formability and hardenability. It is noted that no boundaries are introduced in the optimized TMT process and all strengthening effect originates from dislocations with inherited thermal stability. The success of this method relies on the decoupled strengthening and toughening mechanisms in metastable austenitic steels, in which yield strength is controlled by initial dislocation density while ductility is retained by the capability to nucleate new dislocations to carry plastic deformation. Especially, the simplicity in processing enables scaling and industrial applications to meet the challenging requirements of emissions reduction. On the other hand, the complexity in the underlying mechanism of dislocation strengthening in this case may shed light on a different route of material strengthening by stimulating dislocation activities, rather than impeding motion of dislocations. PMID:27739481

  4. Dislocation Strengthening without Ductility Trade-off in Metastable Austenitic Steels

    NASA Astrophysics Data System (ADS)

    Liu, Jiabin; Jin, Yongbin; Fang, Xiaoyang; Chen, Chenxu; Feng, Qiong; Liu, Xiaowei; Chen, Yuzeng; Suo, Tao; Zhao, Feng; Huang, Tianlin; Wang, Hongtao; Wang, Xi; Fang, Youtong; Wei, Yujie; Meng, Liang; Lu, Jian; Yang, Wei

    2016-10-01

    Strength and ductility are mutually exclusive if they are manifested as consequence of the coupling between strengthening and toughening mechanisms. One notable example is dislocation strengthening in metals, which invariably leads to reduced ductility. However, this trend is averted in metastable austenitic steels. A one-step thermal mechanical treatment (TMT), i.e. hot rolling, can effectively enhance the yielding strength of the metastable austenitic steel from 322 ± 18 MPa to 675 ± 15 MPa, while retaining both the formability and hardenability. It is noted that no boundaries are introduced in the optimized TMT process and all strengthening effect originates from dislocations with inherited thermal stability. The success of this method relies on the decoupled strengthening and toughening mechanisms in metastable austenitic steels, in which yield strength is controlled by initial dislocation density while ductility is retained by the capability to nucleate new dislocations to carry plastic deformation. Especially, the simplicity in processing enables scaling and industrial applications to meet the challenging requirements of emissions reduction. On the other hand, the complexity in the underlying mechanism of dislocation strengthening in this case may shed light on a different route of material strengthening by stimulating dislocation activities, rather than impeding motion of dislocations.

  5. Dislocation Strengthening without Ductility Trade-off in Metastable Austenitic Steels.

    PubMed

    Liu, Jiabin; Jin, Yongbin; Fang, Xiaoyang; Chen, Chenxu; Feng, Qiong; Liu, Xiaowei; Chen, Yuzeng; Suo, Tao; Zhao, Feng; Huang, Tianlin; Wang, Hongtao; Wang, Xi; Fang, Youtong; Wei, Yujie; Meng, Liang; Lu, Jian; Yang, Wei

    2016-10-14

    Strength and ductility are mutually exclusive if they are manifested as consequence of the coupling between strengthening and toughening mechanisms. One notable example is dislocation strengthening in metals, which invariably leads to reduced ductility. However, this trend is averted in metastable austenitic steels. A one-step thermal mechanical treatment (TMT), i.e. hot rolling, can effectively enhance the yielding strength of the metastable austenitic steel from 322 ± 18 MPa to 675 ± 15 MPa, while retaining both the formability and hardenability. It is noted that no boundaries are introduced in the optimized TMT process and all strengthening effect originates from dislocations with inherited thermal stability. The success of this method relies on the decoupled strengthening and toughening mechanisms in metastable austenitic steels, in which yield strength is controlled by initial dislocation density while ductility is retained by the capability to nucleate new dislocations to carry plastic deformation. Especially, the simplicity in processing enables scaling and industrial applications to meet the challenging requirements of emissions reduction. On the other hand, the complexity in the underlying mechanism of dislocation strengthening in this case may shed light on a different route of material strengthening by stimulating dislocation activities, rather than impeding motion of dislocations.

  6. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  7. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  8. Dislocation substructure of mantle-derived olivine as revealed by selective chemical etching and transmission electron microscopy

    USGS Publications Warehouse

    Kirby, S.H.; Wegner, M.W.

    1978-01-01

    Cleaved and mechanically polished surfaces of olivine from peridotite xenoliths from San Carlos, Arizona, were chemically etched using the techniques of Wegner and Christie (1974). Dislocation etch pits are produced on all surface orientations and they tend to be preferentially aligned along the traces of subgrain boundaries, which are approximately parallel to (100), (010), and (001). Shallow channels were also produced on (010) surfaces and represent dislocations near the surface that are etched out along their lengths. The dislocation etch channel loops are often concentric, and emanate from (100) subgrain boundaries, which suggests that dislocation sources are in the boundaries. Data on subgrain misorientation and dislocation line orientation and arguments based on subgrain boundary energy minimization are used to characterize the dislocation structures of the subgrain boundaries. (010) subgrain boundaries are of the twist type, composed of networks of [100] and [001] screw dislocations. Both (100) and (001) subgrain boundaries are tilt walls composed of arrays of edge dislocation with Burgers vectors b=[100] and [001], respectively. The inferred slip systems are {001} ???100???, {100} ???001???, and {010} ???100??? in order of diminishing importance. Exploratory transmission electron microscopy is in accord with these identifications. The flow stresses associated with the development of the subgrain structure are estimated from the densities of free dislocations and from the subgrain dimensions. Inferred stresses range from 35 to 75 bars using the free dislocation densities and 20 to 100 bars using the subgrain sizes. ?? 1978 Springer-Verlag.

  9. The Formation and Characterization of GaN Hexagonal Pyramids

    NASA Astrophysics Data System (ADS)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  10. Electron and hole stability in GaN and ZnO.

    PubMed

    Walsh, Aron; Catlow, C Richard A; Miskufova, Martina; Sokol, Alexey A

    2011-08-24

    We assess the thermodynamic doping limits of GaN and ZnO on the basis of point defect calculations performed using the embedded cluster approach and employing a hybrid non-local density functional for the quantum mechanical region. Within this approach we have calculated a staggered (type-II) valence band alignment between the two materials, with the N 2p states contributing to the lower ionization potential of GaN. With respect to the stability of free electron and hole carriers, redox reactions resulting in charge compensation by ionic defects are found to be largely endothermic (unfavourable) for electrons and exothermic (favourable) for holes, which is consistent with the efficacy of electron conduction in these materials. Approaches for overcoming these fundamental thermodynamic limits are discussed. © 2011 IOP Publishing Ltd

  11. Characterization of Dislocations in Semiconductor Heterostructures Using X-ray Rocking Curve Pendellösung

    NASA Astrophysics Data System (ADS)

    Althowibi, Fahad A.; Ayers, John E.

    2018-02-01

    In this work we investigated the dislocation-dependent behavior of Pendellösung fringes from two types of semiconductor heterostructures: a uniform-composition InGaAs epitaxial layer grown on a GaAs (001) substrate with an intermediate step-graded InGaAs buffer, and an InGaAs/InAlAs high electron mobility transistor grown on an InP (001) substrate. Dynamical x-ray diffraction simulations were carried out in the 004, 115,135, and 117 geometry, assuming Cu kα1 incident radiation, for both structures. The dislocation density strongly affects the intensities and widths of Pendellösung fringes, and we have established quantitative relationships which will allow characterization of the dislocation density.

  12. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  13. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  14. Heterogeneous dislocation loop formation near grain boundaries in a neutron-irradiated commercial FeCrAl alloy

    NASA Astrophysics Data System (ADS)

    Field, Kevin G.; Briggs, Samuel A.; Hu, Xunxiang; Yamamoto, Yukinori; Howard, Richard H.; Sridharan, Kumar

    2017-01-01

    FeCrAl alloys are an attractive class of materials for nuclear power applications because of their increased environmental compatibility compared with more traditional nuclear materials. Preliminary studies into the radiation tolerance of FeCrAl alloys under accelerated neutron testing between 300 and 400 °C have shown post-irradiation microstructures containing dislocation loops and a Cr-rich α‧ phase. Although these initial studies established the post-irradiation microstructures, there was little to no focus on understanding the influence of pre-irradiation microstructures on this response. In this study, a well-annealed commercial FeCrAl alloy, Alkrothal 720, was neutron irradiated to 1.8 displacements per atom (dpa) at 382 °C and then the effect of random high-angle grain boundaries on the spatial distribution and size of a〈100〉 dislocation loops, a/2〈111〉 dislocation loops, and black dot damage was analyzed using on-zone scanning transmission electron microscopy. Results showed a clear heterogeneous dislocation loop formation with a/2〈111〉 dislocation loops showing an increased number density and size, black dot damage showing a significant number density decrease, and a〈100〉 dislocation loops exhibiting an increased size in the vicinity of the grain boundary. These results suggest the importance of the pre-irradiation microstructure and, specifically, defect sink density spacing to the radiation tolerance of FeCrAl alloys.

  15. Demonstration of a High Open-Circuit Voltage GaN Betavoltaic Microbattery

    NASA Astrophysics Data System (ADS)

    Cheng, Zai-Jun; San, Hai-Sheng; Chen, Xu-Yuan; Liu, Bo; Feng, Zhi-Hong

    2011-07-01

    A high open-circuit voltage betavoltaic microbattery based on a GaN p-i-n diode is demonstrated. Under the irradiation of a 4×4 mm2 planar solid 63Ni source with an activity of 2 mCi, the open-circuit voltage Voc of the fabricated single 2×2mm2 cell reaches as high as 1.62 V, the short-circuit current density Jsc is measured to be 16nA/cm2. The microbattery has a fill factor of 55%, and the energy conversion efficiency of beta radiation into electricity reaches to 1.13%. The results suggest that GaN is a highly promising potential candidate for long-life betavoltaic microbatteries used as power supplies for microelectromechanical system devices.

  16. Laser diodes with 353 nm wavelength enabled by reduced-dislocation-density AlGaN templates

    DOE PAGES

    Crawford, Mary H.; Allerman, Andrew A.; Armstrong, Andrew M.; ...

    2015-10-30

    We fabricated optically pumped and electrically injected ultraviolet (UV) lasers on reduced-threading-dislocation-density (reduced-TDD) AlGaN templates. The overgrowth of sub-micron-wide mesas in the Al 0.32Ga 0.68N templates enabled a tenfold reduction in TDD, to (2–3) × 10 8 cm –2. Optical pumping of AlGaN hetero-structures grown on the reduced-TDD templates yielded a low lasing threshold of 34 kW/cm 2 at 346 nm. Room-temperature pulsed operation of laser diodes at 353 nm was demonstrated, with a threshold of 22.5 kA/cm 2. Furthermore, reduced-TDD templates have been developed across the entire range of AlGaN compositions, presenting a promising approach for extending laser diodesmore » into the deep UV.« less

  17. Temporomandibular joint dislocation

    PubMed Central

    Sharma, Naresh Kumar; Singh, Akhilesh Kumar; Pandey, Arun; Verma, Vishal; Singh, Shreya

    2015-01-01

    Temporomandibular joint (TMJ) dislocation is an uncommon but debilitating condition of the facial skeleton. The condition may be acute or chronic. Acute TMJ dislocation is common in clinical practice and can be managed easily with manual reduction. Chronic recurrent TMJ dislocation is a challenging situation to manage. In this article, we discuss the comprehensive review of the different treatment modalities in managing TMJ dislocation. PMID:26668447

  18. Steel Alloy Hot Roll Simulations and Through-Thickness Variation Using Dislocation Density-Based Modeling

    NASA Astrophysics Data System (ADS)

    Jansen Van Rensburg, G. J.; Kok, S.; Wilke, D. N.

    2017-10-01

    Different roll pass reduction schedules have different effects on the through-thickness properties of hot-rolled metal slabs. In order to assess or improve a reduction schedule using the finite element method, a material model is required that captures the relevant deformation mechanisms and physics. The model should also report relevant field quantities to assess variations in material state through the thickness of a simulated rolled metal slab. In this paper, a dislocation density-based material model with recrystallization is presented and calibrated on the material response of a high-strength low-alloy steel. The model has the ability to replicate and predict material response to a fair degree thanks to the physically motivated mechanisms it is built on. An example study is also presented to illustrate the possible effect different reduction schedules could have on the through-thickness material state and the ability to assess these effects based on finite element simulations.

  19. Effects of trap density on drain current LFN and its model development for E-mode GaN MOS-HEMT

    NASA Astrophysics Data System (ADS)

    Panda, D. K.; Lenka, T. R.

    2017-12-01

    In this paper the drain current low-frequency noise (LFN) of E-mode GaN MOS-HEMT is investigated for different gate insulators such as SiO2, Al2O3/Ga2O3/GdO3, HfO2/SiO2, La2O3/SiO2 and HfO2 with different trap densities by IFM based TCAD simulation. In order to analyze this an analytical model of drain current low frequency noise is developed. The model is developed by considering 2DEG carrier fluctuations, mobility fluctuations and the effects of 2DEG charge carrier fluctuations on the mobility. In the study of different gate insulators it is observed that carrier fluctuation is the dominant low frequency noise source and the non-uniform exponential distribution is critical to explain LFN behavior, so the analytical model is developed by considering uniform distribution of trap density. The model is validated with available experimental data from literature. The effect of total number of traps and gate length scaling on this low frequency noise due to different gate dielectrics is also investigated.

  20. In situ chemical functionalization of gallium nitride with phosphonic acid derivatives during etching.

    PubMed

    Wilkins, Stewart J; Greenough, Michelle; Arellano, Consuelo; Paskova, Tania; Ivanisevic, Albena

    2014-03-04

    In situ functionalization of polar (c plane) and nonpolar (a plane) gallium nitride (GaN) was performed by adding (3-bromopropyl) phosphonic acid or propyl phosphonic acid to a phosphoric acid etch. The target was to modulate the emission properties and oxide formation of GaN, which was explored through surface characterization with atomic force microscopy, X-ray photoelectron spectroscopy, photoluminescence (PL), inductively coupled plasma-mass spectrometry, and water contact angle. The use of (3-bromopropyl) phosphonic acid and propyl phosphonic acid in phosphoric acid demonstrated lower amounts of gallium oxide formation and greater hydrophobicity for both sample sets, while also improving PL emission of polar GaN samples. In addition to crystal orientation, growth-related factors such as defect density in bulk GaN versus thin GaN films residing on sapphire substrates were investigated as well as their responses to in situ functionalization. Thin nonpolar GaN layers were the most sensitive to etching treatments due in part to higher defect densities (stacking faults and threading dislocations), which accounts for large surface depressions. High-quality GaN (both free-standing bulk polar and bulk nonpolar) demonstrated increased sensitivity to oxide formation. Room-temperature PL stands out as an excellent technique to identify nonradiative recombination as observed in the spectra of heteroepitaxially grown GaN samples. The chemical methods applied to tune optical and physical properties of GaN provide a quantitative framework for future novel chemical and biochemical sensor development.

  1. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  2. Controlled growth of heteroepitaxial zinc oxide nanostructures on gallium nitride.

    PubMed

    Kong, Bo Hyun; Kim, Dong Chan; Mohanta, Sanjay Kumar; Han, Won Suk; Cho, Hyung Koun; Hong, Chang-Hee; Kim, Hyung Gu

    2009-07-01

    ZnO epitaxial layers were grown on GaN underlying films by metalorganic chemical vapor deposition at various temperatures. An increase in growth temperature led to morphological changes from a smooth film with hexagonal-shaped surface pits to honeycomb-like nanostructures with deep hollow, and additionally resulted in a decrease in dislocation density in the interfacial layers. The reduced dislocation density at the higher growth temperature was attributed to an increase in the size of the critical nucleus and the low nucleation density at the initial stage. The shifts in the peak positions in the X-ray diffraction and photoluminescence were also observed in the samples grown at different temperatures, and were caused by the variation of residual strains after the complete coalescence of the nuclei.

  3. Multiscale modeling of dislocation-precipitate interactions in Fe: From molecular dynamics to discrete dislocations.

    PubMed

    Lehtinen, Arttu; Granberg, Fredric; Laurson, Lasse; Nordlund, Kai; Alava, Mikko J

    2016-01-01

    The stress-driven motion of dislocations in crystalline solids, and thus the ensuing plastic deformation process, is greatly influenced by the presence or absence of various pointlike defects such as precipitates or solute atoms. These defects act as obstacles for dislocation motion and hence affect the mechanical properties of the material. Here we combine molecular dynamics studies with three-dimensional discrete dislocation dynamics simulations in order to model the interaction between different kinds of precipitates and a 1/2〈111〉{110} edge dislocation in BCC iron. We have implemented immobile spherical precipitates into the ParaDis discrete dislocation dynamics code, with the dislocations interacting with the precipitates via a Gaussian potential, generating a normal force acting on the dislocation segments. The parameters used in the discrete dislocation dynamics simulations for the precipitate potential, the dislocation mobility, shear modulus, and dislocation core energy are obtained from molecular dynamics simulations. We compare the critical stresses needed to unpin the dislocation from the precipitate in molecular dynamics and discrete dislocation dynamics simulations in order to fit the two methods together and discuss the variety of the relevant pinning and depinning mechanisms.

  4. Irradiation defect dispersions and effective dislocation mobility in strained ferritic grains: A statistical analysis based on 3D dislocation dynamics simulations

    NASA Astrophysics Data System (ADS)

    Li, Y.; Robertson, C.

    2018-06-01

    The influence of irradiation defect dispersions on plastic strain spreading is investigated by means of three-dimensional dislocation dynamics (DD) simulations, accounting for thermally activated slip and cross-slip mechanisms in Fe-2.5%Cr grains. The defect-induced evolutions of the effective screw dislocation mobility are evaluated by means of statistical comparisons, for various defect number density and defect size cases. Each comparison is systematically associated with a quantitative Defect-Induced Apparent Straining Temperature shift (or «ΔDIAT»), calculated without any adjustable parameters. In the investigated cases, the ΔDIAT level associated with a given defect dispersion closely replicates the measured ductile to brittle transition temperature shift (ΔDBTT) due to the same, actual defect dispersion. The results are further analyzed in terms of dislocation-based plasticity mechanisms and their possible relations with the dose-dependent changes of the ductile to brittle transition temperature.

  5. Modeling collective behavior of dislocations in crystalline materials

    NASA Astrophysics Data System (ADS)

    Varadhan, Satya N.

    Elastic interaction of dislocations leads to collective behavior and determines plastic response at the mesoscale. Notable characteristics of mesoscale plasticity include the formation of dislocation patterns, propagative instability phenomena due to strain aging such as the Luders and Portevin-Le Chatelier effects, and size-dependence of low stress. This work presents a unified approach to modeling collective behavior based on mesoscale field dislocation mechanics and crystal plasticity, using constitutive models with physical basis. Successful application is made to: compression of a bicrystal, where "smaller is stronger"---the flow stress increases as the specimen size is reduced; torsional creep of ice single crystals, where the plastic strain rate increases with time under constant applied torque; strain aging in a single crystal alloy, where the transition from homogeneous deformation to intermittent bands to continuous band is captured as the applied deformation rate is increased. A part of this work deals with the kinematics of dislocation density evolution. An explicit Galerkin/least-squares formulation is introduced for the quasilinear evolution equation, which leads to a symmetric and well-conditioned system of equations with constant coefficients, making it attractive for large-scale problems. It is shown that the evolution equation simplifies to the Hamilton-Jacobi equations governing geometric optics and level set methods in the following physical contexts: annihilation of dislocations, expansion of a polygonal dislocation loop and operation of a Frank-Read source. The weak solutions to these equations are not unique, and the numerical method is able to capture solutions corresponding to shock as well as expansion fans.

  6. Dislocation-pipe diffusion in nitride superlattices observed in direct atomic resolution.

    PubMed

    Garbrecht, Magnus; Saha, Bivas; Schroeder, Jeremy L; Hultman, Lars; Sands, Timothy D

    2017-04-06

    Device failure from diffusion short circuits in microelectronic components occurs via thermally induced migration of atoms along high-diffusivity paths: dislocations, grain boundaries, and free surfaces. Even well-annealed single-grain metallic films contain dislocation densities of about 10 14  m -2 ; hence dislocation-pipe diffusion (DPD) becomes a major contribution at working temperatures. While its theoretical concept was established already in the 1950s and its contribution is commonly measured using indirect tracer, spectroscopy, or electrical methods, no direct observation of DPD at the atomic level has been reported. We present atomically-resolved electron microscopy images of the onset and progression of diffusion along threading dislocations in sequentially annealed nitride metal/semiconductor superlattices, and show that this type of diffusion can be independent of concentration gradients in the system but governed by the reduction of strain fields in the lattice.

  7. Cyclic softening in annealed Zircaloy-2: Role of edge dislocation dipoles and vacancies

    NASA Astrophysics Data System (ADS)

    Sudhakar Rao, G.; Singh, S. R.; Krsjak, Vladimir; Singh, Vakil

    2018-04-01

    The mechanism of cyclic softening in annealed Zircaloy-2 at low strain amplitudes under strain controlled fatigue at room temperature is rationalized. The unusual softening due to continuous decrease in the phenomenological friction stress is found to be associated with decrease in the resistance against movement of dislocations because of the formation and easy glide of pure edge dislocation dipoles and consequent decrease in friction stress from reduction in the shear modulus. Positron annihilation spectroscopy data strongly support the increase in edge dislocation density containing jogs, from increased positron trapping and increase in annihilation lifetime.

  8. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  9. Modeling and 2-D discrete simulation of dislocation dynamics for plastic deformation of metal

    NASA Astrophysics Data System (ADS)

    Liu, Juan; Cui, Zhenshan; Ou, Hengan; Ruan, Liqun

    2013-05-01

    Two methods are employed in this paper to investigate the dislocation evolution during plastic deformation of metal. One method is dislocation dynamic simulation of two-dimensional discrete dislocation dynamics (2D-DDD), and the other is dislocation dynamics modeling by means of nonlinear analysis. As screw dislocation is prone to disappear by cross-slip, only edge dislocation is taken into account in simulation. First, an approach of 2D-DDD is used to graphically simulate and exhibit the collective motion of a large number of discrete dislocations. In the beginning, initial grains are generated in the simulation cells according to the mechanism of grain growth and the initial dislocation is randomly distributed in grains and relaxed under the internal stress. During the simulation process, the externally imposed stress, the long range stress contribution of all dislocations and the short range stress caused by the grain boundaries are calculated. Under the action of these forces, dislocations begin to glide, climb, multiply, annihilate and react with each other. Besides, thermal activation process is included. Through the simulation, the distribution of dislocation and the stress-strain curves can be obtained. On the other hand, based on the classic dislocation theory, the variation of the dislocation density with time is described by nonlinear differential equations. Finite difference method (FDM) is used to solve the built differential equations. The dislocation evolution at a constant strain rate is taken as an example to verify the rationality of the model.

  10. Enhanced ultraviolet photoconductivity in porous GaN prepared by metal-assisted electroless etching

    NASA Astrophysics Data System (ADS)

    Guo, X. Y.; Williamson, T. L.; Bohn, P. W.

    2006-10-01

    The ultraviolet photoconductivity of porous GaN (PGaN) produced by Pt-assisted electroless etching has been investigated. The photoresponse of PGaN prepared from highly doped GaN ( n>1018 cm) shows enhanced ( 15×) magnitude and faster decay of persistent photoconductivity relative to bulk crystalline (CGaN), suggesting advantages for PGaN in photodetector applications. A space charge model for changes in photoconductivity is used to explain these observations. Heightened defect density in the etched material plays an important role in the enhanced photoconductivity in PGaN. Flux-dependent optical quenching (OQ) behavior, linked to the presence of metastable states, is also observed in PGaN as in CGaN.

  11. Activation and evaluation of GaN photocathodes

    NASA Astrophysics Data System (ADS)

    Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng

    2009-09-01

    Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.

  12. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  13. Radiation Tolerant Interfaces: Influence of Local Stoichiometry at the Misfit Dislocation on Radiation Damage Resistance of Metal/Oxide Interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shutthanandan, Vaithiyalingam; Choudhury, Samrat; Manandhar, Sandeep

    To understand how variations in interface properties such as misfit-dislocation density and local chemistry affect radiation-induced defect absorption and recombination, we have explored a model system of CrxV1-x alloy epitaxial films deposited on MgO single crystals. By controlling film composition, the lattice mismatch with MgO was adjusted so that the misfit-dislocation density varies at the interface. These interfaces were exposed to irradiation and in situ results show that the film with a semi-coherent interface (Cr) withstands irradiation while V film, which has similar semi-coherent interface like Cr, showed the largest damage. Theoretical calculations indicate that, unlike at metal/metal interfaces, themore » misfit dislocation density does not dominate radiation damage tolerance at metal/oxide interfaces. Rather, the stoichiometry, and the precise location of the misfit-dislocation density relative to the interface, drives defect behavior. Together, these results demonstrate the sensitivity of defect recombination to interfacial chemistry and provide new avenues for engineering radiation-tolerant nanomaterials.« less

  14. Study on the Electronic Transport Properties of Zigzag GaN Nanotubes

    NASA Astrophysics Data System (ADS)

    Li, Enling; Wang, Xiqiang; Hou, Liping; Zhao, Danna; Dai, Yuanbin; Wang, Xuewen

    2011-02-01

    The electronic transport properties of zigzag GaN nanotubes (n, 0) (4 <= n <= 9) have been calculated using the density functional theory and non-equilibrium Green's functions method. Firstly, the density functional theory (DFT) is used to optimize and calculate the electronic structure of GaNNTs (n, 0) (4<=n<=9). Secondly, DFT and non-equilibrium Green function (NEGF) method are also used to predict the electronic transport properties of GaNNTs two-probe system. The results showed: there is a corresponding relation between the electronic transport properties and the valley of state density of each GaNNT. In addition, the volt-ampere curve of GaNNT is approximately linear.

  15. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  16. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  17. Heterogeneous dislocation loop formation near grain boundaries in a neutron-irradiated commercial FeCrAl alloy

    DOE PAGES

    Field, Kevin G.; Briggs, Samuel A.; Hu, Xunxiang; ...

    2016-11-01

    FeCrAl alloys are an attractive materials class for nuclear power applications due to their increased environmental compatibility over more traditional nuclear materials. Preliminary studies into the radiation tolerance of FeCrAl alloys under accelerated neutron testing between 300-400 °C have shown post-irradiation microstructures containing dislocation loops and Cr-rich ' phase. Although these initial works established the post-irradiation microstructures, little to no focus was applied towards the influence of pre-irradiation microstructures on this response. Here, a well annealed commercial FeCrAl alloy, Alkrothal 720, was neutron irradiated to 1.8 dpa at 382 °C and then the role of random high angle grain boundariesmore » on the spatial distribution and size of dislocation loops, dislocation loops, and black dot damage was analyzed using on-zone scanning transmission electron microscopy. Results showed a clear heterogeneous dislocation loop formation with dislocation loops showing an increased number density and size, black dot damage showing a significant number density decrease, and an increased size of dislocation loops in the vicinity directly adjacent to the grain boundary. Lastly, these results suggest the importance of the pre-irradiation microstructure on the radiation tolerance of FeCrAl alloys.« less

  18. Quasiparticle and hybrid density functional methods in defect studies: An application to the nitrogen vacancy in GaN

    NASA Astrophysics Data System (ADS)

    Lewis, D. K.; Matsubara, M.; Bellotti, E.; Sharifzadeh, S.

    2017-12-01

    Defects in semiconductors can play a vital role in the performance of electronic devices, with native defects often dominating the electronic properties of the semiconductor. Understanding the relationship between structural defects and electronic function will be central to the design of new high-performance materials. In particular, it is necessary to quantitatively understand the energy and lifetime of electronic states associated with the defect. Here, we apply first-principles density functional theory (DFT) and many-body perturbation theory within the GW approximation to understand the nature and energy of the defect states associated with a charged nitrogen vacancy on the electronic properties of gallium nitride (GaN), as a model of a well-studied and important wide gap semiconductor grown with defects. We systematically investigate the sources of error associated with the GW approximation and the role of the underlying atomic structure on the predicted defect state energies. Additionally, analysis of the computed electronic density of states (DOS) reveals that there is one occupied defect state 0.2 eV below the valence band maximum and three unoccupied defect states at energy of 0.2-0.4 eV above the conduction band minimum, suggesting that this defect in the +1 charge state will not behave as a carrier trap. Furthermore, we compare the character and energy of the defect state obtained from GW and DFT using the HSE approximate density functional and find excellent agreement. This systematic study provides a more complete understanding of how to obtain quantitative defect energy states in bulk semiconductors.

  19. Orbitally driven low thermal conductivity of monolayer gallium nitride (GaN) with planar honeycomb structure: a comparative study.

    PubMed

    Qin, Zhenzhen; Qin, Guangzhao; Zuo, Xu; Xiong, Zhihua; Hu, Ming

    2017-03-23

    Two-dimensional (2D) materials with graphene as a representative have been intensively studied for a long time. Recently, monolayer gallium nitride (ML GaN) with honeycomb structure was successfully fabricated in experiments, generating enormous research interest for its promising applications in nano- and opto-electronics. Considering all these applications are inevitably involved with thermal transport, systematic investigation of the phonon transport properties of 2D GaN is in demand. In this paper, by solving the Boltzmann transport equation (BTE) based on first-principles calculations, we performed a comprehensive study of the phonon transport properties of ML GaN, with detailed comparison to bulk GaN, 2D graphene, silicene and ML BN with similar honeycomb structure. Considering the similar planar structure of ML GaN to graphene, it is quite intriguing to find that the thermal conductivity (κ) of ML GaN (14.93 W mK -1 ) is more than two orders of magnitude lower than that of graphene and is even lower than that of silicene with a buckled structure. Systematic analysis is performed based on the study of the contribution from phonon branches, comparison among the mode level phonon group velocity and lifetime, the detailed process and channels of phonon-phonon scattering, and phonon anharmonicity with potential energy well. We found that, different from graphene and ML BN, the phonon-phonon scattering selection rule in 2D GaN is slightly broken by the lowered symmetry due to the large difference in the atomic radius and mass between Ga and N atoms. Further deep insight is gained from the electronic structure. Resulting from the special sp orbital hybridization mediated by the Ga-d orbital in ML GaN, the strongly polarized Ga-N bond, localized charge density, and its inhomogeneous distribution induce large phonon anharmonicity and lead to the intrinsic low κ of ML GaN. The orbitally driven low κ of ML GaN unraveled in this work would make 2D GaN prospective for

  20. Glide dislocation nucleation from dislocation nodes at semi-coherent {111} Cu–Ni interfaces

    DOE PAGES

    Shao, Shuai; Wang, Jian; Beyerlein, Irene J.; ...

    2015-07-23

    Using atomistic simulations and dislocation theory on a model system of semi-coherent {1 1 1} interfaces, we show that misfit dislocation nodes adopt multiple atomic arrangements corresponding to the creation and redistribution of excess volume at the nodes. We identified four distinctive node structures: volume-smeared nodes with (i) spiral or (ii) straight dislocation patterns, and volume-condensed nodes with (iii) triangular or (iv) hexagonal dislocation patterns. Volume-smeared nodes contain interfacial dislocations lying in the Cu–Ni interface but volume-condensed nodes contain two sets of interfacial dislocations in the two adjacent interfaces and jogs across the atomic layer between the two adjacent interfaces.more » Finally, under biaxial tension/compression applied parallel to the interface, we show that the nucleation of lattice dislocations is preferred at the nodes and is correlated with the reduction of excess volume at the nodes.« less

  1. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Long, CL; Del Genio, A; Deng, M

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJOmore » initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include

  2. Effect of dislocations on the open-circuit voltage, short-circuit current and efficiency of heteroepitaxial indium phosphide solar cells

    NASA Technical Reports Server (NTRS)

    Jain, Raj K.; Flood, Dennis J.

    1990-01-01

    Excellent radiation resistance of indium phosphide solar cells makes them a promising candidate for space power applications, but the present high cost of starting substrates may inhibit their large scale use. Thin film indium phosphide cells grown on Si or GaAs substrates have exhibited low efficiencies, because of the generation and propagation of large number of dislocations. Dislocation densities were calculated and its influence on the open circuit voltage, short circuit current, and efficiency of heteroepitaxial indium phosphide cells was studied using the PC-1D. Dislocations act as predominant recombination centers and are required to be controlled by proper transition layers and improved growth techniques. It is shown that heteroepitaxial grown cells could achieve efficiencies in excess of 18 percent AMO by controlling the number of dislocations. The effect of emitter thickness and surface recombination velocity on the cell performance parameters vs. dislocation density is also studied.

  3. Non-Micropipe Dislocations in 4H-SiC Devices: Electrical Properties and Device Technology Implications

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Huang, Wei; Dudley, Michael; Fazi, Christian

    1998-01-01

    It is well-known that SiC wafer quality deficiencies are delaying the realization of outstandingly superior 4H-SiC power electronics. While efforts to date have centered on eradicating micropipes (i.e., hollow core super-screw dislocations with Burgers vectors greater than or equal to 2c), 4H-SiC wafers and epilayers also contain elementary screw dislocations (i.e., Burgers vector = 1c with no hollow core) in densities on the order of thousands per sq cm, nearly 100-fold micropipe densities. While not nearly as detrimental to SiC device performance as micropipes, it has recently been demonstrated that elementary screw dislocations somewhat degrade the reverse leakage and breakdown properties of 4H-SiC p(+)n diodes. Diodes containing elementary screw dislocations exhibited a 5% to 35% reduction in breakdown voltage, higher pre-breakdown reverse leakage current, softer reverse breakdown I-V knee, and microplasmic breakdown current filaments that were non-catastrophic as measured under high series resistance biasing. This paper details continuing experimental and theoretical investigations into the electrical properties of 4H-SiC elementary screw dislocations. The nonuniform breakdown behavior of 4H-SiC p'n junctions containing elementary screw dislocations exhibits interesting physical parallels with nonuniform breakdown phenomena previously observed in other semiconductor materials. Based upon experimentally observed dislocation-assisted breakdown, a re-assessment of well-known physical models relating power device reliability to junction breakdown has been undertaken for 4H-SiC. The potential impact of these elementary screw dislocation defects on the performance and reliability of various 4H-SiC device technologies being developed for high-power applications will be discussed.

  4. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    NASA Astrophysics Data System (ADS)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  5. Revealing microstructure and dislocation behavior in BAlN/AlGaN heterostructures

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Wu, Feng; Park, Young Jae; tahtamouni, T. M. Al; Liao, Che-Hao; Guo, Wenzhe; Alfaraj, Nasir; Li, Kuang-Hui; Anjum, Dalaver H.; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2018-01-01

    We reveal the microstructure and dislocation behavior in 20-pair B0.14Al0.86N/Al0.70Ga0.30N multiple-stack heterostructures (MSHs) exhibiting an increasing dislocation density along the c-axis, which is attributed to the continuous generation of dislocations (edge and mixed-type) within the individual B0.14Al0.86N layers. At the MSH interfaces, the threading dislocations were accompanied by a string of V-shape pits extending to the surface, leading to interface roughening and the formation of surface columnar features. Strain maps indicated an approximately 1.5% tensile strain and 1% compressive strain in the B0.14Al0.86N and Al0.70Ga0.30N layers, respectively. Twin structures were observed, and the MSH eventually changed from monocrystalline to polycrystalline.

  6. The role of surface roughness on dislocation bending and stress evolution in low mobility AlGaN films during growth

    NASA Astrophysics Data System (ADS)

    Bardhan, Abheek; Mohan, Nagaboopathy; Chandrasekar, Hareesh; Ghosh, Priyadarshini; Sridhara Rao, D. V.; Raghavan, Srinivasan

    2018-04-01

    The bending and interaction of threading dislocations are essential to reduce their density for applications involving III-nitrides. Bending of dislocation lines also relaxes the compressive growth stress that is essential to prevent cracking on cooling down due to tensile thermal expansion mismatch stress while growing on Si substrates. It is shown in this work that surface roughness plays a key role in dislocation bending. Dislocations only bend and relax compressive stresses when the lines intersect a smooth surface. These films then crack. In rough films, dislocation lines which terminate at the bottom of the valleys remain straight. Compressive stresses are not relaxed and the films are relatively crack-free. The reasons for this difference are discussed in this work along with the implications on simultaneously meeting the requirements of films being smooth, crack free and having low defect density for device applications.

  7. "Conjugate channeling" effect in dislocation core diffusion: carbon transport in dislocated BCC iron.

    PubMed

    Ishii, Akio; Li, Ju; Ogata, Shigenobu

    2013-01-01

    Dislocation pipe diffusion seems to be a well-established phenomenon. Here we demonstrate an unexpected effect, that the migration of interstitials such as carbon in iron may be accelerated not in the dislocation line direction ξ, but in a conjugate diffusion direction. This accelerated random walk arises from a simple crystallographic channeling effect. c is a function of the Burgers vector b, but not ξ, thus a dislocation loop possesses the same everywhere. Using molecular dynamics and accelerated dynamics simulations, we further show that such dislocation-core-coupled carbon diffusion in iron has temperature-dependent activation enthalpy like a fragile glass. The 71° mixed dislocation is the only case in which we see straightforward pipe diffusion that does not depend on dislocation mobility.

  8. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  9. Debye screening of dislocations.

    PubMed

    Groma, I; Györgyi, G; Kocsis, B

    2006-04-28

    Debye-like screening by edge dislocations of some externally given stress is studied by means of a variational approach to coarse grained field theory. Explicitly given are the force field and the induced geometrically necessary dislocation (GND) distribution, in the special case of a single glide axis in 2D, for (i) a single edge dislocation and (ii) a dislocation wall. Numerical simulation demonstrates that the correlation in relaxed dislocation configurations is in good agreement with the induced GND in case (i). Furthermore, the result (ii) well predicts the experimentally observed decay length for the GND developing close to grain boundaries.

  10. Tailoring Superconductivity with Quantum Dislocations.

    PubMed

    Li, Mingda; Song, Qichen; Liu, Te-Huan; Meroueh, Laureen; Mahan, Gerald D; Dresselhaus, Mildred S; Chen, Gang

    2017-08-09

    Despite the established knowledge that crystal dislocations can affect a material's superconducting properties, the exact mechanism of the electron-dislocation interaction in a dislocated superconductor has long been missing. Being a type of defect, dislocations are expected to decrease a material's superconducting transition temperature (T c ) by breaking the coherence. Yet experimentally, even in isotropic type I superconductors, dislocations can either decrease, increase, or have little influence on T c . These experimental findings have yet to be understood. Although the anisotropic pairing in dirty superconductors has explained impurity-induced T c reduction, no quantitative agreement has been reached in the case a dislocation given its complexity. In this study, by generalizing the one-dimensional quantized dislocation field to three dimensions, we reveal that there are indeed two distinct types of electron-dislocation interactions. Besides the usual electron-dislocation potential scattering, there is another interaction driving an effective attraction between electrons that is caused by dislons, which are quantized modes of a dislocation. The role of dislocations to superconductivity is thus clarified as the competition between the classical and quantum effects, showing excellent agreement with existing experimental data. In particular, the existence of both classical and quantum effects provides a plausible explanation for the illusive origin of dislocation-induced superconductivity in semiconducting PbS/PbTe superlattice nanostructures. A quantitative criterion has been derived, in which a dislocated superconductor with low elastic moduli and small electron effective mass and in a confined environment is inclined to enhance T c . This provides a new pathway for engineering a material's superconducting properties by using dislocations as an additional degree of freedom.

  11. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (<3 × 1017 cm-3) responsible for p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  12. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  13. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  14. Stacking fault effects in Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Schmidt, T. M.; Miwa, R. H.; Orellana, W.; Chacham, H.

    2002-01-01

    First-principles total energy calculations are performed to investigate the interaction of a stacking fault with a p-type impurity in both zinc-blende and wurtzite GaN. For both structures we find that, in the presence of a stacking fault, the impurity level is a more localized state in the band gap. In zinc-blende GaN, the minimum energy position of the substitutional Mg atom is at the plane of the stacking fault. In contrast, in wurtzite GaN the substitutional Mg atom at the plane of the stacking fault is a local minimum and the global minimum is the substitutional Mg far from the fault. This behavior can be understood as a packing effect which induces a distinct strain relief process, since the local structure of the stacking fault in zinc-blende GaN is similar to fault-free wurtzite GaN and vice-versa.

  15. Quantification of dislocation nucleation stress in TiN through high-resolution in situ indentation experiments and first principles calculations

    DOE PAGES

    Li, N.; Yadav, S. K.; Liu, X. -Y.; ...

    2015-11-05

    Using the in situ indentation of TiN in a high-resolution transmission electron microscope, the nucleation of full as well as partial dislocations has been observed from {001} and {111} surfaces, respectively. The critical elastic strains associated with the nucleation of the dislocations were analyzed from the recorded atomic displacements, and the nucleation stresses corresponding to the measured critical strains were computed using density functional theory. The resolved shear stress was estimated to be 13.8 GPa for the partial dislocation 1/6 <110> {111} and 6.7 GPa for the full dislocation ½ <110> {110}. Moreover, such an approach of quantifying nucleation stressesmore » for defects via in situ high-resolution experiment coupled with density functional theory calculation may be applied to other unit processes.« less

  16. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  17. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  18. GaN Nanowire Devices: Fabrication and Characterization

    NASA Astrophysics Data System (ADS)

    Scott, Reum

    The development of microelectronics in the last 25 years has been characterized by an exponential increase of the bit density in integrated circuits (ICs) with time. Scaling solid-state devices improves cost, performance, and power; as such, it is of particular interest for companies, who gain a market advantage with the latest technology. As a result, the microelectronics industry has driven transistor feature size scaling from 10 μm to ~30 nm during the past 40 years. This trend has persisted for 40 years due to optimization, new processing techniques, device structures, and materials. But when noting processor speeds from the 1970's to 2009 and then again in 2010, the implication would be that the trend has ceased. To address the challenge of shrinking the integrated circuit (IC), current research is centered on identifying new materials and devices that can supplement and/or potentially supplant it. Bottom-up methods tailor nanoscale building blocks---atoms, molecules, quantum dots, and nanowires (NWs)---to be used to overcome these limitations. The Group IIIA nitrides (InN, AlN, and GaN) possess appealing properties such as a direct band gap spanning the whole solar spectrum, high saturation velocity, and high breakdown electric field. As a result nanostructures and nanodevices made from GaN and related nitrides are suitable candidates for efficient nanoscale UV/ visible light emitters, detectors, and gas sensors. To produce devices with such small structures new fabrication methods must be implemented. Devices composed of GaN nanowires were fabricated using photolithography and electron beam lithography. The IV characteristics of these devices were noted under different illuminations and the current tripled from 4.8*10-7 A to 1.59*10 -6 A under UV light which persisted for at least 5hrs.

  19. Ab-initio study of boron incorporation and compositional limits at GaN and AlN (0001) surfaces

    NASA Astrophysics Data System (ADS)

    Lymperakis, L.

    2018-06-01

    Density functional theory calculations are employed to investigate B incorporation at the GaN(0001) and AlN(0001) surfaces. It is found that under typical metal-organic chemical vapor deposition (MOCVD) and metal rich molecular beam epitaxy (MBE) conditions, the maximum B contents at the surfaces are in the order of 3% for GaN and 15% for AlN. Under MBE N-rich growth conditions the calculations reveal a rehybridization enhanced solubility mechanism that dominates at the surface. This mechanism offers a promising route to kinetically stabilize B contents above the bulk solubility limit and as high as 25%.

  20. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  1. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  2. Characterisation of defects in p-GaN by admittance spectroscopy

    NASA Astrophysics Data System (ADS)

    Elsherif, O. S.; Vernon-Parry, K. D.; Evans-Freeman, J. H.; Airey, R. J.; Kappers, M.; Humphreys, C. J.

    2012-08-01

    Mg-doped GaN films have been grown on (0 0 0 1) sapphire using metal organic vapour phase epitaxy. Use of different buffer layer strategies caused the threading dislocation density (TDD) in the GaN to be either approximately 2×109 cm-2 or 1×1010 cm-2. Frequency-dependent capacitance and conductance measurements at temperatures up to 450 K have been used to study the electronic states associated with the Mg doping, and to determine how these are affected by the TDD. Admittance spectroscopy of the films finds a single impurity-related acceptor level with an activation energy of 160±10 meV for [Mg] of about 1×1019 cm-3, and 120±10 eV as the Mg precursor flux decreased. This level is thought to be associated with the Mg acceptor state. The TDD has no discernible effect on the trap detected by admittance spectroscopy. We compare these results with cathodoluminescence measurements reported in the literature, which reveal that most threading dislocations are non-radiative recombination centres, and discuss possible reasons why our admittance spectroscopy have not detected electrically active defects associated with threading dislocations.

  3. Quantitative analysis of dislocation arrangements induced by electromigration in a passivated Al (0.5 wt % Cu) interconnect

    NASA Astrophysics Data System (ADS)

    Barabash, R. I.; Ice, G. E.; Tamura, N.; Valek, B. C.; Bravman, J. C.; Spolenak, R.; Patel, J. R.

    2003-05-01

    Electromigration during accelerated testing can induce plastic deformation in apparently undamaged Al interconnect lines as recently revealed by white beam scanning x-ray microdiffraction. In the present article, we provide a first quantitative analysis of the dislocation structure generated in individual micron-sized Al grains during an in situ electromigration experiment. Laue reflections from individual interconnect grains show pronounced streaking during the early stages of electromigration. We demonstrate that the evolution of the dislocation structure during electromigration is highly inhomogeneous and results in the formation of unpaired randomly distributed dislocations as well as geometrically necessary dislocation boundaries. Approximately half of all unpaired dislocations are grouped within the walls. The misorientation created by each boundary and density of unpaired individual dislocations is determined. The origin of the observed plastic deformation is considered in view of the constraints for dislocation arrangements under the applied electric field during electromigration.

  4. Knee Dislocations

    PubMed Central

    Schenck, Robert C.; Richter, Dustin L.; Wascher, Daniel C.

    2014-01-01

    Background: Traumatic knee dislocation is becoming more prevalent because of improved recognition and increased exposure to high-energy trauma, but long-term results are lacking. Purpose: To present 2 cases with minimum 20-year follow-up and a review of the literature to illustrate some of the fundamental principles in the management of the dislocated knee. Study Design: Review and case reports. Methods: Two patients with knee dislocations who underwent multiligamentous knee reconstruction were reviewed, with a minimum 20-year follow-up. These patients were brought back for a clinical evaluation using both subjective and objective measures. Subjective measures include the following scales: Lysholm, Tegner activity, visual analog scale (VAS), Short Form–36 (SF-36), International Knee Documentation Committee (IKDC), and a psychosocial questionnaire. Objective measures included ligamentous examination, radiographic evaluation (including Telos stress radiographs), and physical therapy assessment of function and stability. Results: The mean follow-up was 22 years. One patient had a vascular injury requiring repair prior to ligament reconstruction. The average assessment scores were as follows: SF-36 physical health, 52; SF-36 mental health, 59; Lysholm, 92; IKDC, 86.5; VAS involved, 10.5 mm; and VAS uninvolved, 2.5 mm. Both patients had excellent stability and were functioning at high levels of activity for their age (eg, hiking, skydiving). Both patients had radiographic signs of arthritis, which lowered 1 subject’s IKDC score to “C.” Conclusion: Knee dislocations have rare long-term excellent results, and most intermediate-term studies show fair to good functional results. By following fundamental principles in the management of a dislocated knee, patients can be given the opportunity to function at high levels. Hopefully, continued advances in the evaluation and treatment of knee dislocations will improve the long-term outcomes for these patients in the

  5. “Conjugate Channeling” Effect in Dislocation Core Diffusion: Carbon Transport in Dislocated BCC Iron

    PubMed Central

    Ishii, Akio; Li, Ju; Ogata, Shigenobu

    2013-01-01

    Dislocation pipe diffusion seems to be a well-established phenomenon. Here we demonstrate an unexpected effect, that the migration of interstitials such as carbon in iron may be accelerated not in the dislocation line direction , but in a conjugate diffusion direction. This accelerated random walk arises from a simple crystallographic channeling effect. is a function of the Burgers vector b, but not , thus a dislocation loop possesses the same everywhere. Using molecular dynamics and accelerated dynamics simulations, we further show that such dislocation-core-coupled carbon diffusion in iron has temperature-dependent activation enthalpy like a fragile glass. The 71° mixed dislocation is the only case in which we see straightforward pipe diffusion that does not depend on dislocation mobility. PMID:23593255

  6. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  7. Hybrid GaN LED with capillary-bonded II-VI MQW color-converting membrane for visible light communications

    NASA Astrophysics Data System (ADS)

    Santos, Joao M. M.; Jones, Brynmor E.; Schlosser, Peter J.; Watson, Scott; Herrnsdorf, Johannes; Guilhabert, Benoit; McKendry, Jonathan J. D.; De Jesus, Joel; Garcia, Thor A.; Tamargo, Maria C.; Kelly, Anthony E.; Hastie, Jennifer E.; Laurand, Nicolas; Dawson, Martin D.

    2015-03-01

    The rapid emergence of gallium-nitride (GaN) light-emitting diodes (LEDs) for solid-state lighting has created a timely opportunity for optical communications using visible light. One important challenge to address this opportunity is to extend the wavelength coverage of GaN LEDs without compromising their modulation properties. Here, a hybrid source for emission at 540 nm consisting of a 450 nm GaN micro-sized LED (micro-LED) with a micron-thick ZnCdSe/ZnCdMgSe multi-quantum-well color-converting membrane is reported. The membrane is liquid-capillary-bonded directly onto the sapphire window of the micro-LED for full hybridization. At an injection current of 100 mA, the color-converted power was found to be 37 μW. At this same current, the -3 dB optical modulation bandwidth of the bare GaN and hybrid micro-LEDs were 79 and 51 MHz, respectively. The intrinsic bandwidth of the color-converting membrane was found to be power-density independent over the range of the micro-LED operation at 145 MHz, which corresponds to a mean carrier lifetime of 1.9 ns.

  8. Impact of varying buffer thickness generated strain and threading dislocations on the formation of plasma assisted MBE grown ultra-thin AlGaN/GaN heterostructure on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-05-15

    Plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructures on Si(111) substrate with three buffer thickness (600 nm/400 nm/200 nm) have been reported. An unique growth process has been developed that supports lower temperature epitaxy of GaN buffer which minimizes thermally generated tensile strain through appropriate nitridation and AlN initiated epitaxy for achieving high quality GaN buffer which supports such ultra-thin heterostructures in the range of 10-15Å. It is followed by investigations of role of buffer thickness on formation of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructure, in terms of stress-strain and threading dislocation (TD). Structural characterization were performedmore » by High-Resolution X-Ray Diffraction (HRXRD), room-temperature Photoluminescence (RT-PL), High Resolution Transmission Electron Microscopy (HRTEM) and Atomic Force Microscopy (AFM). Analysis revealed increasing biaxial tensile stress of 0.6918 ± 0.04, 1.1084, 1.1814 GPa in heterostructures with decreasing buffer thickness of 600, 400, 200 nm respectively which are summed up with residual tensile strain causing red-shift in RT-PL peak. Also, increasing buffer thickness drastically reduced TD density from the order 10{sup 10} cm{sup −2} to 10{sup 8} cm{sup −2}. Surface morphology through AFM leads to decrease of pits and root mean square value with increasing buffer thickness which are resulted due to reduction of combined effect of strain and TDs.« less

  9. III-nitrides on oxygen- and zinc-face ZnO substrates

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Burnham, Shawn; Lee, Kyoung-Keun; Trybus, Elaissa; Doolittle, W. Alan; Losurdo, Maria; Capezzuto, Pio; Bruno, Giovanni; Nemeth, Bill; Nause, Jeff

    2005-10-01

    The characteristics of III-nitrides grown on zinc- and oxygen-face ZnO by plasma-assisted molecular beam epitaxy were investigated. The reflection high-energy electron diffraction pattern indicates formation of a cubic phase at the interface between III-nitride and both Zn- and O-face ZnO. The polarity indicates that Zn-face ZnO leads to a single polarity, while O-face ZnO forms mixed polarity of III-nitrides. Furthermore, by using a vicinal ZnO substrate, the terrace-step growth of GaN was realized with a reduction by two orders of magnitude in the dislocation-related etch pit density to ˜108cm-2, while a dislocation density of ˜1010cm-2 was obtained on the on-axis ZnO substrates.

  10. The Role of Geometrically Necessary Dislocations in Cantilever Beam Bending Experiments of Single Crystals

    PubMed Central

    Husser, Edgar; Bargmann, Swantje

    2017-01-01

    The mechanical behavior of single crystalline, micro-sized copper is investigated in the context of cantilever beam bending experiments. Particular focus is on the role of geometrically necessary dislocations (GNDs) during bending-dominated load conditions and their impact on the characteristic bending size effect. Three different sample sizes are considered in this work with main variation in thickness. A gradient extended crystal plasticity model is presented and applied in a three-dimensional finite-element (FE) framework considering slip system-based edge and screw components of the dislocation density vector. The underlying mathematical model contains non-standard evolution equations for GNDs, crystal-specific interaction relations, and higher-order boundary conditions. Moreover, two element formulations are examined and compared with respect to size-independent as well as size-dependent bending behavior. The first formulation is based on a linear interpolation of the displacement and the GND density field together with a full integration scheme whereas the second is based on a mixed interpolation scheme. While the GND density fields are treated equivalently, the displacement field is interpolated quadratically in combination with a reduced integration scheme. Computational results indicate that GND storage in small cantilever beams strongly influences the evolution of statistically stored dislocations (SSDs) and, hence, the distribution of the total dislocation density. As a particular example, the mechanical bending behavior in the case of a physically motivated limitation of GND storage is studied. The resulting impact on the mechanical bending response as well as on the predicted size effect is analyzed. Obtained results are discussed and related to experimental findings from the literature. PMID:28772657

  11. Quantifying the effect of hydrogen on dislocation dynamics: A three-dimensional discrete dislocation dynamics framework

    NASA Astrophysics Data System (ADS)

    Gu, Yejun; El-Awady, Jaafar A.

    2018-03-01

    We present a new framework to quantify the effect of hydrogen on dislocations using large scale three-dimensional (3D) discrete dislocation dynamics (DDD) simulations. In this model, the first order elastic interaction energy associated with the hydrogen-induced volume change is accounted for. The three-dimensional stress tensor induced by hydrogen concentration, which is in equilibrium with respect to the dislocation stress field, is derived using the Eshelby inclusion model, while the hydrogen bulk diffusion is treated as a continuum process. This newly developed framework is utilized to quantify the effect of different hydrogen concentrations on the dynamics of a glide dislocation in the absence of an applied stress field as well as on the spacing between dislocations in an array of parallel edge dislocations. A shielding effect is observed for materials having a large hydrogen diffusion coefficient, with the shield effect leading to the homogenization of the shrinkage process leading to the glide loop maintaining its circular shape, as well as resulting in a decrease in dislocation separation distances in the array of parallel edge dislocations. On the other hand, for materials having a small hydrogen diffusion coefficient, the high hydrogen concentrations around the edge characters of the dislocations act to pin them. Higher stresses are required to be able to unpin the dislocations from the hydrogen clouds surrounding them. Finally, this new framework can open the door for further large scale studies on the effect of hydrogen on the different aspects of dislocation-mediated plasticity in metals. With minor modifications of the current formulations, the framework can also be extended to account for general inclusion-induced stress field in discrete dislocation dynamics simulations.

  12. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    PubMed

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  13. Detection of deep-level defects and reduced carrier concentration in Mg-ion-implanted GaN before high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Akazawa, Masamichi; Yokota, Naoshige; Uetake, Kei

    2018-02-01

    We report experimental results for the detection of deep-level defects in GaN after Mg ion implantation before high-temperature annealing. The n-type GaN samples were grown on GaN free-standing substrates by metalorganic vapor phase epitaxy. Mg ions were implanted at 50 keV with a small dosage of 1.5×1011 cm-2, which did not change the conduction type of the n-GaN. By depositing Al2O3 and a Ni/Au electrode onto the implanted n-GaN, metal-oxide-semiconductor (MOS) diodes were fabricated and tested. The measured capacitance-voltage (C-V) characteristics showed a particular behavior with a plateau region and a region with an anomalously steep slope. Fitting to the experimental C-V curves by simulation showed the existence of deep-level defects and a reduction of the carrier concentration near the GaN surface. By annealing at 800oC, the density of the deep-level defects was reduced and the carrier concentration partially recovered.

  14. Habitual dislocation of patella: A review

    PubMed Central

    Batra, Sumit; Arora, Sumit

    2014-01-01

    Habitual dislocation of patella is a condition where the patella dislocates whenever the knee is flexed and spontaneously relocates with extension of the knee. It is also termed as obligatory dislocation as the patella dislocates completely with each flexion and extension cycle of the knee and the patient has no control over the patella dislocating as he or she moves the knee1. It usually presents after the child starts to walk, and is often well tolerated in children, if it is not painful. However it may present in childhood with dysfunction and instability. Very little literature is available on habitual dislocation of patella as most of the studies have combined cases of recurrent dislocation with habitual dislocation. Many different surgical techniques have been described in the literature for the treatment of habitual dislocation of patella. No single procedure is fully effective in the surgical treatment of habitual dislocation of patella and a combination of procedures is recommended. PMID:25983506

  15. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    NASA Astrophysics Data System (ADS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-12-01

    Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 104 Langmuir (L), where 1 L corresponds to 1.33 × 10-4 Pa s (or 1.0 × 10-6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH3.

  16. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Central Research Laboratory, Bharat Electronics, Bangalore 560 013; Bhat, Thirumaleshwara N.

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics ofmore » a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.« less

  17. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  18. Atomistic simulations of dislocation dynamics in δ-Pu-Ga alloys

    NASA Astrophysics Data System (ADS)

    Karavaev, A. V.; Dremov, V. V.; Ionov, G. V.

    2017-12-01

    Molecular dynamics with the modified embedded atom model (MEAM) for interatomic interaction is applied to direct simulations of dislocation dynamics in fcc δ-phase Pu-Ga alloys. First, parameters of the MEAM potential are fitted to accurately reproduce experimental phonon dispersion curves and phonon density of states at ambient conditions. Then the stress-velocity dependence for edge dislocations as well as Pierls stress are obtained in direct MD modeling of dislocation motion using the shear stress relaxation technique. The simulations are performed for different gallium concentrations and the dependence of static yield stress on Ga concentration derived demonstrates good agreement with experimental data. Finally, the influence of radiation defects (primary radiation defects, nano-pores, and radiogenic helium bubbles) on dislocation dynamics is investigated. It is demonstrated that uniformly distributed vacancies and nano-pores have little effect on dislocation dynamics in comparison with that of helium bubbles. The results of the MD simulations evidence that the accumulation of the radiogenic helium in the form of nanometer-sized bubbles is the main factor affecting strength properties during long-term storage. The calculated dependence of static yield stress on helium bubbles concentration for fcc Pu 1 wt .% Ga is in good agreement with that obtained in experiments on accelerated aging. The developed technique of static yield stress evaluation is applicable to δ-phase Pu-Ga alloys with arbitrary Ga concentrations.

  19. Ultrafast carrier dynamics in a p-type GaN wafer under different carrier distributions

    NASA Astrophysics Data System (ADS)

    Fang, Yu; Yang, Junyi; Yang, Yong; Wu, Xingzhi; Xiao, Zhengguo; Zhou, Feng; Song, Yinglin

    2016-02-01

    The dependence of the carrier distribution on photoexcited carrier dynamics in a p-type Mg-doped GaN (GaN:Mg) wafer were systematically measured by femtosecond transient absorption (TA) spectroscopy. The homogeneity of the carrier distribution was modified by tuning the wavelength of the UV pulse excitation around the band gap of GaN:Mg. The TA kinetics appeared to be biexponential for all carrier distributions, and only the slower component decayed faster as the inhomogeneity of the carrier distribution increased. It was concluded that the faster component (50-70 ps) corresponded to the trap process of holes by the Mg acceptors, and the slower component (150-600 ps) corresponded to the combination of non-radiative surface recombination and intrinsic carrier recombination via dislocations. Moreover, the slower component increased gradually with the incident fluence due to the saturation of surface states.

  20. Using O*NET in Dislocated Worker Retraining: The Toledo Dislocated Worker Consortium Project.

    ERIC Educational Resources Information Center

    Sommers, Dixie; Austin, James

    A project used the Occupational Information Network (O*NET) to assist eligible dislocated workers in determining whether training offered by the Toledo Dislocated Worker Consortium fit their needs. More specifically, O*NET was used to help the dislocated workers understand whether they had knowledge and skills that were transferable into the…

  1. The Correlation Between Dislocations and Vacancy Defects Using Positron Annihilation Spectroscopy

    NASA Astrophysics Data System (ADS)

    Pang, Jinbiao; Li, Hui; Zhou, Kai; Wang, Zhu

    2012-07-01

    An analysis program for positron annihilation lifetime spectra is only applicable to isolated defects, but is of no use in the presence of defective correlations. Such limitations have long caused problems for positron researchers in their studies of complicated defective systems. In order to solve this problem, we aim to take a semiconductor material, for example, to achieve a credible average lifetime of single crystal silicon under plastic deformation at different temperatures using positron life time spectroscopy. By establishing reasonable positron trapping models with defective correlations and sorting out four lifetime components with multiple parameters, as well as their respective intensities, information is obtained on the positron trapping centers, such as the positron trapping rates of defects, the density of the dislocation lines and correlation between the dislocation lines, and the vacancy defects, by fitting with the average lifetime with the aid of Matlab software. These results give strong grounds for the existence of dislocation-vacancy correlation in plastically deformed silicon, and lay a theoretical foundation for the analysis of positron lifetime spectra when the positron trapping model involves dislocation-related defects.

  2. Study of Cs/NF3 adsorption on GaN (0 0 1) surface

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-03-01

    To investigate the optoelectronics properties of Cs/NF3 adsorption on GaN (0 0 1) photocathode surface, different adsorption models of Cs-only, Cs/O, Cs/NF3 adsorption on GaN clean surface were established, respectively. Atomic structures, work function, adsorption energy, E-Mulliken charge distribution, density of states and optical properties of all these adsorption systems were calculated using first principles. Compared with Cs/O co-adsorption, Cs/NF3 co-adsorption show better stability and more decline of work function, which is more beneficial for photoemission efficiency. Besides, surface band structures of Cs/NF3 co-adsorption system exhibit metal properties, implying good conductivity. Meanwhile, near valence band minimum of Cs/NF3 co-adsorption system, more acceptor levels emerges to form a p-type emission surface, which is conductive to the escape of photoelectrons. In addition, imaginary part of dielectric function curve and absorption curve of Cs/NF3 co-adsorption system both move towards lower energy side. This work can direct the optimization of activation process of NEA GaN photocathode.

  3. Breakdown Degradation Associated with Elementary Screw Dislocations in 4H-SiC P(+)N Junction Rectifiers

    NASA Technical Reports Server (NTRS)

    Neudeck, P. G.; Huang, W.; Dudley, M.

    1998-01-01

    It is well-known that SiC wafer quality deficiencies are delaying the realization of outstandingly superior 4H-SiC power electronics. While efforts to date have centered on eradicating micropipes (i.e., hollow core super-screw dislocations with Burgers vector greater than 2c), 4H-SiC wafers and epilayers also contain elementary screw dislocations (i.e., Burgers vector = lc with no hollow core) in densities on the order of thousands per sq cm, nearly 100-fold micropipe densities. This paper describes an initial study into the impact of elementary screw dislocations on the reverse-bias current-voltage (I-V) characteristics of 4H-SiC p(+)n diodes. First, Synchrotron White Beam X-ray Topography (SWBXT) was employed to map the exact locations of elementary screw dislocations within small-area 4H-SiC p(+)n mesa diodes. Then the high-field reverse leakage and breakdown properties of these diodes were subsequently characterized on a probing station outfitted with a dark box and video camera. Most devices without screw dislocations exhibited excellent characteristics, with no detectable leakage current prior to breakdown, a sharp breakdown I-V knee, and no visible concentration of breakdown current. In contrast devices that contained at least one elementary screw dislocation exhibited a 5% to 35% reduction in breakdown voltage, a softer breakdown I-V knee, and visible microplasmas in which highly localized breakdown current was concentrated. The locations of observed breakdown microplasmas corresponded exactly to the locations of elementary screw dislocations identified by SWBXT mapping. While not as detrimental to SiC device performance as micropipes, the undesirable breakdown characteristics of elementary screw dislocations could nevertheless adversely affect the performance and reliability of 4H-SiC power devices.

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  6. The low thermal gradient CZ technique as a way of growing of dislocation-free germanium crystals

    NASA Astrophysics Data System (ADS)

    Moskovskih, V. A.; Kasimkin, P. V.; Shlegel, V. N.; Vasiliev, Y. V.; Gridchin, V. A.; Podkopaev, O. I.

    2014-09-01

    This paper considers the possibility of growth of dislocation-free germanium single crystals. This is achieved by reducing the temperature gradients at the level of 1 K/cm and lower. Single germanium crystals 45-48 mm in diameter with a dislocation density of 102 cm-2 were grown by a Low Thermal Gradient Czochralski technique (LTG CZ).

  7. Optical design of GaN nanowire arrays for photocatalytic applications

    NASA Astrophysics Data System (ADS)

    Winnerl, Julia; Hudeczek, Richard; Stutzmann, Martin

    2018-05-01

    GaN nanowire (NW) arrays are interesting candidates for photocatalytic applications due to their high surface-to-volume ratio and their waveguide character. The integration of GaN NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs. Here, we present a numerical study of the influence of the NW geometries, i.e., the NW diameter, length, and period, and the illumination wavelength on the transmission of GaN NW arrays on transparent substrates. A detailed numerical analysis reveals that the transmission characteristics for large periods are determined by the waveguide character of the single NW, whereas for dense GaN NW arrays inter-wire coupling and diffraction effects originating from the periodic arrangement of the GaN NWs dominate the transmission. The numerically simulated results are confirmed by experimental transmission measurements. We also investigate the influence of a dielectric NW shell and of the surrounding medium on the transmission characteristics of a GaN NW array.

  8. Vertical GaN Devices for Power Electronics in Extreme Environments

    DTIC Science & Technology

    2016-03-31

    electronics applications. In this paper vertical p-n diodes and transistors fabricated on pseudo bulk low defect density (104 to 106 cm-2) GaN substrates are...holes in p-GaN has deleterious effect on p-n junction behavior (Fig. 2), p-GaN contacts, and channel control in junction field-effect transistors at...and transistors ) utilizing p-n junctions are suitable for most practical applications including automotive (210K < T < 423K) but may have limitations

  9. Probing exciton density of states through phonon-assisted emission in GaN epilayers: A and B exciton contributions

    NASA Astrophysics Data System (ADS)

    Cavigli, Lucia; Gabrieli, Riccardo; Gurioli, Massimo; Bogani, Franco; Feltin, Eric; Carlin, Jean-François; Butté, Raphaël; Grandjean, Nicolas; Vinattieri, Anna

    2010-09-01

    A detailed experimental investigation of the phonon-assisted emission in a high-quality c -plane GaN epilayer is presented up to 200 K. By performing photoluminescence and reflectivity measurements, we find important etaloning effects in the phonon-replica spectra, which have to be corrected before addressing the lineshape analysis. Direct experimental evidence for free exciton thermalization is found for the whole temperature range investigated. A close comparison with existing models for phonon replicas originating from a thermalized free exciton distribution shows that the simplified and commonly adopted description of the exciton-phonon interaction with a single excitonic band leads to a large discrepancy with experimental data. Only the consideration of the complex nature of the excitonic band in GaN, including A and B exciton contributions, allows accounting for the temperature dependence of the peak energy, intensity, and lineshape of the phonon replicas.

  10. Electrical properties of grain boundaries and dislocations in crystalline silicon: Influence of impurity incorporation and hydrogenation

    NASA Astrophysics Data System (ADS)

    Park, Yongkook

    This thesis examines the electrical properties of grain boundaries (GBs) and dislocations in crystalline silicon. The influence of impurity incorporation and hydrogenation on the electrical properties of grain boundaries , as well as the electrical activity of impurity decorated dislocations and the retention of impurities at dislocations at high temperatures have been investigated. The electrical properties of Si GB were examined by C-V, J-V , and capacitance transient methods using aluminum/Si(100)/Si(001) junctions. First, the density of states and the carrier capture cross-sections of the clean GB were evaluated by C-V/J-V analyses. The density of GB states was determined as 4.0x1012 cm-2eV -1. It was found that the states close to the valance band edge have relatively smaller hole capture cross sections than those at higher energy position, and electron capture cross sections are at least two or three orders larger than the corresponding hole capture cross sections. Secondly, the influence of iron contamination and hydrogenation following iron contamination on the electrical properties of (110)/(001) Si GB was characterized by a capacitance transient technique. Compared with the clean sample, iron contamination increased both the density of states by at least three times and the zero-bias barrier height by 70 meV, while reducing by two orders of magnitude the electron/hole capture cross-section ratio. Hydrogenation following iron contamination led to the reduction of the density of Fe-decorated GB states, which was increased to over 2x1013 cm-2eV-1 after iron contamination, to ˜1x1013 cm-2 eV-1 after hydrogenation treatment. The increased zero-bias GB energy barrier due to iron contamination was reversed as well by hydrogen treatment. The density of GB states before and after hydrogenation was evaluated by J-V, C-V and capacitance transient methods using gold/direct-silicon-bonded (DSB) (110) thin silicon top layer/(100) silicon substrate junctions. The GB

  11. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    NASA Astrophysics Data System (ADS)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  12. Influence of basal-plane dislocation structures on expansion of single Shockley-type stacking faults in forward-current degradation of 4H-SiC p-i-n diodes

    NASA Astrophysics Data System (ADS)

    Hayashi, Shohei; Yamashita, Tamotsu; Senzaki, Junji; Miyazato, Masaki; Ryo, Mina; Miyajima, Masaaki; Kato, Tomohisa; Yonezawa, Yoshiyuki; Kojima, Kazutoshi; Okumura, Hajime

    2018-04-01

    The origin of expanded single Shockley-type stacking faults in forward-current degradation of 4H-SiC p-i-n diodes was investigated by the stress-current test. At a stress-current density lower than 25 A cm-2, triangular stacking faults were formed from basal-plane dislocations in the epitaxial layer. At a stress-current density higher than 350 A cm-2, both triangular and long-zone-shaped stacking faults were formed from basal-plane dislocations that converted into threading edge dislocations near the interface between the epitaxial layer and the substrate. In addition, the conversion depth of basal-plane dislocations that expanded into the stacking fault was inside the substrate deeper than the interface. These results indicate that the conversion depth of basal-plane dislocations strongly affects the threshold stress-current density at which the expansion of stacking faults occurs.

  13. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  14. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  15. Electrical Current Leakage and Open-Core Threading Dislocations in AlGaN-Based Deep Ultraviolet Light-Emitting Diodes.

    DOE PAGES

    Moseley, Michael William; Allerman, Andrew A.; Crawford, Mary H.; ...

    2014-08-04

    Electrical current transport through leakage paths in AlGaN-based deep ultraviolet (DUV) lightemitting diodes (LEDs) and their effect on LED performance are investigated. Open-core threading dislocations, or nanopipes, are found to conduct current through nominally insulating Al0.7Ga0.3N layers and limit the performance of DUV-LEDs. A defect-sensitive phosphoric acid etch reveals these opencore threading dislocations in the form of large, micron-scale hexagonal etch pits visible with optical microscopy, while closed-core screw-, edge-, and mixed-type threading dislocations are represented by smaller and more numerous nanometer-scale pits visible by atomic-force microscopy. The electrical and optical performances of DUV-LEDs fabricated on similar Si-doped Al0.7Ga0.3N templatesmore » are found to have a strong correlation to the density of these nanopipes, despite their small fraction (<0.1% in this study) of the total density of threading dislocations.« less

  16. Dislocation Processes and Frictional Stability of Faults

    NASA Astrophysics Data System (ADS)

    Toy, V. G.; Mitchell, T. M.; Druiventak, A.

    2011-12-01

    surfaces, at least at the slightly sub-seismic deformation rates of these experiments. Furthermore, once sliding initiated on the saw cut surface, an amorphous material was generated. We hypothesise that this could have been due to a breakdown of the crystal structure by a combination of cataclasis and generation of excessive dislocation densities. There would also have been a slight increase in temperature around the sliding surface during and after fault slip, which may have aided the focussing of dislocation processes around the sliding surface.

  17. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  18. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles

    PubMed Central

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel

    2016-01-01

    Summary Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle–cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN. PMID:27826507

  19. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    PubMed

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  20. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  1. N-Face GaN Electronics for Heteroepitaxial and Bonded Structures

    DTIC Science & Technology

    2015-08-27

    GaN ! ?" InGaAs’Channel’ InAlAs’ !!!!!S! !!!!!!D! !!!!G! Ga (In)N’Dri2 ’Region! Wafer* Bonded! Junc2on! !!!!!S...Gate InGaAs InAlAs (In, Ga )N Source GaN on Sapphire Aperture CBL WBI InGaN n-InGaAs InAlAs n+ GaN S D WBI...about. Polarization effects at the interface may need to be considered. For Ga -polar InGaN- GaN homojunctions,

  2. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  3. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    NASA Astrophysics Data System (ADS)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  4. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  5. Investigation of the shear response and geometrically necessary dislocation densities in shear localization in high-purity titanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Chaoyi; Livescu, Veronica; Harrington, Tyler

    The influence of microstructural anisotropy on shear response of high-purity titanium was studied using the compact forced-simple-shear specimen (CFSS) loaded under quasi-static loading conditions. Post-mortem characterization reveals significant difference in shear response of different directions in the same material due to material crystallographic texture anisotropy. Shear bands are narrower in specimens in which the shear zone is aligned along the direction with a strong {0001} basal texture. Twinning was identified as an active mechanism to accommodate strains in the shear region in both orientations. This paper confirms the applicability of the CFSS design for the investigation of differences in themore » shear response of materials as a function of process-induced crystallographic texture. A detailed, systematic approach to quantifying shear band evolution by evaluating geometrically necessary dislocations (GND) associated with crystallographic anisotropy is presented. Finally, the results show that: i) line average GND density profiles, for Ti samples that possess a uniform equiaxed-grain structure, but with strong crystallographic anisotropy, exhibit significant differences in GND density close to the shear band center; ii) GND profiles decrease steadily away from the shear band as the plastic strain diminishes, in agreement with Ashby's theory of work hardening, where the higher GND density in the through-thickness (TT) orientation is a result of restricted < a > type slip in the shear band compared with in-plane (IP) samples; iii) the anisotropy in deformation response is derived from initial crystallographic texture of the materials, where GND density of < a > GNDs are higher adjacent to the shear band in the through-thickness sample oriented away from easy slip, but the density of < c+a > type GNDs are very similar in these two samples; and iv) the increase in grain average GND density was determined to have strong correlation to an increase in the

  6. Investigation of the shear response and geometrically necessary dislocation densities in shear localization in high-purity titanium

    DOE PAGES

    Zhu, Chaoyi; Livescu, Veronica; Harrington, Tyler; ...

    2017-03-31

    The influence of microstructural anisotropy on shear response of high-purity titanium was studied using the compact forced-simple-shear specimen (CFSS) loaded under quasi-static loading conditions. Post-mortem characterization reveals significant difference in shear response of different directions in the same material due to material crystallographic texture anisotropy. Shear bands are narrower in specimens in which the shear zone is aligned along the direction with a strong {0001} basal texture. Twinning was identified as an active mechanism to accommodate strains in the shear region in both orientations. This paper confirms the applicability of the CFSS design for the investigation of differences in themore » shear response of materials as a function of process-induced crystallographic texture. A detailed, systematic approach to quantifying shear band evolution by evaluating geometrically necessary dislocations (GND) associated with crystallographic anisotropy is presented. Finally, the results show that: i) line average GND density profiles, for Ti samples that possess a uniform equiaxed-grain structure, but with strong crystallographic anisotropy, exhibit significant differences in GND density close to the shear band center; ii) GND profiles decrease steadily away from the shear band as the plastic strain diminishes, in agreement with Ashby's theory of work hardening, where the higher GND density in the through-thickness (TT) orientation is a result of restricted < a > type slip in the shear band compared with in-plane (IP) samples; iii) the anisotropy in deformation response is derived from initial crystallographic texture of the materials, where GND density of < a > GNDs are higher adjacent to the shear band in the through-thickness sample oriented away from easy slip, but the density of < c+a > type GNDs are very similar in these two samples; and iv) the increase in grain average GND density was determined to have strong correlation to an increase in the

  7. Three-dimensional formulation of dislocation climb

    NASA Astrophysics Data System (ADS)

    Gu, Yejun; Xiang, Yang; Quek, Siu Sin; Srolovitz, David J.

    2015-10-01

    We derive a Green's function formulation for the climb of curved dislocations and multiple dislocations in three-dimensions. In this new dislocation climb formulation, the dislocation climb velocity is determined from the Peach-Koehler force on dislocations through vacancy diffusion in a non-local manner. The long-range contribution to the dislocation climb velocity is associated with vacancy diffusion rather than from the climb component of the well-known, long-range elastic effects captured in the Peach-Koehler force. Both long-range effects are important in determining the climb velocity of dislocations. Analytical and numerical examples show that the widely used local climb formula, based on straight infinite dislocations, is not generally applicable, except for a small set of special cases. We also present a numerical discretization method of this Green's function formulation appropriate for implementation in discrete dislocation dynamics (DDD) simulations. In DDD implementations, the long-range Peach-Koehler force is calculated as is commonly done, then a linear system is solved for the climb velocity using these forces. This is also done within the same order of computational cost as existing discrete dislocation dynamics methods.

  8. The effect of isolated dislocations on substrate and device properties in low-dislocation czochralski GaAs

    NASA Astrophysics Data System (ADS)

    Hunter, A. T.; Kimura, H.; Olsen, H. M.; Winston, H. V.

    1986-07-01

    Czochralski GaAs grown with In incorporated into the melt has large regions with fewer than 100 cm-2 dislocations. We have examined the effect of these dislocations on substrate and device properties. Infrared transmission images reveal dark filaments of high EL2 concentration a few tens of microns in diameter surrounding dislocations, Cathodo and photoluminescence images show orders of magnitude contrast in band-edge luminescence intensity near dislocations. Single dislocations appear to be surrounded by bright rings ˜200 μm in diameter in luminescence images, with dark spots 50 to 75 μm across centered on the dislocation. More complex luminescence structures with larger dark regions (˜150 μ across) and central bright spots are centered on small dislocation clusters. Differences in lifetime of photogenerated electrons or holes are the most likely cause of the luminescence contrast. Anneals typical of our post-implant processing substantially lower the luminescence contrast, suggesting the defect lowering the lifetime is removed by annealing. This may partially explain why we do not observe any effect of dislocation proximity on the properties of devices made in the material, in spite of the enormous luminescence contrast observed near dislocations.

  9. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liliental-Weber, Zuzanna

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  10. Structural defects in GaN revealed by Transmission Electron Microscopy

    DOE PAGES

    Liliental-Weber, Zuzanna

    2014-09-08

    This paper reviews the various types of structural defects observed by Transmission Electron Microscopy in GaN heteroepitaxial layers grown on foreign substrates and homoepitaxial layers grown on bulk GaN substrates. The structural perfection of these layers is compared to the platelet self-standing crystals grown by High Nitrogen Pressure Solution. Defects in undoped and Mg doped GaN are discussed. Lastly, some models explaining the formation of inversion domains in heavily Mg doped layers that are possible defects responsible for the difficulties of p-doping in GaN are also reviewed.

  11. TEM study of 〈110〉-type 35.26° dislocations specially induced by polishing of SrTiO₃ single crystals.

    PubMed

    Jin, L; Guo, X; Jia, C L

    2013-11-01

    The dislocations created by mechanical polishing of SrTiO₃ (100) single crystals were investigated by means of transmission electron microscopy (TEM) techniques combined with scanning TEM (STEM) techniques. A high density of dislocations was observed in the surface layer with a thickness of about 5 μm. These dislocations were found to be straight and highly aligned along the 〈111〉 directions. In most cases they appear in pairs or as a bundle. The nature of the dislocations was determined as mixed 〈110〉-type with the line vector t=〈111〉. They are 〈110〉-type 35.26° dislocations. The isolated 〈110〉-type 35.26° dislocations possess a compact core structure with a core spreading of ~0.5 nm. Dissociation of the dislocation occurs on the {1−10} glide plane, leading to the formation of two b=a/2〈110〉 partials separated by a stacking fault. The separation of the two partials was estimated to be 2.53 ± 0.32 nm based on a cross-correlation analysis of atomic-resolution images. Our results provide a solid experimental evidence for this special type of dislocation in SrTiO₃. The high density of straight and highly 〈111〉-orientated dislocations is expected to have an important influence on the anisotropy in electrical and mass transport properties. © 2013 Elsevier B.V. All rights reserved.

  12. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  13. Effect of photocatalytic oxidation technology on GaN CMP

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-01-01

    GaN is so hard and so chemically inert that it is difficult to obtain a high material removal rate (MRR) in the chemical mechanical polishing (CMP) process. This paper discusses the application of photocatalytic oxidation technology in GaN planarization. Three N-type semiconductor particles (TiO2, SnO2, and Fe2O3) are used as catalysts and added to the H2O2-SiO2-based slurry. By optical excitation, highly reactive photoinduced holes are produced on the surface of the particles, which can oxidize OH- and H2O absorbed on the surface of the catalysts; therefore, more OH* will be generated. As a result, GaN MRRs in an H2O2-SiO2-based polishing system combined with catalysts are improved significantly, especially when using TiO2, the MRR of which is 122 nm/h. The X-ray photoelectron spectroscopy (XPS) analysis shows the variation trend of chemical composition on the GaN surface after polishing, revealing the planarization process. Besides, the effect of pH on photocatalytic oxidation combined with TiO2 is analyzed deeply. Furthermore, the physical model of GaN CMP combined with photocatalytic oxidation technology is proposed to describe the removal mechanism of GaN.

  14. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  15. Dislocations in bilayer graphene

    NASA Astrophysics Data System (ADS)

    Butz, Benjamin; Dolle, Christian; Niekiel, Florian; Weber, Konstantin; Waldmann, Daniel; Weber, Heiko B.; Meyer, Bernd; Spiecker, Erdmann

    2014-01-01

    Dislocations represent one of the most fascinating and fundamental concepts in materials science. Most importantly, dislocations are the main carriers of plastic deformation in crystalline materials. Furthermore, they can strongly affect the local electronic and optical properties of semiconductors and ionic crystals. In materials with small dimensions, they experience extensive image forces, which attract them to the surface to release strain energy. However, in layered crystals such as graphite, dislocation movement is mainly restricted to the basal plane. Thus, the dislocations cannot escape, enabling their confinement in crystals as thin as only two monolayers. To explore the nature of dislocations under such extreme boundary conditions, the material of choice is bilayer graphene, the thinnest possible quasi-two-dimensional crystal in which such linear defects can be confined. Homogeneous and robust graphene membranes derived from high-quality epitaxial graphene on silicon carbide provide an ideal platform for their investigation. Here we report the direct observation of basal-plane dislocations in freestanding bilayer graphene using transmission electron microscopy and their detailed investigation by diffraction contrast analysis and atomistic simulations. Our investigation reveals two striking size effects. First, the absence of stacking-fault energy, a unique property of bilayer graphene, leads to a characteristic dislocation pattern that corresponds to an alternating ABAC change of the stacking order. Second, our experiments in combination with atomistic simulations reveal a pronounced buckling of the bilayer graphene membrane that results directly from accommodation of strain. In fact, the buckling changes the strain state of the bilayer graphene and is of key importance for its electronic properties. Our findings will contribute to the understanding of dislocations and of their role in the structural, mechanical and electronic properties of bilayer and

  16. Dislocations in bilayer graphene.

    PubMed

    Butz, Benjamin; Dolle, Christian; Niekiel, Florian; Weber, Konstantin; Waldmann, Daniel; Weber, Heiko B; Meyer, Bernd; Spiecker, Erdmann

    2014-01-23

    Dislocations represent one of the most fascinating and fundamental concepts in materials science. Most importantly, dislocations are the main carriers of plastic deformation in crystalline materials. Furthermore, they can strongly affect the local electronic and optical properties of semiconductors and ionic crystals. In materials with small dimensions, they experience extensive image forces, which attract them to the surface to release strain energy. However, in layered crystals such as graphite, dislocation movement is mainly restricted to the basal plane. Thus, the dislocations cannot escape, enabling their confinement in crystals as thin as only two monolayers. To explore the nature of dislocations under such extreme boundary conditions, the material of choice is bilayer graphene, the thinnest possible quasi-two-dimensional crystal in which such linear defects can be confined. Homogeneous and robust graphene membranes derived from high-quality epitaxial graphene on silicon carbide provide an ideal platform for their investigation. Here we report the direct observation of basal-plane dislocations in freestanding bilayer graphene using transmission electron microscopy and their detailed investigation by diffraction contrast analysis and atomistic simulations. Our investigation reveals two striking size effects. First, the absence of stacking-fault energy, a unique property of bilayer graphene, leads to a characteristic dislocation pattern that corresponds to an alternating AB B[Symbol: see text]AC change of the stacking order. Second, our experiments in combination with atomistic simulations reveal a pronounced buckling of the bilayer graphene membrane that results directly from accommodation of strain. In fact, the buckling changes the strain state of the bilayer graphene and is of key importance for its electronic properties. Our findings will contribute to the understanding of dislocations and of their role in the structural, mechanical and electronic

  17. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  18. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  19. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  20. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  1. Optical signature of Mg-doped GaN: Transfer processes

    NASA Astrophysics Data System (ADS)

    Callsen, G.; Wagner, M. R.; Kure, T.; Reparaz, J. S.; Bügler, M.; Brunnmeier, J.; Nenstiel, C.; Hoffmann, A.; Hoffmann, M.; Tweedie, J.; Bryan, Z.; Aygun, S.; Kirste, R.; Collazo, R.; Sitar, Z.

    2012-08-01

    Mg doping of high quality, metal organic chemical vapor deposition grown GaN films results in distinct traces in their photoluminescence and photoluminescence excitation spectra. We analyze GaN:Mg grown on sapphire substrates and identify two Mg related acceptor states, one additional acceptor state and three donor states that are involved in the donor-acceptor pair band transitions situated at 3.26-3.29 eV in GaN:Mg. The presented determination of the donor-acceptor pair band excitation channels by photoluminescence excitation spectroscopy in conjunction with temperature-dependent photoluminescence measurements results in a direct determination of the donor and acceptor binding, localization, and activation energies, which is put into a broader context based on Haynes's rule. Furthermore, we analyze the biexponential decay dynamics of the photoluminescence signal of the acceptor and donor bound excitons. As all observed lifetimes scale with the localization energy of the donor and acceptor related bound excitons, defect and complex bound excitons can be excluded as their origin. Detailed analysis of the exciton transfer processes in the close energetic vicinity of the GaN band edge reveals excitation via free and bound excitonic channels but also via an excited state as resolved for the deepest localized Mg related acceptor bound exciton. For the two Mg acceptor states, we determine binding energies of 164 ± 5 and 195 ± 5 meV, which is in good agreement with recent density functional theory results. This observation confirms and quantifies the general dual nature of acceptor states in GaN based on the presented analysis of the photoluminescence and photoluminescence excitation spectra.

  2. [Clinical trial on treatment of Parkinson's disease of Gan-Shen yin deficiency type by recipe for nourishing Gan-Shen].

    PubMed

    Zhao, Hong; Li, Wen-Wei; Gao, Jun-Peng

    2007-09-01

    To observe the curative effect of the recipe for nourishing Gan-Shen on Parkinson's disease (PD) of Gan-Shen yin deficiency type. One hundred and twenty-one PD patients were ran-domly assigned by blocking design to the control group and the treated group in the ratio of 1:1. All were treated according to the international medication guiding principle for PD treatment, but the treated group was ad-ministered with the recipe for nourishing Gan-Shen additionally. The treatment course lasted for 12 consecutive months, and the end point was the end of the 12th month. The unified Parkinson's disease rating scale (UP-DRS) score, TCM primary and secondary symptom scores were evaluated before treatment, every 3 months of treatment and at the end point. The average daily levodopa dose and the Hoehn & Yahr grading were assessed before treatment and at the end point. After treatment, UPDRS score in both groups showed an ascending trend at a slower rate in the treated groups than in the control group. At the 9th and 12th month of medication, a significant difference was found in UPDRS score between the two groups (P < 0.05), and the TCM symptom score was obviously lower in the treated group than in the control group (P < 0.05). At the end point of the trial, the average daily levodopa dose used was lower in the treated group than in the control group (P < 0.05) and there was no significant difference in the Hoehn & Yahr score between the two groups (P > 0.05). The recipe for norishing Gan-Shen can slow the ascending trend of UPDRS score in the PD patients, improve the symptoms of Gan-Shen yin deficiency, and decrease the daily levodopa dose used, showing a curative effect on PD of Gan-Shen yin deficiency type.

  3. Evolution of dislocation loops in austenitic stainless steels implanted with high concentration of hydrogen

    NASA Astrophysics Data System (ADS)

    Zheng, Zhongcheng; Gao, Ning; Tang, Rui; Yu, Yanxia; Zhang, Weiping; Shen, Zhenyu; Long, Yunxiang; Wei, Yaxia; Guo, Liping

    2017-10-01

    It has been found that under certain conditions, hydrogen retention would be strongly enhanced in irradiated austenitic stainless steels. To investigate the effect of the retained hydrogen on the defect microstructure, AL-6XN stainless steel specimens were irradiated with low energy (100 keV) H2+ so that high concentration of hydrogen was injected into the specimens while considerable displacement damage dose (up to 7 dpa) was also achieved. Irradiation induced dislocation loops and voids were characterised by transmission electron microscopy. For specimens irradiated to 7 dpa at 290 °C, dislocation loops with high number density were found and the void swelling was observed. At 380 °C, most of dislocation loops were unfaulted and tangled at 7 dpa, and the void swellings were observed at 5 dpa and above. Combining the data from low dose in previous work to high dose, four stages of dislocation loops evolution with hydrogen retention were suggested. Finally, molecular dynamics simulation was made to elucidate the division of large dislocation loops under irradiation.

  4. Predicted lattice-misfit stresses in a gallium-nitride (GaN) film

    NASA Astrophysics Data System (ADS)

    Suhir, E.; Yi, S.

    2017-02-01

    Effective, easy-to-use and physically meaningful analytical predictive models are developed for the evaluation the lattice-misfit stresses (LMS) in a semiconductor film grown on a circular substrate (wafer). The two-dimensional (plane-stress) theory-of-elasticity approximation (TEA) is employed. First of all, the interfacial shearing stresses are evaluated. These stresses might lead to the occurrence and growth of dislocations, as well as to possible delaminations (adhesive strength of the assembly) and the elevated stress and strain in the buffering material, if any (cohesive strength of the assembly). Second of all, the normal radial and circumferential (tangential) stresses acting in the film cross-sections are determined. These stresses determine the short- and long-term strength (fracture toughness) of the film material. It is shown that while the normal stresses in the semiconductor film are independent of its thickness, the interfacial shearing stresses increase with an increase in the induced force (not stress!) acting in the film cross-sections, and that this force increases with an increase in the film thickness. This leads, for a thick enough film, to the occurrence, growth and propagation of dislocations. These start at the assembly ends and propagate, when the film thickness increases, inwards the structure. The TEA data are compared with the results obtained using a simplified strength-of-materials approach (SMA). This approach considers, instead of an actual circular assembly, an elongated bi-material rectangular strip of unit width and of finite length equal to the wafer diameter. The analysis, although applicable to any semiconductor crystal growth (SCG) technology is geared in this analysis to the Gallium-Nitride (GaN) technology. The numerical example is carried out for a GaN film grown on a Silicon Carbide (SiC) substrate. It is concluded that the SMA model is acceptable for understanding the physics of the state of stress and for the prediction

  5. Effects of dislocations on polycrystal anelasticity

    NASA Astrophysics Data System (ADS)

    Sasaki, Y.; Takei, Y.; McCarthy, C.; Suzuki, A.

    2017-12-01

    Effects of dislocations on the seismic velocity and attenuation have been poorly understood, because only a few experimental studies have been performed [Guéguen et al., 1989; Farla et al., 2012]. By using organic borneol as a rock analogue, we measured dislocation-induced anelasticity accurately over a broad frequency range. We first measured the flow law of borneol aggregates by uniaxial compression tests under a confining pressure of 0.8 MPa. A transition from diffusion creep (n = 1) to dislocation creep (n = 5) was captured at about σ = 1 MPa (40°C-50°C). After deforming in the dislocation creep regime, sample microstructure showed irregular grain shape consistent with grain boundary migration. Next, we conducted three creep tests at σ = 0.27 MPa (diffusion creep regime), σ = 1.3 MPa and σ = 1.9 MPa (dislocation creep regime) on the same sample in increasing order, and measured Young's modulus E and attenuation Q-1 after each creep test by forced oscillation tests. The results show that as σ increased, E decreased and Q-1 increased. These changes induced by dislocations, however, almost fully recovered during the forced oscillation tests performed for about two weeks under a small stress (σ = 0.27 MPa) due to the dislocation recovery (annihilation). In order to constrain the time scale of the dislocation-induced anelastic relaxation, we further measured Young's modulus E at ultrasonic frequency before and after the dislocation creep and found that E at 106 Hz is not influenced by dislocations. Because E at 100 Hz is reduced by dislocations by 10%, the dislocation-induced anelastic relaxation occurs mostly between 102-106 Hz which is at a higher frequency than grain-boundary-induced anelasticity. To avoid dislocation recovery during the anelasticity measurement, we are now trying to perform an in-situ measurement of anelasticity while simultaneously deforming under a high stress associated with dislocation creep. The combination of persistent creep

  6. Stair-rod dislocation cores acting as one-dimensional charge channels in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Bologna, Nicolas; Agrawal, Piyush; Campanini, Marco; Knödler, Moritz; Rossell, Marta D.; Erni, Rolf; Passerone, Daniele

    2018-01-01

    Aberration-corrected scanning transmission electron microscopy and density-functional theory calculations have been used to investigate the atomic and electronic structure of stair-rod dislocations connected via stacking faults in GaAs nanowires. At the apexes, two distinct dislocation cores consisting of single-column pairs of either gallium or arsenic were identified. Ab initio calculations reveal an overall reduction in the energy gap with the development of two bands of filled and empty localized states at the edges of valence and conduction bands in the Ga core and in the As core, respectively. Our results suggest the behavior of stair-rod dislocations along the nanowire as one-dimensional charge channels, which could host free carriers upon appropriate doping.

  7. Direct growth of freestanding GaN on C-face SiC by HVPE.

    PubMed

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  8. The 2018 GaN power electronics roadmap

    NASA Astrophysics Data System (ADS)

    Amano, H.; Baines, Y.; Beam, E.; Borga, Matteo; Bouchet, T.; Chalker, Paul R.; Charles, M.; Chen, Kevin J.; Chowdhury, Nadim; Chu, Rongming; De Santi, Carlo; Merlyne De Souza, Maria; Decoutere, Stefaan; Di Cioccio, L.; Eckardt, Bernd; Egawa, Takashi; Fay, P.; Freedsman, Joseph J.; Guido, L.; Häberlen, Oliver; Haynes, Geoff; Heckel, Thomas; Hemakumara, Dilini; Houston, Peter; Hu, Jie; Hua, Mengyuan; Huang, Qingyun; Huang, Alex; Jiang, Sheng; Kawai, H.; Kinzer, Dan; Kuball, Martin; Kumar, Ashwani; Boon Lee, Kean; Li, Xu; Marcon, Denis; März, Martin; McCarthy, R.; Meneghesso, Gaudenzio; Meneghini, Matteo; Morvan, E.; Nakajima, A.; Narayanan, E. M. S.; Oliver, Stephen; Palacios, Tomás; Piedra, Daniel; Plissonnier, M.; Reddy, R.; Sun, Min; Thayne, Iain; Torres, A.; Trivellin, Nicola; Unni, V.; Uren, Michael J.; Van Hove, Marleen; Wallis, David J.; Wang, J.; Xie, J.; Yagi, S.; Yang, Shu; Youtsey, C.; Yu, Ruiyang; Zanoni, Enrico; Zeltner, Stefan; Zhang, Yuhao

    2018-04-01

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

  9. [Classification and Treatment of Sacroiliac Joint Dislocation].

    PubMed

    Tan, Zhen; Huang, Zhong; Li, Liang; Meng, Wei-Kun; Liu, Lei; Zhang, Hui; Wang, Guang-Lin; Huang, Fu-Guo

    2017-09-01

    To develop a renewed classification and treatment regimen for sacroiliac joint dislocation. According to the direction of dislocation of sacroiliac joint,combined iliac,sacral fractures,and fracture morphology,sacroiliac joint dislocation was classified into 4 types. Type Ⅰ (sacroiliac anterior dislocation): main fracture fragments of posterior iliac wing dislocated in front of sacroiliac joint. Type Ⅱ (sacroiliac posterior dislocation): main fracture fragments of posterior iliac wing dislocated in posterior of sacroiliac joint. Type Ⅲ (Crescent fracturedislocation of the sacroiliac joint): upward dislocation of posterior iliac wing with oblique fracture through posterior iliac wing. Type ⅢA: a large crescent fragment and dislocation comprises no more than onethird of sacroiliac joint,which is typically inferior. Type ⅢB: intermediatesize crescent fragment and dislocation comprises between one and twothirds of joint. Type ⅢC: a small crescent fragment where dislocation comprises most,but not the entire joint. Different treatment regimens were selected for different types of fractures. Treatment for type Ⅰ sacroiliac joint dislocation: anterior iliac fossa approach pry stripping reset; sacroiliac joint fixed with sacroiliac screw through percutaneous. Treatment for type Ⅱ sacroiliac joint dislocation: posterior sacroiliac joint posterior approach; sacroiliac joint fixed with sacroiliac screw under computer guidance. Treatment for type ⅢA and ⅢB sacroiliac joint dislocation: posterior sacroiliac joint approach; sacroiliac joint fixed with reconstruction plate. Treatment for type ⅢC sacroiliac joint dislocation: sacroiliac joint closed reduction; sacroiliac joint fixed with sacroiliac screw through percutaneous. Treatment for type Ⅳ sacroiliac joint dislocation: posterior approach; sacroiliac joint fixed with spinal pelvic fixation. Results of 24 to 72 months patient follow-up (mean 34.5 months): 100% survival,100% wound healing,and 100

  10. Quasicontinuum analysis of dislocation-coherent twin boundary interaction to provide local rules to discrete dislocation dynamics

    NASA Astrophysics Data System (ADS)

    Tran, H.-S.; Tummala, H.; Duchene, L.; Pardoen, T.; Fivel, M.; Habraken, A. M.

    2017-10-01

    The interaction of a pure screw dislocation with a Coherent Twin Boundary Σ3 in copper was studied using the Quasicontinuum method. Coherent Twin Boundary behaves as a strong barrier to dislocation glide and prohibits slip transmission across the boundary. Dislocation pileup modifies the stress field at its intersection with the Grain Boundary (GB). A methodology to estimate the strength of the barrier for a dislocation to slip across CTB is proposed. A screw dislocation approaching the boundary from one side either propagates into the adjacent twin grain by cutting through the twin boundary or is stopped and increases the dislocation pileup amplitude at the GB. Quantitative estimation of the critical stress for transmission was performed using the virial stress computed by Quasicontinuum method. The transmission mechanism and critical stress are in line with the literature. Such information can be used as input for dislocation dynamic simulations for a better modeling of grain boundaries.

  11. Acceptor binding energies in GaN and AlN

    NASA Astrophysics Data System (ADS)

    Mireles, Francisco; Ulloa, Sergio E.

    1998-08-01

    We employ effective-mass theory for degenerate hole bands to calculate the acceptor binding energies for Be, Mg, Zn, Ca, C, and Si substitutional acceptors in GaN and AlN. The calculations are performed through the 6×6 Rashba-Sheka-Pikus and the Luttinger-Kohn matrix Hamiltonians for wurtzite (WZ) and zinc-blende (ZB) crystal phases, respectively. An analytic representation for the acceptor pseudopotential is used to introduce the specific nature of the impurity atoms. The energy shift due to polaron effects is also considered in this approach. The ionization energy estimates are in very good agreement with those reported experimentally in WZ GaN. The binding energies for ZB GaN acceptors are all predicted to be shallower than the corresponding impurities in the WZ phase. The binding-energy dependence upon the crystal-field splitting in WZ GaN is analyzed. Ionization levels in AlN are found to have similar ``shallow'' values to those in GaN, but with some important differences which depend on the band structure parametrizations, especially the value of the crystal-field splitting used.

  12. Recycling process for recovery of gallium from GaN an e-waste of LED industry through ball milling, annealing and leaching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: swain@iae.re.kr; Mishra, Chinmayee; Kang, Leeseung

    Waste dust generated during manufacturing of LED contains significant amounts of gallium and indium, needs suitable treatment and can be an important resource for recovery. The LED industry waste dust contains primarily gallium as GaN. Leaching followed by purification technology is the green and clean technology. To develop treatment and recycling technology of these GaN bearing e-waste, leaching is the primary stage. In our current investigation possible process for treatment and quantitative leaching of gallium and indium from the GaN bearing e-waste or waste of LED industry dust has been developed. To recycle the waste and quantitative leaching of gallium,more » two different process flow sheets have been proposed. In one, process first the GaN of the waste the LED industry dust was leached at the optimum condition. Subsequently, the leach residue was mixed with Na{sub 2}CO{sub 3}, ball milled followed by annealing, again leached to recover gallium. In the second process, the waste LED industry dust was mixed with Na{sub 2}CO{sub 3}, after ball milling and annealing, followed acidic leaching. Without pretreatment, the gallium leaching was only 4.91 w/w % using 4 M HCl, 100 °C and pulp density of 20 g/L. After mechano-chemical processing, both these processes achieved 73.68 w/w % of gallium leaching at their optimum condition. The developed process can treat and recycle any e-waste containing GaN through ball milling, annealing and leaching. - Highlights: • Simplest process for treatment of GaN an LED industry waste developed. • The process developed recovers gallium from waste LED waste dust. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} revealed. • Solid-state chemistry involved in this process reported. • Quantitative leaching of the GaN was achieved.« less

  13. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  14. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  15. High-Sensitivity GaN Microchemical Sensors

    NASA Technical Reports Server (NTRS)

    Son, Kyung-ah; Yang, Baohua; Liao, Anna; Moon, Jeongsun; Prokopuk, Nicholas

    2009-01-01

    Systematic studies have been performed on the sensitivity of GaN HEMT (high electron mobility transistor) sensors using various gate electrode designs and operational parameters. The results here show that a higher sensitivity can be achieved with a larger W/L ratio (W = gate width, L = gate length) at a given D (D = source-drain distance), and multi-finger gate electrodes offer a higher sensitivity than a one-finger gate electrode. In terms of operating conditions, sensor sensitivity is strongly dependent on transconductance of the sensor. The highest sensitivity can be achieved at the gate voltage where the slope of the transconductance curve is the largest. This work provides critical information about how the gate electrode of a GaN HEMT, which has been identified as the most sensitive among GaN microsensors, needs to be designed, and what operation parameters should be used for high sensitivity detection.

  16. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    NASA Astrophysics Data System (ADS)

    Freitas, Jaime A., Jr.; Feigelson, Boris N.; Anderson, Travis J.

    2013-11-01

    Detailed spectrometry and optical spectroscopy studies carried out on GaN crystals grown in solution detect and identify Mg as the dominant shallow acceptor. Selective etching of crystals with higher Mg levels than that of the donor concentration background indicates that Mg acceptors incorporate preferentially in the N-polar face. Electrical transport measurements verified an efficient incorporation and activation of the Mg acceptors. These results suggest that this growth method has the potential to produce p-type doped epitaxial layers or p-type substrates characterized by high hole concentration and low defect density.

  17. GaN membrane MSM ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) <111> oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  18. Monolithic Flexible Vertical GaN Light-Emitting Diodes for a Transparent Wireless Brain Optical Stimulator.

    PubMed

    Lee, Han Eol; Choi, JeHyuk; Lee, Seung Hyun; Jeong, Minju; Shin, Jung Ho; Joe, Daniel J; Kim, DoHyun; Kim, Chang Wan; Park, Jung Hwan; Lee, Jae Hee; Kim, Daesoo; Shin, Chan-Soo; Lee, Keon Jae

    2018-05-18

    Flexible inorganic-based micro light-emitting diodes (µLEDs) are emerging as a significant technology for flexible displays, which is an important area for bilateral visual communication in the upcoming Internet of Things era. Conventional flexible lateral µLEDs have been investigated by several researchers, but still have significant issues of power consumption, thermal stability, lifetime, and light-extraction efficiency on plastics. Here, high-performance flexible vertical GaN light-emitting diodes (LEDs) are demonstrated by silver nanowire networks and monolithic fabrication. Transparent, ultrathin GaN LED arrays adhere to a human fingernail and stably glow without any mechanical deformation. Experimental studies provide outstanding characteristics of the flexible vertical μLEDs (f-VLEDs) with high optical power (30 mW mm -2 ), long lifetime (≈12 years), and good thermal/mechanical stability (100 000 bending/unbending cycles). The wireless light-emitting system on the human skin is successfully realized by transferring the electrical power f-VLED. Finally, the high-density GaN f-VLED arrays are inserted onto a living mouse cortex and operated without significant histological damage of brain. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Fast Fourier transform discrete dislocation dynamics

    NASA Astrophysics Data System (ADS)

    Graham, J. T.; Rollett, A. D.; LeSar, R.

    2016-12-01

    Discrete dislocation dynamics simulations have been generally limited to modeling systems described by isotropic elasticity. Effects of anisotropy on dislocation interactions, which can be quite large, have generally been ignored because of the computational expense involved when including anisotropic elasticity. We present a different formalism of dislocation dynamics in which the dislocations are represented by the deformation tensor, which is a direct measure of the slip in the lattice caused by the dislocations and can be considered as an eigenstrain. The stresses arising from the dislocations are calculated with a fast Fourier transform (FFT) method, from which the forces are determined and the equations of motion are solved. Use of the FFTs means that the stress field is only available at the grid points, which requires some adjustments/regularizations to be made to the representation of the dislocations and the calculation of the force on individual segments, as is discussed hereinafter. A notable advantage of this approach is that there is no computational penalty for including anisotropic elasticity. We review the method and apply it in a simple dislocation dynamics calculation.

  20. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  1. The optimal thickness of a transmission-mode GaN photocathode

    NASA Astrophysics Data System (ADS)

    Wang, Xiao-Hui; Shi, Feng; Guo, Hui; Hu, Cang-Lu; Cheng, Hong-Chang; Chang, Ben-Kang; Ren, Ling; Du, Yu-Jie; Zhang, Jun-Ju

    2012-08-01

    A 150-nm-thick GaN photocathode with a Mg doping concentration of 1.6 × 1017 cm-3 is activated by Cs/O in an ultrahigh vacuum chamber, and a quantum efficiency (QE) curve of the negative electron affinity transmission-mode (t-mode) of the GaN photocathode is obtained. The maximum QE reaches 13.0% at 290 nm. According to the t-mode QE equation solved from the diffusion equation, the QE curve is fitted. From the fitting results, the electron escape probability is 0.32, the back-interface recombination velocity is 5 × 104 cm·s-1, and the electron diffusion length is 116 nm. Based on these parameters, the influence of GaN thickness on t-mode QE is simulated. The simulation shows that the optimal thickness of GaN is 90 nm, which is better than the 150-nm GaN.

  2. Surface cleaning for negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Yin, Yingpeng; Gao, Youtang; Niu, Jun; Qian, Yunsheng; Chang, Benkang

    2012-10-01

    In the preparation process for negative electron affinity (NEA) GaN photocathode, the surface cleanness is very important to activation, it influences the sensitivity and stability of NEA GaN photocathode. The traditional corrosion methods based on oxidizing and dissolving can't remove oxygen (O) and carbon (C) on GaN surface effectively. How to get an ideal atom clean surface is still an important question at present. The cleaning techniques for GaN photocathode was studied by using NEA photocathode activation system and XPS surface analysis system. The experiment sample is p-type GaN doped with Mg, doped concentration is 1.37×1017 cm-3, the transfer rate is 3.08 cm2/V-S, and the thickness of activation layer is 0.51 μm, the substrate is 300 μm thick sapphire. The sample was dealed with chemical cleaning depuration at first. And to get the atom clean surface, the vacuum heat cleaning process was needed. The methods of chemical cleaning and the vacuum heating cleaning were given in detail. According to the X-ray photoelectron spectroscopy of GaN surface after chemical cleaning and the vacuum degree curve of the activation chamber during the heat cleaning, the cleaning effect and the cleaning mechanism were discussed. After the effective chemical cleaning and the heating of 700 Centigrade degree about 20 minutes in ultrahigh vacuum system, the oxides and carbon contaminants on cathode surface can be removed effectively, and the ideal atom clean surface can be obtained. The purpose of heating depuration process is that not only to get the atom clean GaN surface, but also to guarantee the contents of Ga, N on GaN surface stabilize and to keep the system ultra-high vacuum degree. Because of the volatilization of oxide and carbon impurity on the cathode surface, the vacuum degree curve drops with the rising of temperature on the whole.

  3. Mechanism of radiative recombination in acceptor-doped bulk GaN crystals

    NASA Astrophysics Data System (ADS)

    Godlewski, M.; Suski, T.; Grzegory, I.; Porowski, S.; Bergman, J. P.; Chen, W. M.; Monemar, B.

    1999-12-01

    Optical and electrical properties of acceptor-doped bulk GaN crystals are discussed. Though introducing Zn and Ca to bulk GaN does not significantly change electron concentration, it results in the appearance of a blue photoluminescence band accompanying the relatively strong yellow band usually present. Highly resistive GaN : Mg crystals are obtained when high amount of Mg is introduced to the Ga melt during high-pressure synthesis. Change of electrical properties of Mg-doped bulk crystals is accompanied by the appearance of a strong blue emission of GaN similar to that in Ca- and Zn-doped crystals. Optically detected magnetic resonance investigations indicate a multi-band character of this blue emission and suggest possible mechanism of compensation in acceptor-doped bulk GaN.

  4. Theory of interacting dislocations on cylinders.

    PubMed

    Amir, Ariel; Paulose, Jayson; Nelson, David R

    2013-04-01

    We study the mechanics and statistical physics of dislocations interacting on cylinders, motivated by the elongation of rod-shaped bacterial cell walls and cylindrical assemblies of colloidal particles subject to external stresses. The interaction energy and forces between dislocations are solved analytically, and analyzed asymptotically. The results of continuum elastic theory agree well with numerical simulations on finite lattices even for relatively small systems. Isolated dislocations on a cylinder act like grain boundaries. With colloidal crystals in mind, we show that saddle points are created by a Peach-Koehler force on the dislocations in the circumferential direction, causing dislocation pairs to unbind. The thermal nucleation rate of dislocation unbinding is calculated, for an arbitrary mobility tensor and external stress, including the case of a twist-induced Peach-Koehler force along the cylinder axis. Surprisingly rich phenomena arise for dislocations on cylinders, despite their vanishing Gaussian curvature.

  5. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  6. Study on photoemission surface of varied doping GaN photocathode

    NASA Astrophysics Data System (ADS)

    Qiao, Jianliang; Du, Ruijuan; Ding, Huan; Gao, Youtang; Chang, Benkang

    2014-09-01

    For varied doping GaN photocathode, from bulk to surface the doping concentrations are distributed from high to low. The varied doping GaN photocathode may produce directional inside electric field within the material, so the higher quantum efficiency can be obtained. The photoemission surface of varied doping GaN photocathode is very important to the high quantum efficiency, but the forming process of the surface state after Cs activation or Cs/O activation has been not known completely. Encircling the photoemission mechanism of varied GaN photocathode, considering the experiment phenomena during the activation and the successful activation results, the varied GaN photocathode surface model [GaN(Mg):Cs]:O-Cs after activation with cesium and oxygen was given. According to GaN photocathode activation process and the change of electronic affinity, the comparatively ideal NEA property can be achieved by Cs or Cs/O activation, and higher quantum efficiency can be obtained. The results show: The effective NEA characteristic of GaN can be gotten only by Cs. [GaN(Mg):Cs] dipoles form the first dipole layer, the positive end is toward the vacuum side. In the activation processing with Cs/O, the second dipole layer is formed by O-Cs dipoles, A O-Cs dipole includes one oxygen atom and two Cs atoms, and the positive end is also toward the vacuum side thus the escape of electrons can be promoted.

  7. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  8. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  9. Diffusion and Stability of Hydrogen in Mg-Doped GaN: A Density Functional Study

    NASA Astrophysics Data System (ADS)

    Park, Ji-Sang; Chang, Kee Joo

    2012-06-01

    Using hybrid functional calculations, we study the diffusion and thermal stability of hydrogen in Mg-doped GaN. Compared with the generalized gradient approximation, we obtain a higher activation barrier for dissociating a Mg-H complex, which is attributed to the increase in the binding energy of Mg-H. Kinetic Monte Carlo simulations yield the annealing temperature of around 800 °C for activating Mg acceptors, close to the measured values. The results provide an insight to understanding the annealing effect such that the annealing temperature generally increases with the Mg-H concentration, and the retrapping of H is partly responsible for the low doping efficiencies at high Mg concentrations.

  10. Synthesis and Raman scattering of GaN nanorings, nanoribbons and nanowires

    NASA Astrophysics Data System (ADS)

    Li, Z. J.; Chen, X. L.; Li, H. J.; Tu, Q. Y.; Yang, Z.; Xu, Y. P.; Hu, B. Q.

    Low-dimensional GaN materials, including nanorings, nanoribbons and smooth nanowires have been synthesized by reacting gallium and ammonia using Ag particles as a catalyst on the substrate of MgO single crystals. They were characterized by field emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). EDX, XRD indicated that the low-dimensional nanomaterials were wurtzite GaN. New features are found in Raman scatterings for these low-dimensional GaN materials, which are different from the previous observations of GaN materials.

  11. Special quasiordered structures: Role of short-range order in the semiconductor alloy (GaN) 1 -x(ZnO) x

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Fernández-Serra, Maria V.; Allen, Philip B.

    2016-02-01

    This paper studies short-range order (SRO) in the semiconductor alloy (GaN) 1 -x(ZnO) x. Monte Carlo simulations performed on a density functional theory (DFT)-based cluster expansion model show that the heterovalent alloys exhibit strong SRO because of the energetic preference for the valence-matched nearest-neighbor Ga-N and Zn-O pairs. To represent the SRO-related structural correlations, we introduce the concept of special quasiordered structure (SQoS). Subsequent DFT calculations reveal the dramatic influence of SRO on the atomic, electronic, and vibrational properties of the (GaN) 1 -x(ZnO) x alloy. Due to the enhanced statistical presence of the energetically unfavored Zn-N bonds with the strong Zn 3 d -N 2 p repulsion, the disordered alloys exhibit much larger lattice bowing and band-gap reduction than those of the short-range ordered alloys. Lattice vibrational entropy tilts the alloy toward less SRO.

  12. Vacancy-hydrogen complexes in ammonothermal GaN

    NASA Astrophysics Data System (ADS)

    Tuomisto, F.; Kuittinen, T.; Zając, M.; Doradziński, R.; Wasik, D.

    2014-10-01

    We have applied positron annihilation spectroscopy to study in-grown vacancy defects in bulk GaN crystals grown by the ammonothermal method. We observe a high concentration of Ga vacancy related defects in n-type samples with varying free electron and oxygen content. The positron lifetimes found in these samples suggest that the Ga vacancies are complexed with hydrogen impurities. The number of hydrogen atoms in each vacancy decreases with increasing free electron concentration and oxygen and hydrogen content. The local vibrational modes observed in infrared absorption support this conclusion. Growth of high-quality ammonothermal GaN single crystals with varying electron concentrations. Identification of defect complexes containing a Ga vacancy and 1 or more hydrogen atoms, and possibly O. These vacancy complexes provide a likely explanation for electrical compensation in ammonothermal GaN.

  13. Dislocation Content Measured Via 3D HR-EBSD Near a Grain Boundary in an AlCu Oligocrystal

    NASA Technical Reports Server (NTRS)

    Ruggles, Timothy; Hochhalter, Jacob; Homer, Eric

    2016-01-01

    Interactions between dislocations and grain boundaries are poorly understood and crucial to mesoscale plasticity modeling. Much of our understanding of dislocation-grain boundary interaction comes from atomistic simulations and TEM studies, both of which are extremely limited in scale. High angular resolution EBSD-based continuum dislocation microscopy provides a way of measuring dislocation activity at length scales and accuracies relevant to crystal plasticity, but it is limited as a two-dimensional technique, meaning the character of the grain boundary and the complete dislocation activity is difficult to recover. However, the commercialization of plasma FIB dual-beam microscopes have made 3D EBSD studies all the more feasible. The objective of this work is to apply high angular resolution cross correlation EBSD to a 3D EBSD data set collected by serial sectioning in a FIB to characterize dislocation interaction with a grain boundary. Three dimensional high angular resolution cross correlation EBSD analysis was applied to an AlCu oligocrystal to measure dislocation densities around a grain boundary. Distortion derivatives associated with the plasma FIB serial sectioning were higher than expected, possibly due to geometric uncertainty between layers. Future work will focus on mitigating the geometric uncertainty and examining more regions of interest along the grain boundary to glean information on dislocation-grain boundary interaction.

  14. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  15. Photoemission stability of negative electron affinity GaN photocathode

    NASA Astrophysics Data System (ADS)

    Zhang, Junju; Wang, Xiaohui; Yang, Wenzheng; Tang, Weidong; Fu, Xiaoqian; Li, Biao; Chang, Benkang

    2012-11-01

    The stability for reflection-mode GaN photocathode has been investigated by monitoring the photocurrent and the spectral response at room temperature. We watch that the photocurrent of the cathode decays with time in the vacuum system, and compare the spectral response curves after activation and after degradation. The photocurrent decay mechanism for reflection-mode NEA GaN photocathode was studied by the surface model ?GaN (Mg) :Cs ?:O-Cs. The reduction of the effective dipole quantity, which is caused by harmful gases, is the key factor of the photocurrent reduction.

  16. Optical and structural characteristics of high indium content InGaN/GaN multi-quantum wells with varying GaN cap layer thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, J.; Zhao, D. G., E-mail: dgzhao@red.semi.ac.cn; Jiang, D. S.

    2015-02-07

    The optical and structural properties of InGaN/GaN multi-quantum wells (MQWs) with different thicknesses of low temperature grown GaN cap layers are investigated. It is found that the MQW emission energy red-shifts and the peak intensity decreases with increasing GaN cap layer thickness, which may be partly caused by increased floating indium atoms accumulated at quantum well (QW) surface. They will result in the increased interface roughness, higher defect density, and even lead to a thermal degradation of QW layers. An extra growth interruption introduced before the growth of GaN cap layer can help with evaporating the floating indium atoms, andmore » therefore is an effective method to improve the optical properties of high indium content InGaN/GaN MQWs.« less

  17. Misfit dislocation patterns of Mg-Nb interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Youxing; Shao, Shuai; Liu, Xiang-Yang

    The role of heterogeneous interfaces in improving mechanical properties of polycrystalline aggregates and laminated composites has been well recognized with interface structure being of fundamental importance in designing composites containing multiple interfaces. In this paper, taking the Mg (hexagonal close-packed (hcp))/Nb (body-centered cubic (bcc)) interface as an example, we develop Mg-Nb interatomic potentials for predicting atomic configurations of Mg/Nb interfaces. We systematically characterize interface dislocations of Mg/Nb interfaces with Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) orientation relationships and propose a generalized procedure of characterizing interface structure by combining atomistic simulation and interface dislocation theory, which is applicable for not only hcp/bccmore » interfaces, but also other systems with complicated interface dislocation configurations.Here, in Mg/Nb, interface dislocation networks of two types of interfaces are significantly different although they originate from partial dislocations of similar character: the NW interface is composed of three sets of partial dislocations, while the KS interface is composed of four sets of interface dislocations - three sets of partial dislocations and one set of full dislocations that forms from the reaction of two close partial dislocations.« less

  18. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  19. Graphene oxide assisted synthesis of GaN nanostructures for reducing cell adhesion.

    PubMed

    Yang, Rong; Zhang, Ying; Li, Jingying; Han, Qiusen; Zhang, Wei; Lu, Chao; Yang, Yanlian; Dong, Hongwei; Wang, Chen

    2013-11-21

    We report a general approach for the synthesis of large-scale gallium nitride (GaN) nanostructures by the graphene oxide (GO) assisted chemical vapor deposition (CVD) method. A modulation effect of GaN nanostructures on cell adhesion has been observed. The morphology of the GaN surface can be controlled by GO concentrations. This approach, which is based on the predictable choice of the ratio of GO to catalysts, can be readily extended to the synthesis of other materials with controllable nanostructures. Cell studies show that GaN nanostructures reduced cell adhesion significantly compared to GaN flat surfaces. The cell-repelling property is related to the nanostructure and surface wettability. These observations of the modulation effect on cell behaviors suggest new opportunities for novel GaN nanomaterial-based biomedical devices. We believe that potential applications will emerge in the biomedical and biotechnological fields.

  20. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.