Sample records for epitaxial-side-down mounting process

  1. 14 CFR 23.363 - Side load on engine mount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Side load on engine mount. 23.363 Section....363 Side load on engine mount. (a) Each engine mount and its supporting structure must be designed for a limit load factor in a lateral direction, for the side load on the engine mount, of not less than...

  2. 14 CFR 23.363 - Side load on engine mount.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Side load on engine mount. 23.363 Section....363 Side load on engine mount. (a) Each engine mount and its supporting structure must be designed for a limit load factor in a lateral direction, for the side load on the engine mount, of not less than...

  3. 14 CFR 23.363 - Side load on engine mount.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Side load on engine mount. 23.363 Section....363 Side load on engine mount. (a) Each engine mount and its supporting structure must be designed for a limit load factor in a lateral direction, for the side load on the engine mount, of not less than...

  4. 14 CFR 23.363 - Side load on engine mount.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Side load on engine mount. 23.363 Section....363 Side load on engine mount. (a) Each engine mount and its supporting structure must be designed for a limit load factor in a lateral direction, for the side load on the engine mount, of not less than...

  5. 14 CFR 23.363 - Side load on engine mount.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Side load on engine mount. 23.363 Section....363 Side load on engine mount. (a) Each engine mount and its supporting structure must be designed for a limit load factor in a lateral direction, for the side load on the engine mount, of not less than...

  6. Epi-Side-Down Mounting of Interband Cascade Lasers for Army Applications

    DTIC Science & Technology

    2006-11-01

    retain the principal advantage of electron recycling . However, unlike the QCL, the ICL relies on the cascading of interband optical transitions as...9.0 Cu 393 17 SiC 120 4 AlN 230 (high grade –Tsekoun 2006) 4.5, 4.3 Indium 83.7 24.8@ 20C 2 device ridge and an effective heat spreader ...65.3 K/W M271 epi-side down 8-μm x 1-mm mesa TmaxCW= 212K 4 were vital and survived multiple cryogenic to room temperature recyclings . Fig. 4

  7. The port side view of the Orbiter Discovery while mounted ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    The port side view of the Orbiter Discovery while mounted atop the 76-wheeled orbiter transfer system as it is being rolled from the Orbiter Processing Facility to the Vehicle Assembly Building at Kennedy Space Center. - Space Transportation System, Orbiter Discovery (OV-103), Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  8. The starboard side view of the Orbiter Discovery while mounted ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    The starboard side view of the Orbiter Discovery while mounted atop the 76-wheeled orbiter transfer system as it is being rolled from the Orbiter Processing Facility to the Vehicle Assembly Building at Kennedy Space Center. - Space Transportation System, Orbiter Discovery (OV-103), Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  9. 14 CFR 25.363 - Side load on engine and auxiliary power unit mounts.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... § 25.363 Side load on engine and auxiliary power unit mounts. (a) Each engine and auxiliary power unit... the side load on the engine and auxiliary power unit mount, at least equal to the maximum load factor... 14 Aeronautics and Space 1 2011-01-01 2011-01-01 false Side load on engine and auxiliary power...

  10. 14 CFR 25.363 - Side load on engine and auxiliary power unit mounts.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... § 25.363 Side load on engine and auxiliary power unit mounts. (a) Each engine and auxiliary power unit... the side load on the engine and auxiliary power unit mount, at least equal to the maximum load factor... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Side load on engine and auxiliary power...

  11. 14 CFR 25.363 - Side load on engine and auxiliary power unit mounts.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... § 25.363 Side load on engine and auxiliary power unit mounts. (a) Each engine and auxiliary power unit... the side load on the engine and auxiliary power unit mount, at least equal to the maximum load factor... 14 Aeronautics and Space 1 2013-01-01 2013-01-01 false Side load on engine and auxiliary power...

  12. 14 CFR 25.363 - Side load on engine and auxiliary power unit mounts.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... § 25.363 Side load on engine and auxiliary power unit mounts. (a) Each engine and auxiliary power unit... the side load on the engine and auxiliary power unit mount, at least equal to the maximum load factor... 14 Aeronautics and Space 1 2014-01-01 2014-01-01 false Side load on engine and auxiliary power...

  13. 14 CFR 25.363 - Side load on engine and auxiliary power unit mounts.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... § 25.363 Side load on engine and auxiliary power unit mounts. (a) Each engine and auxiliary power unit... the side load on the engine and auxiliary power unit mount, at least equal to the maximum load factor... 14 Aeronautics and Space 1 2012-01-01 2012-01-01 false Side load on engine and auxiliary power...

  14. A method for estimating mount isolations of powertrain mounting systems

    NASA Astrophysics Data System (ADS)

    Qin, Wu; Shangguan, Wen-Bin; Luo, Guohai; Xie, Zhengchao

    2018-07-01

    A method for calculating isolation ratios of mounts at a powertrain mounting systems (PMS) is proposed assuming a powertrain as a rigid body and using the identified powertrain excitation forces and the measured IPI (input point inertance) of mounting points at the body side. With measured accelerations of mounts at powertrain and body sides of one Vehicle (Vehicle A), the excitation forces of a powertrain are identified using conversational method firstly. Another Vehicle (Vehicle B) has the same powertrain as that of Vehicle A, but with different body and mount configuration. The accelerations of mounts at powertrain side of a PMS on Vehicle B are calculated using the powertrain excitation forces identified from Vehicle A. The identified forces of the powertrain are validated by comparing the calculated and the measured accelerations of mounts at the powertrain side of the powertrain on Vehicle B. A method for calculating acceleration of mounting point at body side for Vehicle B is presented using the identified powertrain excitation forces and the measured IPI at a connecting point between car body and mount. Using the calculated accelerations of mounts at powertrain side and body side at different directions, the isolation ratios of a mount are then estimated. The isolation ratios are validated using the experiment, which verified the proposed methods for estimating isolation ratios of mounts. The developed method is beneficial for optimizing mount stiffness to meet mount isolation requirements before prototype.

  15. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  16. Assembling surface mounted components on ink-jet printed double sided paper circuit board.

    PubMed

    Andersson, Henrik A; Manuilskiy, Anatoliy; Haller, Stefan; Hummelgård, Magnus; Sidén, Johan; Hummelgård, Christine; Olin, Håkan; Nilsson, Hans-Erik

    2014-03-07

    Printed electronics is a rapidly developing field where many components can already be manufactured on flexible substrates by printing or by other high speed manufacturing methods. However, the functionality of even the most inexpensive microcontroller or other integrated circuit is, at the present time and for the foreseeable future, out of reach by means of fully printed components. Therefore, it is of interest to investigate hybrid printed electronics, where regular electrical components are mounted on flexible substrates to achieve high functionality at a low cost. Moreover, the use of paper as a substrate for printed electronics is of growing interest because it is an environmentally friendly and renewable material and is, additionally, the main material used for many packages in which electronics functionalities could be integrated. One of the challenges for such hybrid printed electronics is the mounting of the components and the interconnection between layers on flexible substrates with printed conductive tracks that should provide as low a resistance as possible while still being able to be used in a high speed manufacturing process. In this article, several conductive adhesives are evaluated as well as soldering for mounting surface mounted components on a paper circuit board with ink-jet printed tracks and, in addition, a double sided Arduino compatible circuit board is manufactured and programmed.

  17. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  18. Study of wavefront error and polarization of a side mounted infrared window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaguo; Li, Lin; Hu, Xinqi; Yu, Xin

    2008-03-01

    The wavefront error and polarization of a side mounted infrared window made of ZnS are studied. The Infrared windows suffer from temperature gradient and stress during their launch process. Generally, the gradient in temperature changes the refractive index of the material whereas stress produces deformation and birefringence. In this paper, a thermal finite element analysis (FEA) of an IR window is presented. For this purpose, we employed an FEA program Ansys to obtain the time-varying temperature field. The deformation and stress of the window are derived from a structural FEA with the aerodynamic force and the temperature field previously obtained as being the loads. The deformation, temperature field, stress field, ray tracing and Jones Calculus are used to calculate the wavefront error and the change of polarization state.

  19. 16 CFR Appendix A5 to Part 305 - Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door...

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 16 Commercial Practices 1 2012-01-01 2012-01-01 false Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service A5 Appendix A5 to Part 305 Commercial...—Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service...

  20. 16 CFR Appendix A5 to Part 305 - Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door...

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 16 Commercial Practices 1 2013-01-01 2013-01-01 false Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service A5 Appendix A5 to Part 305 Commercial...—Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service...

  1. 16 CFR Appendix A5 to Part 305 - Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door...

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 16 Commercial Practices 1 2010-01-01 2010-01-01 false Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service A5 Appendix A5 to Part 305 Commercial...—Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service...

  2. 16 CFR Appendix A5 to Part 305 - Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door...

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 16 Commercial Practices 1 2011-01-01 2011-01-01 false Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service A5 Appendix A5 to Part 305 Commercial...—Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service...

  3. 64. INTERIOR VIEW LOOKING DOWN LENGTH OF AIR CONDITIONING EQUIPMENT ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    64. INTERIOR VIEW LOOKING DOWN LENGTH OF AIR CONDITIONING EQUIPMENT REPAIR SHOP. - Baltimore & Ohio Railroad, Mount Clare Shops, South side of Pratt Street between Carey & Poppleton Streets, Baltimore, Independent City, MD

  4. 16 CFR Appendix A8 to Part 305 - Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice...

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 16 Commercial Practices 1 2012-01-01 2012-01-01 false Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service A8 Appendix A8 to Part 305 Commercial...—Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service Range...

  5. 16 CFR Appendix A8 to Part 305 - Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice...

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 16 Commercial Practices 1 2011-01-01 2011-01-01 false Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service A8 Appendix A8 to Part 305 Commercial...—Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service Range...

  6. 16 CFR Appendix A8 to Part 305 - Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice...

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 16 Commercial Practices 1 2010-01-01 2010-01-01 false Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service A8 Appendix A8 to Part 305 Commercial...—Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service Range...

  7. 16 CFR Appendix A8 to Part 305 - Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice...

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 16 Commercial Practices 1 2013-01-01 2013-01-01 false Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service A8 Appendix A8 to Part 305 Commercial...—Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service Range...

  8. Closeup side view of Space Shuttle Main Engine (SSME) 2059 ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Close-up side view of Space Shuttle Main Engine (SSME) 2059 mounted in a SSME Engine Handler near the Drying Area in the High Bay section of the SSME Processing Facility. The prominent features of the SSME in this view are the hot-gas expansion nozzle extending from the approximate image center toward the image right. The main-engine components extend from the approximate image center toward image right until it meets up with the mount for the SSME Engine Handler. The engine is rotated to a position where the major components in the view are the Low-Pressure Fuel Turbopump Discharge Duct with reflective foil insulation on the upper side of the engine, the Low-Pressure Oxidizer Turbopump and its Discharge Duct on the right side of the engine assembly extending itself down and wrapping under the bottom side of the assembly to the High-Pressure Oxidizer Turbopump pump. The High-Pressure Oxidizer Turbopump Discharge Duct exists the turbopump and extends up to the top side of the assembly where it enters the main oxidizer valve. The sphere on the lower side of the engine assembly is an accumulator that is part of the SSMEs POGO suppression system. - Space Transportation System, Space Shuttle Main Engine, Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  9. NORTH REAR AND WEST SIDE, Looking southeast down Saturn Boulevard. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    NORTH REAR AND WEST SIDE, Looking southeast down Saturn Boulevard. February, 1998 - Edwards Air Force Base, Air Force Rocket Propulsion Laboratory, Electrical Substation, Test Area 1-115, northwest end of Saturn Boulevard, Boron, Kern County, CA

  10. Back-side readout semiconductor photomultiplier

    DOEpatents

    Choong, Woon-Seng; Holland, Stephen E

    2014-05-20

    This disclosure provides systems, methods, and apparatus related to semiconductor photomultipliers. In one aspect, a device includes a p-type semiconductor substrate, the p-type semiconductor substrate having a first side and a second side, the first side of the p-type semiconductor substrate defining a recess, and the second side of the p-type semiconductor substrate being doped with n-type ions. A conductive material is disposed in the recess. A p-type epitaxial layer is disposed on the second side of the p-type semiconductor substrate. The p-type epitaxial layer includes a first region proximate the p-type semiconductor substrate, the first region being implanted with p-type ions at a higher doping level than the p-type epitaxial layer, and a second region disposed on the first region, the second region being doped with p-type ions at a higher doping level than the first region.

  11. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  12. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  13. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  14. A simplified chair-side remount technique using customized mounting platforms.

    PubMed

    Chauhan, Mamta Devendrakumar; Dange, Shankar Pandharinath; Khalikar, Arun Narayan; Vaidya, Smita Padmakar

    2012-08-01

    Correct occlusal relationships are part of the successful prosthetic treatment for edentulous patients. Fabrication of complete dentures comprises of clinical and laboratory procedures that should be executed accurately for achieving success with fabricated dentures. Errors occurring during the clinical and laboratory procedures of a denture may subsequently lead to the occlusal errors in the final prosthesis. These occlusal errors can be corrected in two ways: i) in patient's mouth ii) by recording new centric relation and remounting dentures on an articulator. The latter method is more feasible because the mobility of denture base on the mucosa in oral cavity does not permit the identification of premature contacts in centric occlusion and tooth guided eccentric excursions. This article describes a modest and effective clinical chair-side remount procedure using customized mounting platforms.

  15. A simplified chair-side remount technique using customized mounting platforms

    PubMed Central

    Dange, Shankar Pandharinath; Khalikar, Arun Narayan; Vaidya, Smita Padmakar

    2012-01-01

    Correct occlusal relationships are part of the successful prosthetic treatment for edentulous patients. Fabrication of complete dentures comprises of clinical and laboratory procedures that should be executed accurately for achieving success with fabricated dentures. Errors occurring during the clinical and laboratory procedures of a denture may subsequently lead to the occlusal errors in the final prosthesis. These occlusal errors can be corrected in two ways: i) in patient's mouth ii) by recording new centric relation and remounting dentures on an articulator. The latter method is more feasible because the mobility of denture base on the mucosa in oral cavity does not permit the identification of premature contacts in centric occlusion and tooth guided eccentric excursions. This article describes a modest and effective clinical chair-side remount procedure using customized mounting platforms. PMID:22977726

  16. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  17. Easily Accessible Camera Mount

    NASA Technical Reports Server (NTRS)

    Chalson, H. E.

    1986-01-01

    Modified mount enables fast alinement of movie cameras in explosionproof housings. Screw on side and readily reached through side door of housing. Mount includes right-angle drive mechanism containing two miter gears that turn threaded shaft. Shaft drives movable dovetail clamping jaw that engages fixed dovetail plate on camera. Mechanism alines camera in housing and secures it. Reduces installation time by 80 percent.

  18. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  19. Mount St. Helens Rebirth

    NASA Technical Reports Server (NTRS)

    2002-01-01

    The catastrophic eruption of Mt. St. Helens 20 years ago today (on May 18, 1980), ranks among the most important natural events of the twentieth century in the United States. Because Mt. St. Helens is in a remote area of the Cascades Mountains, only a few people were killed by the eruption, but property damage and destruction totaled in the billions of dollars. Mount St. Helens is an example of a composite or stratovolcano. These are explosive volcanoes that are generally steep-sided, symmetrical cones built up by the accumulation of debris from previous eruptions and consist of alternating layers of lava flows, volcanic ash and cinder. Some of the most photographed mountains in the world are stratovolcanoes, including Mount Fuji in Japan, Mount Cotopaxi in Ecuador, Mount Hood in Oregon, and Mount Rainier in Washington. The recently erupting Mount Usu on the island of Hokkaido in Japan is also a stratovolcano. Stratovolcanoes are characterized by having plumbing systems that move magma from a chamber deep within the Earth's crust to vents at the surface. The height of Mt. St. Helens was reduced from about 2950 m (9677 ft) to about 2550 m (8364 ft) as a result of the explosive eruption on the morning of May 18. The eruption sent a column of dust and ash upwards more than 25 km into the atmosphere, and shock waves from the blast knocked down almost every tree within 10 km of the central crater. Massive avalanches and mudflows, generated by the near-instantaneous melting of deep snowpacks on the flanks of the mountain, devastated an area more than 20 km to the north and east of the former summit, and rivers choked with all sorts of debris were flooded more than 100 km away. The area of almost total destruction was about 600 sq. km. Ash from the eruption cloud was rapidly blown to the northeast and east producing lightning which started many small forest fires. An erie darkness caused by the cloud enveloped the landscape more than 200 km from the blast area, and ash

  20. Electrical properties of epitaxial 3C- and 6H-SiC p-n junction diodes produced side-by-side on 6H-SiC substrates

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Larkin, David J.; Starr, Jonathan E.; Powell, J. Anthony; Salupo, Carl S.; Matus, Lawrence G.

    1994-01-01

    3C-SiC (beta-SiC) and 6H-SiC p-n junction diodes have been fabricated in regions of both 3C-SiC and 6H-SiC epitaxial layers which were grown side-by-side on low-tilt-angle 6H-SiC substrates via a chemical vapor deposition (CVD) process. Several runs of diodes exhibiting state-of-the-art electrical characteristics were produced, and performance characteristics were measured and compared as a function of doping, temperature, and polytype. The first 3C-SiC diodes which rectify to reverse voltages in excess of 300 V were characterized, representing a six-fold blocking voltage improvement over experimental 3C-SiC diodes produced by previous techniques. When placed under sufficient forward bias, the 3C-SiC diodes emit significantly bright green-yellow light while the 6H-SiC diodes emit in the blue-violet. The 6H-SiC p-n junction diodes represent the first reported high-quality 6H-SiC devices to be grown by CVD on very low-tilt-angle (less than 0.5 deg off the (0001) silicon face) 6H substrates. The reverse leakage current of a 200 micron diameter circular device at 1100 V reverse bias was less than 20 nA at room temperature, and excellent rectification characteristics were demonstrated at the peak characterization temperature of 400 C.

  1. 2. Credit BG. View down dust ditch at northeast side ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    2. Credit BG. View down dust ditch at northeast side of A Street, looking north northwest in "the loop". Note culverts used to give vehicular and pedestrian access to buildings northeast of A Street, some foundations of which may be seen at right of view. Structures in background belong to Jet Propulsion Laboratory Edwards Facility. - Edwards Air Force Base, North Base, Dust Ditch System, Traversing North Base, Boron, Kern County, CA

  2. System requirements for head down and helmet mounted displays in the military avionics environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flynn, M.F.; Kalmanash, M.; Sethna, V.

    1996-12-31

    The introduction of flat panel display technologies into the military avionics cockpit is a challenging proposition, due to the very difficult system level requirements which must be met. These relate to environmental extremes (temperature and vibrational), sever ambient lighting conditions (10,000 fL to nighttime viewing), night vision system compatibility, and wide viewing angle. At the same time, the display system must be packaged in minimal space and use minimal power. The authors will present details on the display system requirements for both head down and helmet mounted systems, as well as information on how these challenges may be overcome.

  3. Processing and Representation of Arguments in One-Sided Texts about Disputed Topics

    ERIC Educational Resources Information Center

    Wolfe, Michael B.; Tanner, Shawna M.; Taylor, Andrew R.

    2013-01-01

    We examine students' processing and representation of arguments and counterarguments in one-sided scientific texts. In Experiment 1, students read texts about evolution and TV violence. Sentence reading times indicated that subjects slowed down reading to the extent that arguments were both more consistent, and inconsistent, with the text…

  4. Improved process for epitaxial deposition of silicon on prediffused substrates

    NASA Technical Reports Server (NTRS)

    Clarke, M. G.; Halsor, J. L.; Word, J. C.

    1968-01-01

    Process for fabricating integrated circuits uniformly deposits silicon epitaxially on prediffused substrates without affecting the sublayer diffusion pattern. Two silicon deposits from different sources, and deposited at different temperatures, protect the sublayer pattern from the silicon tetrachloride reaction.

  5. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  6. Mount St. Helens and Kilauea volcanoes

    USGS Publications Warehouse

    Barrat, J.

    1989-01-01

    From the south, snow-covered Mount St. Helens looms proudly under a fleecy halo of clouds, rivaling the majestic beauty of neighboring Mount Rainer, Mount Hood, and Mount Adams. Salmon fishermen dot the shores of lakes and streams in the mountain's shadow, trucks loaded with fresh-cut timber barrel down backroads, and deer peer out from stands of tall fir trees. 

  7. Group-III nitride VCSEL structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ng, HockMin; Moustakas, Theodore D.

    2000-07-01

    III-nitride VCSEL structures designed for electron-beam pumping have been grown by molecular beam epitaxy (MBE). The structures consist of a sapphire substrate on which an AlN/GaN distributed Bragg reflector (DBR) with peak reflectance >99% at 402 nm is deposited. The active region consists of a 2-(lambda) cavity with 25 In0.1Ga0.9N/GaN multiquantum wells (MQWs) whose emission coincides with the high reflectance region of the DBR. The thicknesses of the InGaN wells and the GaN barriers are 35 angstrom and 75 angstrom respectively. The top reflector consists of a silver metallic mirror which prevents charging effects during electron-beam pumping. The structure was pumped from the top- side with a cw electron-beam using a modified cathodoluminescence (CL) system mounted on a scanning electron microscope chamber. Light output was collected from the polished sapphire substrate side. Measurements performed at 100 K showed intense emission at 407 nm with narrowing of the linewidth with increasing beam current. A narrow emission linewidth of 0.7 nm was observed indicating the onset of stimulated emission.

  8. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  9. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  10. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  11. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  12. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  13. Aerodynamic characteristics of a 0.00563 scale 142-inch diameter solid rocket booster (MSFC model 449 and 480) with side mounted stings in the NASA/MSFC 14-inch trisonic wind tunnel (SA14FA)

    NASA Technical Reports Server (NTRS)

    Ramsey, P. E.

    1976-01-01

    An experimental investigation (SA14FA, TWT 620) was conducted in the MSFC 14-inch Trisonic Wind Tunnel (TWT) to determine the entry static stability of a 0.00563 scale shuttle solid rocket booster (SRB). The primary objective was to determine the effects of four side mounted sting configurations and to improve the definition of the aerodynamic characteristics in the vicinity of the SRB entry trim point. Data were obtained for two 60 and two 90 degree side mounted stings and a straight nose mounted sting. The angle of attack range for the side-mounted stings was 100 to 170 degrees while that for the nose mounted sting was 150 to 170 degrees. The Mach number range consisted of 0.6 to 3.48. Except for the aft attach ring, no protuberances were considered and the side slip and roll angles were zero. The test model was scaled from the 142-inch diameter SRB known as configuration 139 which was used during test TWT 572 (SA5F).

  14. Universal description of III-V/Si epitaxial growth processes

    NASA Astrophysics Data System (ADS)

    Lucci, I.; Charbonnier, S.; Pedesseau, L.; Vallet, M.; Cerutti, L.; Rodriguez, J.-B.; Tournié, E.; Bernard, R.; Létoublon, A.; Bertru, N.; Le Corre, A.; Rennesson, S.; Semond, F.; Patriarche, G.; Largeau, L.; Turban, P.; Ponchet, A.; Cornet, C.

    2018-06-01

    Here, we experimentally and theoretically clarify III-V/Si crystal growth processes. Atomically resolved microscopy shows that monodomain three-dimensional islands are observed at the early stages of AlSb, AlN, and GaP epitaxy on Si, independently of misfit. It is also shown that complete III-V/Si wetting cannot be achieved in most III-V/Si systems. Surface/interface contributions to the free-energy variations are found to be prominent over strain relief processes. We finally propose a general and unified description of III-V/Si growth processes, including a description of the formation of antiphase boundaries.

  15. 16 CFR Appendix A5 to Part 305 - Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door...

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 16 Commercial Practices 1 2014-01-01 2014-01-01 false Refrigerator-Freezers With Automatic Defrost With Side-Mounted Freezer Without Through-the-Door Ice Service A5 Appendix A5 to Part 305 Commercial... Without Through-the-Door Ice Service Range Information Manufacturer's Rated Total Refrigerated Volume in...

  16. General view looking aft along the port side of the ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    General view looking aft along the port side of the Orbiter Discovery into its payload bay. Note the Remote Manipulator System, Canadarm, in the foreground mounted on the port side longeron. The Remote Sensor Arm is mounted on the opposite, starboard, longeron. Also note the airlock and the protective covering over the docking mechanism. This image was taken in the Orbiter Processing Facility at Kennedy Space Center. - Space Transportation System, Orbiter Discovery (OV-103), Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  17. 16 CFR Appendix A8 to Part 305 - Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice...

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 16 Commercial Practices 1 2014-01-01 2014-01-01 false Refrigerator-freezers With Automatic Defrost With Side-mounted Freezer With Through-the-door Ice Service A8 Appendix A8 to Part 305 Commercial... With Through-the-door Ice Service Range Information Manufacturer's Rated Total Refrigerated Volume in...

  18. Fixture for mounting small parts for processing

    DOEpatents

    Foreman, Larry R.; Gomez, Veronica M.; Thomas, Michael H.

    1990-01-01

    A fixture for mounting small parts, such as fusion target spheres or microelectronic components. A glass stalk is drawn and truncated near its tip. The truncated end of the glass stalk is dipped into silicone rubber forming an extending streamer. After the rubber cures for approximately 24 hours, a small part is touched to the streamer, and will be held securely throughout processing.

  19. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  20. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  1. Fixture for mounting small parts for processing

    DOEpatents

    Foreman, L.R.; Gomez, V.M.; Thomas, M.H.

    1990-05-29

    A fixture for mounting small parts, such as fusion target spheres or microelectronic components is disclosed. A glass stalk is drawn and truncated near its tip. The truncated end of the glass stalk is dipped into silicone rubber forming an extending streamer. After the rubber cures for approximately 24 hours, a small part is touched to the streamer, and will be held securely throughout processing. 5 figs.

  2. Role of thermal processes in dewetting of epitaxial Ag(111) film on Si(111)

    DOE PAGES

    Sanders, Charlotte E.; Zhang, Chendong D.; Kellogg, Gary L.; ...

    2014-08-01

    Epitaxially grown silver (Ag) film on silicon (Si) is an optimal plasmonic device platform, but its technological utility has been limited by its tendency to dewet rapidly under ambient conditions (standard temperature and pressure). The mechanisms driving this dewetting have not heretofore been determined. In our study, scanning probe microscopy and low-energy electron microscopy are used to compare the morphological evolution of epitaxial Ag(111)/Si(111) under ambient conditions with that of similarly prepared films heated under ultra-high vacuum (UHV) conditions. Furthermore, dewetting is seen to be initiated with the formation of pinholes, which might function to relieve strain in the film.more » We find that in the UHV environment, dewetting is determined by thermal processes, and while under ambient conditions, thermal processes are not required. Finally, we conclude that dewetting in ambient conditions is triggered by some chemical process, most likely oxidation.« less

  3. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  4. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  5. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, P.; Hayes, R.E.

    1984-12-04

    Disclosed is a process for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve the first layer a sufficient amount to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  6. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, Peter; Hayes, Russell E.

    1986-01-01

    A process is disclosed for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve a sufficient amount of the first layer to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent to the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  7. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  8. Recent eruptive history of Mount Hood, Oregon, and potential hazards from future eruptions

    USGS Publications Warehouse

    Crandell, Dwight Raymond

    1980-01-01

    Each of three major eruptive periods at Mount Hood (12,000-15,000(?), 1,500-1,800, and 200-300 years ago) produced dacite domes, pyroclastic flows, and mudflows, but virtually no pumice. Most of the fine lithic ash that mantles the slopes of the volcano and the adjacent mountains fell from ash clouds that accompanied the pyroclastic flows. Widely scattered pumice lapilli that are present at the ground surface on the south, east, and north sides of Mount Hood may have been erupted during the mid-1800's, when the last known activity of the volcano occurred. The geologically recent history of Mount Hood suggests that the most likely eruptive event in the future will be the formation of another dome, probably within the present south-facing crater. The principal hazards that could accompany dome formation include pyroclastic flows and mudflows moving from the upper slopes of the volcano down the floors of valleys. Ash clouds which accompany pyroclastic flows may deposit as much as a meter of fine ash close to their source, and as much as 20 centimeters at a distance of 11 kilometers downwind from the pyroclastic flows. Other hazards that could result from such eruptions include laterally directed explosive blasts that could propel rock fragments outward from the sides of a dome at high speed, and toxic volcanic gases. The scarcity of pumiceous ash erupted during the last 15,000 years suggests that explosive pumice eruptions are not a major hazard at Mount Hood; thus, there seems to be little danger that such an eruption will significantly affect the Portland (Oregon) metropolitan area in the near future.

  9. Head Mounted Displays for Virtual Reality

    DTIC Science & Technology

    1993-02-01

    Produce an Image of Infinity 9 3 The Naval Ocean Systems Center HMD with Front-Mounted CRTs 10 4 The VR Group HMD with Side-Mounted CRTs. The Image is...Convergence Angles 34 vii SECTION 1 INTRODUCTION One of the goals in the development of Virtual Reality ( VR ) is to achieve "total immersion" where one...become transported out of the real world and into the virtual world. The developers of VR have utilized the head mounted display (HMD) as a means of

  10. Numerical simulations of epitaxial growth process in MOVPE reactor as a tool for design of modern semiconductors for high power electronics

    NASA Astrophysics Data System (ADS)

    Skibinski, Jakub; Caban, Piotr; Wejrzanowski, Tomasz; Kurzydlowski, Krzysztof J.

    2014-10-01

    In the present study numerical simulations of epitaxial growth of gallium nitride in Metal Organic Vapor Phase Epitaxy reactor AIX-200/4RF-S is addressed. Epitaxial growth means crystal growth that progresses while inheriting the laminar structure and the orientation of substrate crystals. One of the technological problems is to obtain homogeneous growth rate over the main deposit area. Since there are many agents influencing reaction on crystal area such as temperature, pressure, gas flow or reactor geometry, it is difficult to design optimal process. According to the fact that it's impossible to determine experimentally the exact distribution of heat and mass transfer inside the reactor during crystal growth, modeling is the only solution to understand the process precisely. Numerical simulations allow to understand the epitaxial process by calculation of heat and mass transfer distribution during growth of gallium nitride. Including chemical reactions in numerical model allows to calculate the growth rate of the substrate and estimate the optimal process conditions for obtaining the most homogeneous product.

  11. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  12. Volcano fact sheet; glacier-generated debris flows at Mount Rainier

    USGS Publications Warehouse

    Walder, J.S.; Driedger, C.L.

    1993-01-01

    Mount Rainier is a young volcano whose slopes are undergoing rapid change by a variety of geologic processes, including debris flows. Debris flows are churning masses of water, rock and mud that travel rapidly down the volcano's steep, glacially carved valleys, leaving in their wake splintered trees, picnic sites buried in mud, and damaged roads. Debris flows typically contain as much as 65 to 70 percent rock and soil by volume and have the appearance of wet concrete. At Mount Rainier National Park, these flows invariably begin in remote areas nearly inaccessible to people, but may move rapidly downstream into areas frequented by visitors.

  13. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  14. Forces and pressures beneath the saddle during mounting from the ground and from a raised mounting platform.

    PubMed

    Geutjens, C A; Clayton, H M; Kaiser, L J

    2008-03-01

    The objective was to use an electronic pressure mat to measure and compare forces and pressures of the saddle on a horse's back when riders mounted from the ground and with the aid of a mounting platform. Ten riders mounted a horse three times each from the ground and from a 35 cm high mounting platform in random order. Total force (summation of forces over all 256 sensors) was measured and compared at specific points on the force-time curve. Total force was usually highest as the rider's right leg was swinging upwards and was correlated with rider mass. When normalized to rider mass, total force and peak pressure were significantly higher when mounting from the ground than from a raised platform (P<0.05). The area of highest pressure was on the right side of the withers in 97% of mounting efforts, confirming the importance of the withers in stabilizing the saddle during mounting.

  15. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  16. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  17. Ferromagnetic order in epitaxially strained LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Fuchs, D.; Pinta, C.; Schwarz, T.; Schweiss, P.; Nagel, P.; Schuppler, S.; Schneider, R.; Merz, M.; Roth, G.; v. Löhneysen, H.

    2007-04-01

    LaCoO3 films grown epitaxially on ⟨001⟩ oriented (LaAlO3)0.3(Sr2AlTaO6)0.7 substrates by pulsed laser deposition exhibit ferromagnetic ordering below a critical temperature, Tc , of 85K . Polycrystalline films of LaCoO3 prepared in the same way did not show ferromagnetic order down to T≈5K , and their temperature dependent susceptibility was identical to that of bulk LaCoO3 . The ferromagnetism in epitaxial films is not simply a property of the surface region, rather it extends over the complete film thickness, as shown by the linear increase of the saturated magnetic moment with increasing film thickness. We discuss this surprising result in terms of epitaxial tensile strain via the properly chosen substrate inducing ferromagnetic order.

  18. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  19. Big-Data RHEED analysis for understanding epitaxial film growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in-situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED image, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the dataset are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of RHEED image sequence.more » This approach is illustrated for growth of LaxCa1-xMnO3 films grown on etched (001) SrTiO3 substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the assymetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.« less

  20. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  1. Mount Pinatubo, Philippines

    NASA Image and Video Library

    1994-09-30

    STS068-232-083 (30 September-11 October 1994) --- This is a view of Mount Pinatubo, Philippine Islands, orient with the coast to the top. View westward across central Luzon and Mount Pinatubo. Manilla Bay is in partial sunglint along the left edge of the frame. The extensive flows of volcanic ash (lahars) extending from the mountain are readily seen despite partial cloud cover. The ash is mobilized with every rain in this typhoon-ridden region, flowing down valleys, filling drainage channels, and covering fields and towns. The STS-68 crew obtained excellent photographs of the region, for comparison to the radar data also obtained on the mission. Photographs in sunglint have proven particularly helpful because they show the exact outlines of surface water, which provides a datum point for the radar returns.

  2. Initial processing and analysis of forward- and side-looking data from the Spectrally Agile Frequency-Incrementing Reconfigurable (SAFIRE) radar

    NASA Astrophysics Data System (ADS)

    Ranney, Kenneth; Phelan, Brian; Sherbondy, Kelly; Kirose, Getachew; Smith, Gregory; Clark, John; Harrison, Arthur; Ressler, Marc; Nguyen, Lam; Narayanan, Ram

    2017-05-01

    A new, versatile, UHF/L band, ultrawideband (UWB), vehicle-mounted radar system developed at the U.S. Army Research Laboratory (ARL) has recently been exercised at an arid U.S. test site. The unique switching scheme implemented to record data from all receive channels is described, along with the current calibration procedure. Radar and global positioning system (GPS) data collected in both forwardand side-looking configurations are processed, and synthetic aperture radar (SAR) images are formed. Results are presented for various target emplacement scenarios.

  3. Top-down and bottom-up competition in visual stimuli processing.

    PubMed

    Ligeza, Tomasz S; Tymorek, Agnieszka D; Wyczesany, Mirosław

    2017-01-01

    Limited attention capacity results that not all the stimuli present in the visual field are equally processed. While processing of salient stimuli is automatically boosted by bottom‑up attention, processing of task‑relevant stimuli can be boosted volitionally by top‑down attention. Usually, both top‑down and bottom‑up influences are present simultaneously, which creates a competition between these two types of attention. We examined this competition using both behavioral and electrophysiological measures. Participants responded to letters superimposed on background pictures. We assumed that responding to different conditions of the letter task engages top‑down attention to different extent, whereas processing of background pictures of varying salience engages bottom‑up attention to different extent. To check how manipulation of top‑down attention influences bottom‑up processing, we measured evoked response potentials (ERPs) in response to pictures (engaging mostly bottom‑up attention) during three conditions of a letter task (different levels of top‑down engagement). Conversely, to check how manipulation of bottom‑up attention influences top‑down processing, we measured ERP responses for letters (engaging mostly top‑down attention) while manipulating the salience of background pictures (different levels of bottom‑up engagement). The correctness and reaction times in response to letters were also analyzed. As expected, most of the ERPs and behavioral measures revealed a trade‑off between both types of processing: a decrease of bottom‑up processing was associated with an increase of top‑down processing and, similarly, a decrease of top‑down processing was associated with an increase in bottom‑up processing. Results proved competition between the two types of attentions.

  4. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  5. Digital Image Processing Overview For Helmet Mounted Displays

    NASA Astrophysics Data System (ADS)

    Parise, Michael J.

    1989-09-01

    Digital image processing provides a means to manipulate an image and presents a user with a variety of display formats that are not available in the analog image processing environment. When performed in real time and presented on a Helmet Mounted Display, system capability and flexibility are greatly enhanced. The information content of a display can be increased by the addition of real time insets and static windows from secondary sensor sources, near real time 3-D imaging from a single sensor can be achieved, graphical information can be added, and enhancement techniques can be employed. Such increased functionality is generating a considerable amount of interest in the military and commercial markets. This paper discusses some of these image processing techniques and their applications.

  6. Rockfalls and Avalanches from Little Tahoma Peak on Mount Rainier, Washington

    USGS Publications Warehouse

    Crandell, Dwight Raymond; Fahnestock, Robert K.

    1965-01-01

    In December 1963 rockfalls from Little Tahoma Peak on the east side of Mount Rainier volcano fell onto Emmons Glacier and formed avalanches of rock debris that traveled about 4 miles down the glacier and the White River valley. In this distance, the rock debris descended as much as 6,200 feet in altitude. Minor lithologic differences and crosscutting relations indicate that the rockfalls caused at least seven separate avalanches, having an estimated total volume of 14 million cubic yards. The initial rockfall may have been caused by a small steam explosion near the base of Little Tahoma Peak. During movement, some of the avalanches were deflected from one side of the valley to the other. Calculations based on the height to which the avalanches rose on the valley walls suggest that their velocity reached at least 80 or 90 miles per hour. The unusually long distance some of the avalanches were transported is attributed to a cushion of trapped and compressed air at their base, which buoyed them up amid reduced friction.

  7. Tritium autoradiography with thinned and back-side illuminated monolithic active pixel sensor device

    NASA Astrophysics Data System (ADS)

    Deptuch, G.

    2005-05-01

    The first autoradiographic results of the tritium ( 3H) marked source obtained with monolithic active pixel sensors are presented. The detector is a high-resolution, back-side illuminated imager, developed within the SUCIMA collaboration for low-energy (<30 keV) electrons detection. The sensitivity to these energies is obtained by thinning the detector, originally fabricated in the form of a standard VLSI chip, down to the thickness of the epitaxial layer. The detector used is the 1×10 6 pixel, thinned MIMOSA V chip. The low noise performance and thin (˜160 nm) entrance window provide the sensitivity of the device to energies as low as ˜4 keV. A polymer tritium source was parked directly atop the detector in open-air conditions. A real-time image of the source was obtained.

  8. Additive Manufacturing of Single-Crystal Superalloy CMSX-4 Through Scanning Laser Epitaxy: Computational Modeling, Experimental Process Development, and Process Parameter Optimization

    NASA Astrophysics Data System (ADS)

    Basak, Amrita; Acharya, Ranadip; Das, Suman

    2016-08-01

    This paper focuses on additive manufacturing (AM) of single-crystal (SX) nickel-based superalloy CMSX-4 through scanning laser epitaxy (SLE). SLE, a powder bed fusion-based AM process was explored for the purpose of producing crack-free, dense deposits of CMSX-4 on top of similar chemistry investment-cast substrates. Optical microscopy and scanning electron microscopy (SEM) investigations revealed the presence of dendritic microstructures that consisted of fine γ' precipitates within the γ matrix in the deposit region. Computational fluid dynamics (CFD)-based process modeling, statistical design of experiments (DoE), and microstructural characterization techniques were combined to produce metallurgically bonded single-crystal deposits of more than 500 μm height in a single pass along the entire length of the substrate. A customized quantitative metallography based image analysis technique was employed for automatic extraction of various deposit quality metrics from the digital cross-sectional micrographs. The processing parameters were varied, and optimal processing windows were identified to obtain good quality deposits. The results reported here represent one of the few successes obtained in producing single-crystal epitaxial deposits through a powder bed fusion-based metal AM process and thus demonstrate the potential of SLE to repair and manufacture single-crystal hot section components of gas turbine systems from nickel-based superalloy powders.

  9. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  10. Performance effects of mounting a helmet-mounted display on the ANVIS mount of the HGU-56P helmet

    NASA Astrophysics Data System (ADS)

    Harding, Thomas H.; Martin, John S.; Rash, Clarence E.

    2006-05-01

    The U.S. Army, under the auspices of the Air Warrior Product Office, is developing a modular helmet-mounted display (HMD) for four aircraft series within its helicopter fleet. A design consideration is mounting the HMDs to the HGU- 56P Aviator's Night Vision Imaging System (ANVIS) mount. This particular mount is being considered, presumably due to its inherent cost savings, as the mount is already part of the helmet. Mounting the HMD in this position may have consequences for the daylight performance of these HMDs, as well as increasing the forward weight of the HMD. The latter would have consequences for helmet weight and center-of-mass biodynamic issues. Calculations were made of the increased luminance needed as a consequence of mounting the HMD in front of an HGU-56P tinted visor as opposed to mounting it behind the visor. By mounting in front of the helmet's visor, the HMD's light output will be filtered as light coming from the outside world. Special consideration then would have to be given to the HMD's light source selection process, as not to select a source that would differentially reduce luminance by a mounted visor (e.g., laser protection visors) compared to the ambient light in the aviator's field-of-view.

  11. Efficacy of seat-mounted thoracic side airbags in the German vehicle fleet.

    PubMed

    Gaylor, Luke; Junge, Mirko; Abanteriba, Sylvester

    2017-11-17

    Thoracic side airbags (tSABs) deploy within close proximity to the occupant. Their primary purpose is to provide a protective cushion between the occupant and the intruding door. To date, various field studies investigating their injury mitigation has been limited and contradicting. The research develops efficacy estimations associated for seat-mounted tSABs in their ability to mitigate injury risk from the German collision environment. A matched cohort study using German In-Depth Accident Study (GIDAS) data was implemented and aims to investigate the efficacy of seat-mounted tSAB units in preventing thoracic injury. Inclusion in the study required a nearside occupant involved in a lateral collision where the target vehicle exhibited a design year succeeding 1990. Collisions whereby a tSAB deployed were matched on a 1:n basis to collisions of similar severity where no airbag was available in the target vehicle. The outcome of interest was an incurred bodily or thoracic regional injury. Through conditional logistic regression, an estimated efficacy value for the deployed tSAB was determined. A total of 255 collisions with the deployed tSAB matched with 414 collisions where no tSAB was present. For the given sample, results indicated that the deployed tSAB was not able to provide an unequivocal benefit to the occupant thoracic region, because individuals exposed to the deployed tSAB were at equal risk of injury (Thorax Maximum Abbreviated Injury Scale (Tho.MAIS)2+ odds ratio [OR] = 1.04, 95% confidence interval [CI], 0.41-2.62; Tho.MAIS3+ OR = 1.15, 95% CI, 0.41-3.18). When attempting to isolate an effect for skeletal injuries, a similar result was obtained. Yet, when the tSAB was coupled with a head curtain airbag, a protective effect became apparent, most noticeable for head/face/neck (HFN) injuries (OR = 0.59, 95% CI, 0.21-1.65). The reduction in occupant HFN injury risk associated with the coupled tSAB and curtain airbag may be attributable to its ability to

  12. South Fork Latrine, interior showing head with steel tank mounted ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    South Fork Latrine, interior showing head with steel tank mounted to wall; view south - Fort McKinley, South Fork Latrine, West side of East Side Drive, approximately 225 feet south of Weymouth Way, Great Diamond Island, Portland, Cumberland County, ME

  13. Mounting clips for panel installation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavieres, Andres; Al-Haddad, Tristan; Goodman, Joseph

    2017-02-14

    An exemplary mounting clip for removably attaching panels to a supporting structure comprises a base, spring locking clips, a lateral flange, a lever flange, and a spring bonding pad. The spring locking clips extend upwardly from the base. The lateral flange extends upwardly from a first side of the base. The lateral flange comprises a slot having an opening configured to receive at least a portion of one of the one or more panels. The lever flange extends outwardly from the lateral flange. The spring bonding flange extends downwardly from the lever flange. At least a portion of the firstmore » spring bonding flange comprises a serrated edge for gouging at least a portion of the one or more panels when the one or more panels are attached to the mounting clip to electrically and mechanically couple the one or more panels to the mounting clip.« less

  14. Horizontally opposed trunnion forward engine mount system supported beneath a wing pylon

    NASA Technical Reports Server (NTRS)

    Seaquist, John D. (Inventor); Culbertson, Chris (Inventor)

    2000-01-01

    The present invention relates to an engine mount assembly for supporting an aircraft engine in aft-cantilevered position beneath the aircraft wing. The assembly includes a pair forward engine mounts positioned on opposite sides of an integrally formed yoke member wrapped about the upper half of the engine casing. Each side of the yoke is preferably configured as an A-shaped frame member with the bottom portions joining each other and the pylon. To prevent backbone bending of the engine trunnion assembly, the forward engine mounts supported at opposite ends of the yoke engage the casing along its centerline. The trunnion assembly is preferably constructed of high strength titanium machined and/or forged.

  15. Confidence Hills -- The First Mount Sharp Drilling Site

    NASA Image and Video Library

    2014-11-04

    This image shows the first holes drilled by NASA Mars rover Curiosity at Mount Sharp. The loose material near the drill holes is drill tailings and an accumulation of dust that slid down the rock during drilling.

  16. Evaluation and verification of epitaxial process sequence for silicon solar-cell production

    NASA Technical Reports Server (NTRS)

    Redfield, D.

    1981-01-01

    To achieve the program goals, 28 minimodules were fabricated and tested, using 600 cells made from three-inch-diameter wafers processed by the sequence chosen for this purpose. Of these 600 cells, half were made from epitaxially grown layers on potentially low-cost substrates. The other half were made from commercial semiconductor-grade (SG), single-crystal silicon wafers that served as controls. Cell processing was normally performed on mixed lots containing significant numbers of each of these two types of wafers. After evaluation of the performance of all cells, they were separated by types for incorporation into modules that were to be tested for electrical performance and response to environmental stress. A simplified flow chart displaying this scheme, for quantities representing half of the planned total to be processed, is presented.

  17. Torsion bar stabilizer for a vehicle and method for mounting the stabilizer on the vehicle frame

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sauber, C.J.

    This patent describes a method of mounting a stabilizing mechanism on a vehicle frame which is supported and biased on a suspension assembly at opposite sides of the frame. The frame includes overload stops riveted to opposite sides of the frame and the suspension assembly includes bracket assemblies which secure the vehicle's suspension springs to a wheel axle. The method comprises the following steps: removing an overload stop from each side of the vehicle frame; mounting a modified overload stop on each side of the frame which serves as both an overload stop and a support for the stabilizing mechanismmore » wherein the modified overload stop is mounted into the holes in the frame left from the removal of the overload stop; removing from each side of the vehicle the top bracket from the bracket assembly; inserting a modified top bracket into each bracket assembly wherein the top bracket assembly is modified to couple with the stabilizing mechanism; and mounting on the modified overload stops a torsion bar whose opposite ends are coupled to the modified top bracket by way of linkages.« less

  18. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  19. Hemispheric lateralization in top-down attention during spatial relation processing: a Granger causal model approach.

    PubMed

    Falasca, N W; D'Ascenzo, S; Di Domenico, A; Onofrj, M; Tommasi, L; Laeng, B; Franciotti, R

    2015-04-01

    Magnetoencephalography was recorded during a matching-to-sample plus cueing paradigm, in which participants judged the occurrence of changes in either categorical (CAT) or coordinate (COO) spatial relations. Previously, parietal and frontal lobes were identified as key areas in processing spatial relations and it was shown that each hemisphere was differently involved and modulated by the scope of the attention window (e.g. a large and small cue). In this study, Granger analysis highlighted the patterns of causality among involved brain areas--the direction of information transfer ran from the frontal to the visual cortex in the right hemisphere, whereas it ran in the opposite direction in the left side. Thus, the right frontal area seems to exert top-down influence, supporting the idea that, in this task, top-down signals are selectively related to the right side. Additionally, for CAT change preceded by a small cue, the right frontal gyrus was not involved in the information transfer, indicating a selective specialization of the left hemisphere for this condition. The present findings strengthen the conclusion of the presence of a remarkable hemispheric specialization for spatial relation processing and illustrate the complex interactions between the lateralized parts of the neural network. Moreover, they illustrate how focusing attention over large or small regions of the visual field engages these lateralized networks differently, particularly in the frontal regions of each hemisphere, consistent with the theory that spatial relation judgements require a fronto-parietal network in the left hemisphere for categorical relations and on the right hemisphere for coordinate spatial processing. © 2015 Federation of European Neuroscience Societies and John Wiley & Sons Ltd.

  20. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    NASA Astrophysics Data System (ADS)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  1. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  2. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    PubMed

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  3. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  4. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  5. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  6. An oblique view of the forward fuselage and port side ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    An oblique view of the forward fuselage and port side of the Orbiter Discovery while mounted atop the 76-wheeled orbiter transfer system as it is being rolled from the Orbiter Processing Facility to the Vehicle Assembly Building at Kennedy Space Center. - Space Transportation System, Orbiter Discovery (OV-103), Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  7. An oblique view of the forward fuselage and starboard side ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    An oblique view of the forward fuselage and starboard side of the Orbiter Discovery while mounted atop the 76-wheeled orbiter transfer system as it is being rolled from the Orbiter Processing Facility to the Vehicle Assembly Building at Kennedy Space Center. - Space Transportation System, Orbiter Discovery (OV-103), Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  8. Processing of catalysts by atomic layer epitaxy: modification of supports

    NASA Astrophysics Data System (ADS)

    Lindblad, Marina; Haukka, Suvi; Kytökivi, Arla; Lakomaa, Eeva-Liisa; Rautiainen, Aimo; Suntola, Tuomo

    1997-11-01

    Different supports were modified with titania, zirconia and chromia by the atomic layer epitaxy technique (ALE). In ALE, a metal precursor is bound to the support in saturating gas-solid reactions. Surface oxides are grown by alternating reactions of the metal precursor and an oxidizing agent. Growth mechanisms differ depending on the precursor-support pair and the processing conditions. In this work, the influences of the support, precursor and reaction temperature were investigated by comparing the growth of titania from Ti(OCH(CH 3) 2) 4 on silica and alumina, titania from TiCl 4 and Ti(OCH(CH 3) 2) 4 on silica, and zirconia from ZrCl 4 on silica and alumina. The modification of porous oxides supported on metal substrates (monoliths) was demonstrated for the growth of chromia from Cr(acac) 3.

  9. Debris-flow hazards caused by hydrologic events at Mount Rainier, Washington

    USGS Publications Warehouse

    Vallance, James W.; Cunico, Michelle L.; Schilling, Steve P.

    2003-01-01

    At 4393 m, ice-clad Mount Rainier has great potential for debris flows owing to its precipitous slopes and incised steep valleys, the large volume of water stored in its glaciers, and a mantle of loose debris on its slopes. In the past 10,000 years, more than sixty Holocene lahars have occurred at Mount Rainier (Scott et al., 1985), and, in addition more than thirty debris flows not related to volcanism have occurred in historical time (Walder and Driedger, 1984). Lahars at Mount Rainier can be classed in 3 groups according to their genesis: (1) flank collapse of hydrothermally altered, water-saturated rock; (2) eruption-related release of water and loose debris; and (3) hydrologic release of water and debris (Scott et al., 1985). Lahars in the first two categories are commonly voluminous and are generally related to unrest and explosions that occur during eruptive episodes. Lahars in the third category, distinguished here as debris flows, are less voluminous than the others but occur frequently at Mount Rainier, often with little or no warning. Historically at Mount Rainier, glacial outburst floods, torrential rains, and stream capture have caused small- to moderate-size debris flows (Walder and Driedger, 1984). Such debris flows are most likely to occur in drainages that have large glaciers in them. Less commonly, a drainage diversion has triggered a debris flow in an unglaciated drainage basin. For example, the diversion of Kautz Glacier meltwater into Van Trump basin triggered debris flows on the south side of Rainier in August 2001. On the basis of historical accounts, debris flows having hydrologic origins are likely to be unheralded, and have occurred as seldom as once in 8 years and as often as four times per year at Mount Rainier (Walder and Driedger, 1984). Such debris flows are most likely to occur during periods of hot dry weather or during periods of intense rainfall, and therefore must occur during the summer and fall. They are likely to begin at or

  10. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  11. MOUNT NAOMI ROADLESS AREA, UTAH AND IDAHO.

    USGS Publications Warehouse

    Dover, James H.; Bigsby, Philip R.

    1984-01-01

    Geologic, geophysical, and geochemical surveys, and an examination of mines and prospects were made in the Mount Naomi Roadless Area, Utah and Idaho. No significant precious-metal, base-metal, other trace-metal, or uranium anomalies are apparent in the geochemical data from the Mount Naomi Roadless Area, and no exploration targets were detected. However, a belt of probable resource potential for stratabound copper, lead, and zinc occurrences exists on the west side of the area in limestone and shale. The possibility that oil and gas concentration lie deeply buried beneath the roadless area cannot be evaluated from available data.

  12. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  13. Face processing pattern under top-down perception: a functional MRI study

    NASA Astrophysics Data System (ADS)

    Li, Jun; Liang, Jimin; Tian, Jie; Liu, Jiangang; Zhao, Jizheng; Zhang, Hui; Shi, Guangming

    2009-02-01

    Although top-down perceptual process plays an important role in face processing, its neural substrate is still puzzling because the top-down stream is extracted difficultly from the activation pattern associated with contamination caused by bottom-up face perception input. In the present study, a novel paradigm of instructing participants to detect faces from pure noise images is employed, which could efficiently eliminate the interference of bottom-up face perception in topdown face processing. Analyzing the map of functional connectivity with right FFA analyzed by conventional Pearson's correlation, a possible face processing pattern induced by top-down perception can be obtained. Apart from the brain areas of bilateral fusiform gyrus (FG), left inferior occipital gyrus (IOG) and left superior temporal sulcus (STS), which are consistent with a core system in the distributed cortical network for face perception, activation induced by top-down face processing is also found in these regions that include the anterior cingulate gyrus (ACC), right oribitofrontal cortex (OFC), left precuneus, right parahippocampal cortex, left dorsolateral prefrontal cortex (DLPFC), right frontal pole, bilateral premotor cortex, left inferior parietal cortex and bilateral thalamus. The results indicate that making-decision, attention, episodic memory retrieving and contextual associative processing network cooperate with general face processing regions to process face information under top-down perception.

  14. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  15. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    PubMed

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  16. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  17. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  18. Analyzing the importance of wind-blown snow accumulations on Mount

    NASA Astrophysics Data System (ADS)

    Nestler, Alexander; Huss, Matthias; Ambartsumian, Rouben; Hambarian, Artak; Mohr, Sandra; Santi, Flavio

    2013-04-01

    combination of in-situ field data and satellite information allows an estimation of the water volume that is stored in the form of snow on Mount Aragatz. Using numerical modelling, we extend these results to other years, and calculate past and future water yields from snow melt from Mount Aragatz. This study is performed in the frame of the Armenian-Swiss project "Freezwater" that aims at an artificial managing of snow melting to better time the release of melt water at low cost. In the past few years, an artificial glacier was built up successfully, and geotextiles were used to reduce the melt rates of snow cornices. In order to estimate the efficiency of geotextiles in delaying the melt-down, ablation rates of protected snow surfaces were compared to those of uncovered areas. This study will contribute to the understanding of aeolian processes within the cryosphere as well as it will help to gain engineering knowledge concerning a new and efficient water storage technique.

  19. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  20. Process for growing a film epitaxially upon a MgO surface

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  1. Laboratory and testbeam results for thin and epitaxial planar sensors for HL-LHC

    DOE PAGES

    Bubna, M.; Bolla, G.; Bortoletto, D.; ...

    2015-08-03

    The High-Luminosity LHC (HL-LHC) upgrade of the CMS pixel detector will require the development of novel pixel sensors which can withstand the increase in instantaneous luminosity to L = 5 × 10 34 cm –2s –1 and collect ~ 3000fb –1 of data. The innermost layer of the pixel detector will be exposed to doses of about 10 16 n eq/ cm 2. Hence, new pixel sensors with improved radiation hardness need to be investigated. A variety of silicon materials (Float-zone, Magnetic Czochralski and Epitaxially grown silicon), with thicknesses from 50 μm to 320 μm in p-type and n-type substrates have beenmore » fabricated using single-sided processing. The effect of reducing the sensor active thickness to improve radiation hardness by using various techniques (deep diffusion, wafer thinning, or growing epitaxial silicon on a handle wafer) has been studied. Furthermore, the results for electrical characterization, charge collection efficiency, and position resolution of various n-on-p pixel sensors with different substrates and different pixel geometries (different bias dot gaps and pixel implant sizes) will be presented.« less

  2. Clamp-mount device

    NASA Technical Reports Server (NTRS)

    Clark, K. H. (Inventor)

    1983-01-01

    A clamp-mount device is disclosed for mounting equipment to an associated I-beam and the like structural member of the type having oppositely extending flanges wherein the device comprises a base and a pair of oppositely facing clamping members carried diagonally on the base clamping flanges therebetween and having flange receiving openings facing one another. Lock means are carried diagonally by the base opposite the clamping members locking the flanges in the clamping members. A resilient hub is carried centrally of the base engaging and biasing a back side of the flanges maintaining tightly clamped and facilitating use on vertical as well as horizontal members. The base turns about the hub to receive the flanges within the clamping members. Equipment may be secured to the base by any suitable means such as bolts in openings. Slidable gate latches secure the hinged locks in an upright locking position. The resilient hub includes a recess opening formed in the base and a rubber-like pad carried in this opening being depressably and rotatably carried therein.

  3. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  4. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  5. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    NASA Astrophysics Data System (ADS)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  6. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  7. Seasonal geomorphic processes and rates of sand movement at Mount Baldy dune in Indiana, USA

    NASA Astrophysics Data System (ADS)

    Kilibarda, Zoran; Kilibarda, Vesna

    2016-12-01

    Winds are very strong, frequent, and have high energy (annual DP ∼800 VU) along the southern shores of Lake Michigan, allowing the coexistence of fixed and active dunes. Six years (2007-13) of monitoring Mount Baldy in the Indiana Dunes National Lakeshore reveals that this is the most active coastal dune in the Great Lakes region. This paper documents aeolian processes and changes in the dune's morphology that occur temporarily, following storms, or seasonally, due to weather (climate) variations. Most of the sand transport in this area takes place during strong storms with gale force (>17.5 m/s) winds, which occur in the autumn and winter months. A single storm, such as the October 28-31, 2013 event, can contribute 25% of the annual sand transport and dune movement inland. In its most active year (June 1, 2011 through May 31, 2012), Mount Baldy moved inland on average 4.34 m, with a maximum of 6.52 m along the blowout's axis (155° azimuth). During this particularly active season, there were six storms with sustained gale force winds, winter air temperatures were warmer than average, and shelf ice on Lake Michigan lasted only one day. The dune is least active during the summer season, when the winds are weakest. The late fall and winter winds are the strongest. But in a typical year, most of the dune's advance inland takes place during the spring thaw when sand is released from over-steepened and lumpy slip face, allowing it to avalanche to the toe of the slip face. However, with a warming air temperatures, a reduction in the duration of winter shelf ice, and rising Lake Michigan levels, the annual rates of sand transport and dune movement may increase. The recent Mount Baldy management strategy, which includes planting vegetation and installing wind barriers on the dune's stoss side in an effort to fix the dune and stop its further movement inland, may potentially cause the destruction of the mobile sand, open dune habitat, resulting in the extinction of rare

  8. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    NASA Astrophysics Data System (ADS)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  9. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  10. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  11. 11. Storage and shipping container, ballistic missile, mounted on ballistic ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    11. Storage and shipping container, ballistic missile, mounted on ballistic missile trailer, view from left side - Ellsworth Air Force Base, Delta Flight, 10 mile radius around Exit 127 off Interstate 90, Interior, Jackson County, SD

  12. Cerebral specialization for spatial processing in adults with Down syndrome.

    PubMed

    Elliott, D; Pollock, B J; Chua, R; Weeks, D J

    1995-05-01

    Cerebral specialization for spatial processing in adults with Down syndrome was examined. In the first experiment, both control and right-handed subjects with Down syndrome exhibited no lateral advantage in a dihaptic shape-matching task, whereas left-handed subjects with Down syndrome displayed an expected left-hand advantage. In a visual field dot enumeration task in the second experiment, all groups exhibited left-field superiority. Thus, atypical cerebral organization of function in adults with Down syndrome appears to be confined to speech perception (Elliott & Weeks, 1993).

  13. Redesign of a fixture mount to be used as an impression coping and a provisional abutment as well

    PubMed Central

    Chang, Glenn Hsuan-Chen; Tian, Chen; Hung, Yuen-Siang

    2011-01-01

    Purpose: An integrated fixture mount/impression coping/ temporary abutment can provide many advantages for immediate loading of dental implants, such as simpler procedure, less chair time, cost reduction, and comfort for the patients. Materials and Methods: A newly designed dental implant fixture mount (DIFMA) can be used as an impression coping for taking an immediate impression. An immediate load provisional prosthesis can then be fabricated shortly after implant placement to immediately load the implants. This fixture mount can also serve as a temporary abutment for immediate chair-side fabrication of provisional prosthesis. Two clinical cases are presented. Results: A clinical case utilizing the fixture mount abutment (DIFMA)/implant assembly is presented. The precision of fitting between the impression copings and implants is secured with this system. The chair time for taking an immediate impression is greatly reduced. Less cost for the restoration is provided and patient comfort is delivered. Conclusions: More patient satisfaction can be conferred by employing the fixture mount in the process of immediate impression taking and as an immediate provisional abutment. PMID:22090763

  14. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  15. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  16. Cosine (Cobalt Silicide Growth Through Nitrogen-Induced Epitaxy) Process For Epitaxial Cobalt Silicide Formation For High Performance Sha

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Chong Wee; Shin, Chan Soo; Gall, Daniel

    A method for forming an epitaxial cobalt silicide layer on a MOS device includes sputter depositing cobalt in an ambient to form a first layer of cobalt suicide on a gate and source/drain regions of the MOS device. Subsequently, cobalt is sputter deposited again in an ambient of argon to increase the thickness of the cobalt silicide layer to a second thickness.

  17. Mount Rainier: living safely with a volcano in your backyard

    USGS Publications Warehouse

    Driedger, Carolyn L.; Scott, William E.

    2008-01-01

    Majestic Mount Rainier soars almost 3 miles (14,410 feet) above sea level and looms over the expanding suburbs of Seattle and Tacoma, Washington. Each year almost two million visitors come to Mount Rainier National Park to admire the volcano and its glaciers, alpine meadows, and forested ridges. However, the volcano's beauty is deceptive - U.S. Geological Survey (USGS) research shows that Mount Rainier is one of our Nation's most dangerous volcanoes. It has been the source of countless eruptions and volcanic mudflows (lahars) that have surged down valleys on its flanks and buried broad areas now densely populated. To help people live more safely with the volcano, USGS scientists are working closely with local communities, emergency managers, and the National Park Service.

  18. Silicon Carbide Epitaxial Films Studied by Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Silicon carbide (SiC) holds great potential as an electronic material because of its wide band gap energy, high breakdown electric field, thermal stability, and resistance to radiation damage. Possible aerospace applications of high-temperature, high-power, or high-radiation SiC electronic devices include sensors, control electronics, and power electronics that can operate at temperatures up to 600 C and beyond. Commercially available SiC devices now include blue light-emitting diodes (LED's) and high-voltage diodes for operation up to 350 C, with other devices under development. At present, morphological defects in epitaxially grown SiC films limit their use in device applications. Research geared toward reducing the number of structural inhomogeneities can benefit from an understanding of the type and nature of problems that cause defects. The Atomic Force Microscope (AFM) has proven to be a useful tool in characterizing defects present on the surface of SiC epitaxial films. The in-house High-Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center not only extended the dopant concentration range achievable in epitaxial SiC films, but it reduced the concentration of some types of defects. Advanced structural characterization using the AFM was warranted to identify the type and structure of the remaining film defects and morphological inhomogeneities. The AFM can give quantitative information on surface topography down to molecular scales. Acquired, in part, in support of the Advanced High Temperature Engine Materials Technology Program (HITEMP), the AFM had been used previously to detect partial fiber debonding in composite material cross sections. Atomic force microscopy examination of epitaxial SiC film surfaces revealed molecular-scale details of some unwanted surface features. Growth pits propagating from defects in the substrate, and hillocks due, presumably, to existing screw dislocations in the substrates, were

  19. 2D trajectory estimation during free walking using a tiptoe-mounted inertial sensor.

    PubMed

    Sagawa, Koichi; Ohkubo, Kensuke

    2015-07-16

    An estimation method for a two-dimensional walking trajectory during free walking, such as forward walking, side stepping and backward walking, was investigated using a tiptoe-mounted inertial sensor. The horizontal trajectory of the toe-tip is obtained by double integration of toe-tip acceleration during the moving phase in which the sensor is rotated before foot-off or after foot-contact, in addition to the swing phase. Special functions that determine the optimum moving phase as the integral duration in every one step are developed statistically using the gait cycle and the resultant angular velocity of dorsi/planter flexion, pronation/supination and inversion/eversion so that the difference between the estimated trajectory and actual one gives a minimum value during free walking with several cadences. To develop the functions, twenty healthy volunteers participated in free walking experiments in which subjects performed forward walking, side stepping to the right, side stepping to the left, and backward walking at 39 m down a straight corridor with several predetermined cadences. To confirm the effect of the developed functions, five healthy subjects participated in the free walking experiment in which each subject performed free walking with different velocities of normal, fast, and slow based on their own assessment in a square course with 7 m side. The experimentally obtained results of free walking with a combination of forward walking, backward walking, and side stepping indicate that the proposed method produces walking trajectory with high precision compared with the constant threshold method which determines swing phase using the size of the angular velocity. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  1. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    PubMed

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  2. Article mounting and position adjustment stage

    DOEpatents

    Cutburth, R.W.; Silva, L.L.

    1988-05-10

    An improved adjustment and mounting stage of the type used for the detection of laser beams is disclosed. A ring sensor holder has locating pins on a first side thereof which are positioned within a linear keyway in a surrounding housing for permitting reciprocal movement of the ring along the keyway. A rotatable ring gear is positioned within the housing on the other side of the ring from the linear keyway and includes an oval keyway which drives the ring along the linear keyway upon rotation of the gear. Motor-driven single-stage and dual (x, y) stage adjustment systems are disclosed which are of compact construction and include a large laser transmission hole. 6 figs.

  3. Article mounting and position adjustment stage

    DOEpatents

    Cutburth, Ronald W.; Silva, Leonard L.

    1988-01-01

    An improved adjustment and mounting stage of the type used for the detection of laser beams is disclosed. A ring sensor holder has locating pins on a first side thereof which are positioned within a linear keyway in a surrounding housing for permitting reciprocal movement of the ring along the keyway. A rotatable ring gear is positioned within the housing on the other side of the ring from the linear keyway and includes an oval keyway which drives the ring along the linear keyway upon rotation of the gear. Motor-driven single-stage and dual (x, y) stage adjustment systems are disclosed which are of compact construction and include a large laser transmission hole.

  4. Lahars of Mount Pinatubo, Philippines

    USGS Publications Warehouse

    Newhall, Christopher G.; Stauffer, Peter H.; Hendley, James W.

    1997-01-01

    On June 15, 1991, Mount Pinatubo in the Philippines exploded in the second largest volcanic eruption on Earth this century. This eruption deposited more than 1 cubic mile (5 cubic kilometers) of volcanic ash and rock fragments on the volcano's slopes. Within hours, heavy rains began to wash this material down into the surrounding lowlands in giant, fast-moving mudflows called lahars. In the next four rainy seasons, lahars carried about half of the deposits off the volcano, causing even more destruction in the lowlands than the eruption itself.

  5. Method utilizing laser-processing for the growth of epitaxial p-n junctions

    DOEpatents

    Young, R.T.; Narayan, J.; Wood, R.F.

    1979-11-23

    This invention is a new method for the formation of epitaxial p-n junctions in silicon. The method is relatively simple, rapid, and reliable. It produces doped epitaxial layers which are of well-controlled thickness and whose electrical properties are satisfactory. An illustrative form of the method comprises co-depositing a selected dopant and amorphous silicon on a crystalline silicon substrate to form a doped layer of amorphous silicon thereon. This layer then is irradiated with at least one laser pulse to generate a melt front which moves through the layer, into the silicon body to a depth effecting melting of virginal silicon, and back to the surface of the layer. The method may be conducted with dopants (e.g., boron and phosphorus) whose distribution coefficients approximate unity.

  6. Mount St. Helens 30 years later: a landscape reconfigured.

    Treesearch

    Rhonda Mazza

    2010-01-01

    On May 18, 1980, after two months of tremors, Mount St. Helens erupted spectacularly and profoundly changed a vast area surrounding the volcano. The north slope of the mountain catastrophically failed, forming the largest landslide witnessed in modern times. The largest lobe of this debris avalanche raced 14 miles down the Toutle River...

  7. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    High-Tc superconducting (HTS) cuprates are highly anisotropic thus epitaxy along certain crystalline directions is essential to realize high-current-carrying capability at temperatures above 77 K. Hg-based HTS (Hg-HTS) cuprates have the record-high Tc up to 135 K, therefore are of great interest for fundamental research and practical applications. However, growth Of epitaxial Hg-HTS films is extremely difficult in conventional thermal-reaction process since Hg is highly volatile. Motivated by this, we first developed a cation-exchange process for growing epitaxial Hg-HTS films, which involves two steps: selection of precursor matrices with predesigned structure and composition followed by cation-exchange processing. New materials are formed via "atomic surgery" on an existing structure rather than thermal reaction among amorphous oxides in conventional process, thus the structural features of the precursor are inherited by the new material. Using epitaxial Tl-based HTS films as precursor and annealing them in Hg-vapor, epitaxial Hg-HTS films with superior quality have been obtained. This success encouraged us to develop epitaxy on metal tapes for coated conductors and On large-area wafers for electronic devices. For coated conductors, we addressed three critical issues: epitaxy on metal substrates, enhancement of in-field Jcs and scale-up in thickness and length. First, using a fabrication scheme that combines two processes: cation-exchange and fast-temperature-ramping-annealing, epitaxial HgBa2CaCu2O6+delta films were grown on rolling-assisted-biaxially-textured Ni substrates buffered with CeO 2/YSZ/CeO2 for the first time. We fabricated HgBa2CaCu 2O6+delta coated conductors with Tc = 122--124 K and self-field Jc > 1 x 106A/cm2 at 92 K which are record-high for HTS coated conductors. Second, we demonstrated improved in-field J cs via overdoping HgBa2CaCu 2O6+delta films (by means Of charge "overdoped"), heavy-ion-irradiation and substrate engineering. Finally

  8. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  9. Chemical and Mineralogical Characterization of a Hematite-bearing Ridge on Mauna Kea, Hawaii: A Potential Mineralogical Process Analog for the Mount Sharp Hematite Ridge

    NASA Technical Reports Server (NTRS)

    Graff, T. G.; Morris, R. V.; Ming, D. W.; Hamilton, J. C.; Adams, M.; Fraeman, A. A.; Arvidson, R. E.; Catalano, J. G.; Mertzman, S. A.

    2014-01-01

    The Mars Science Laboratory (MSL) rover Curiosity landed in Gale Crater in August 2012 and is currently roving towards the layered central mound known as Mount Sharp [1]. Compact Reconnaissance Imaging Spectrometer for Mars (CRISM) hyperspectral data indicate Mount Sharp contains an 5 km stratigraphic sequence including Fe-Mg smectites, hematite, and hydrated sulfates in the lower layers separated by an unconformity from the overlying anhydrous strata [1,2,3]. Hematite was initially detected in CRISM data to occur in the lower sulfate layers on the north side of the mound [2]. [3] further mapped a distinct hematite detection occurring as part of a 200 m wide ridge that extends 6.5 km NE-SW, approximately parallel with the base of Mount Sharp. It is likely a target for in-situ analyses by Curiosity. We document here the occurrence of a stratum of hematite-bearing breccia that is exposed on the Puu Poliahu cinder cone near the summit of Mauna Kea volcano (Hawaii) (Fig.1). The stratum is more resistant to weathering than surrounding material, giving it the appearance of a ridge. The Mauna Kea hematite ridge is thus arguably a potential terrestrial mineralogical and process analog for the Gale Crater hematite ridge. We are acquiring a variety of chemical and mineralogical data on the Mauna Kea samples, with a focus on the chemical and mineralogical information already available or planned for the Gale hematite ridge.

  10. Epitaxy: Programmable Atom Equivalents Versus Atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Mary X.; Seo, Soyoung E.; Gabrys, Paul A.

    The programmability of DNA makes it an attractive structure-directing ligand for the assembly of nanoparticle superlattices in a manner that mimics many aspects of atomic crystallization. However, the synthesis of multilayer single crystals of defined size remains a challenge. Though previous studies considered lattice mismatch as the major limiting factor for multilayer assembly, thin film growth depends on many interlinked variables. Here, a more comprehensive approach is taken to study fundamental elements, such as the growth temperature and the thermodynamics of interfacial energetics, to achieve epitaxial growth of nanoparticle thin films. Under optimized equilibrium conditions, single crystal, multilayer thin filmsmore » can be synthesized over 500 × 500 μm2 areas on lithographically patterned templates. Importantly, these superlattices follow the same patterns of crystal growth demonstrated in thin film atomic deposition, allowing for these processes to be understood in the context of well-studied atomic epitaxy, and potentially enabling a nanoscale model to study fundamental crystallization processes.« less

  11. Surface mount component jig

    DOEpatents

    Kronberg, James W.

    1990-08-07

    A device for bending and trimming the pins of a dual-inline-package component and the like for surface mounting rather than through mounting to a circuit board comprises, in a first part, in pin cutter astride a holder having a recess for holding the component, a first spring therebetween, and, in a second part, two flat members pivotally interconnected by a hinge and urged to an upward peaked position from a downward peaked position by a second spring. As a downward force is applied to the pin cutter it urges the holder downward, assisted by the first spring and a pair of ridges riding on shoulders of the holder, to carry the component against the upward peaked flat members which guide the pins outwardly. As the holder continues downwardly, the flat members pivot to the downward peaked position bending the pins upwardly against the sides of the holder. When the downward movement is met with sufficient resistance, the ridges of the pin cutter ride over the holder's shoulders to continue downward to cut any excess length of pin.

  12. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  13. Preparation and mounting of adult Drosophila structures in Canada balsam.

    PubMed

    Stern, David L; Sucena, Elio

    2012-03-01

    The Drosophila cuticle carries a rich array of morphological details. Thus, cuticle examination has had a central role in the history of genetics. To prepare fine "museum-quality," permanent slides, it is best to mount specimens in Canada Balsam. It is difficult to give precise recipes for Canada Balsam, because every user seems to prefer a slightly different viscosity. Dilute solutions spread easily and do not dry too rapidly while mounting specimens. The disadvantage is that there is actually less Balsam in a "drop" of the solution, and when dried, it can contract from the sides of the coverslip, sometimes disturbing the specimen. Unfortunately, there is no substitute for experience when using Canada Balsam. This protocol describes a procedure for mounting adult cuticles in Canada Balsam.

  14. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  15. Seismic evidence for a cold serpentinized mantle wedge beneath Mount St Helens

    PubMed Central

    Hansen, S. M.; Schmandt, B.; Levander, A.; Kiser, E.; Vidale, J. E.; Abers, G. A.; Creager, K. C.

    2016-01-01

    Mount St Helens is the most active volcano within the Cascade arc; however, its location is unusual because it lies 50 km west of the main axis of arc volcanism. Subduction zone thermal models indicate that the down-going slab is decoupled from the overriding mantle wedge beneath the forearc, resulting in a cold mantle wedge that is unlikely to generate melt. Consequently, the forearc location of Mount St Helens raises questions regarding the extent of the cold mantle wedge and the source region of melts that are responsible for volcanism. Here using, high-resolution active-source seismic data, we show that Mount St Helens sits atop a sharp lateral boundary in Moho reflectivity. Weak-to-absent PmP reflections to the west are attributed to serpentinite in the mantle-wedge, which requires a cold hydrated mantle wedge beneath Mount St Helens (<∼700 °C). These results suggest that the melt source region lies east towards Mount Adams. PMID:27802263

  16. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  17. General view looking down the approximate centerline of the expansion ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    General view looking down the approximate centerline of the expansion nozzle of a Space Shuttle Main Engine (SSME) mounted on a SSME Engine Handler in the SSME Processing Facility at Kennedy Space Center. This view shows the 1080 cooling tubes used to regeneratively cool the Nozzle and Combustion Chamber by circulating relatively low temperature fuel through the tubes and manifolds before being ignited in the Main Combustion Chamber. - Space Transportation System, Space Shuttle Main Engine, Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  18. Top-down modulation of visual and auditory cortical processing in aging.

    PubMed

    Guerreiro, Maria J S; Eck, Judith; Moerel, Michelle; Evers, Elisabeth A T; Van Gerven, Pascal W M

    2015-02-01

    Age-related cognitive decline has been accounted for by an age-related deficit in top-down attentional modulation of sensory cortical processing. In light of recent behavioral findings showing that age-related differences in selective attention are modality dependent, our goal was to investigate the role of sensory modality in age-related differences in top-down modulation of sensory cortical processing. This question was addressed by testing younger and older individuals in several memory tasks while undergoing fMRI. Throughout these tasks, perceptual features were kept constant while attentional instructions were varied, allowing us to devise all combinations of relevant and irrelevant, visual and auditory information. We found no top-down modulation of auditory sensory cortical processing in either age group. In contrast, we found top-down modulation of visual cortical processing in both age groups, and this effect did not differ between age groups. That is, older adults enhanced cortical processing of relevant visual information and suppressed cortical processing of visual distractors during auditory attention to the same extent as younger adults. The present results indicate that older adults are capable of suppressing irrelevant visual information in the context of cross-modal auditory attention, and thereby challenge the view that age-related attentional and cognitive decline is due to a general deficits in the ability to suppress irrelevant information. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Neural Correlates of Top-Down Letter Processing

    ERIC Educational Resources Information Center

    Liu, Jiangang; Li, Jun; Zhang, Hongchuan; Rieth, Cory A.; Huber, David E.; Li, Wu; Lee, Kang; Tian, Jie

    2010-01-01

    This fMRI study investigated top-down letter processing with an illusory letter detection task. Participants responded whether one of a number of different possible letters was present in a very noisy image. After initial training that became increasingly difficult, they continued to detect letters even though the images consisted of pure noise,…

  20. Perceptual expertise: can sensorimotor experience change holistic processing and left-side bias?

    PubMed

    Tso, Ricky Van-yip; Au, Terry Kit-fong; Hsiao, Janet Hui-wen

    2014-09-01

    Holistic processing and left-side bias are both behavioral markers of expert face recognition. By contrast, expert recognition of characters in Chinese orthography involves left-side bias but reduced holistic processing, although faces and Chinese characters share many visual properties. Here, we examined whether this reduction in holistic processing of Chinese characters can be better explained by writing experience than by reading experience. Compared with Chinese nonreaders, Chinese readers who had limited writing experience showed increased holistic processing, whereas Chinese readers who could write characters fluently showed reduced holistic processing. This result suggests that writing and sensorimotor experience can modulate holistic-processing effects and that the reduced holistic processing observed in expert Chinese readers may depend mostly on writing experience. However, both expert writers and writers with limited experience showed similarly stronger left-side bias than novices did in processing mirror-symmetric Chinese characters; left-side bias may therefore be a robust expertise marker for object recognition that is uninfluenced by sensorimotor experience. © The Author(s) 2014.

  1. Analysis of a spaceborne mirror on a main plate with isostatic mounts

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Yen; Lien, Chun-Chieh; Huang, Po-Hsuan; Chang, Shenq-Tsong; Huang, Ting-Ming

    2014-09-01

    The paper is aimed at obtaining the deformation results and optical aberration configurations of a spaceborne mirror made of ZERODUR® glass on a main plate with three isostatic mounts for a space Cassegrain telescope. On the rear side of the main plate four screws will be locked to fix the focal plane assembly. The locking modes for the four screws will be simulated as push and pull motions in the Z axis for simplification. The finite element analysis and Zernike polynomial fitting are applied to the whole integrated optomechanical analysis process. Under the analysis, three isostatic mounts are bonded to the neutral plane of the mirror. The deformation results and optical aberration configurations under six types of push and pull motions as well as self-weight loading have been obtained. In addition, the comparison between the results under push and pull motions with 0.01 mm and 0.1 mm displacements in Z axis will be attained.

  2. Two-sided friction stir riveting by extrusion: A process for joining dissimilar materials

    DOE PAGES

    Evans, William T.; Cox, Chase D.; Strauss, Alvin M.; ...

    2016-06-25

    Two-sided friction stir riveting (FSR) by extrusion is an innovative process developed to rapidly, efficiently, and securely join dissimilar materials. This process extends a previously developed one sided friction stir extrusion process to create a strong and robust joint by producing a continuous, rivet-like structure through a preformed hole in one of the materials with a simultaneous, two-sided friction stir spot weld. The two-sided FSR by extrusion process securely joins the dissimilar materials together and effectively locks them in place without the use of any separate materials or fasteners. Lastly, in this paper we demonstrate the process by joining aluminummore » to steel and illustrate its potential application to automotive and aerospace manufacturing processes.« less

  3. A kinetic Monte Carlo simulation method of van der Waals epitaxy for atomistic nucleation-growth processes of transition metal dichalcogenides.

    PubMed

    Nie, Yifan; Liang, Chaoping; Cha, Pil-Ryung; Colombo, Luigi; Wallace, Robert M; Cho, Kyeongjae

    2017-06-07

    Controlled growth of crystalline solids is critical for device applications, and atomistic modeling methods have been developed for bulk crystalline solids. Kinetic Monte Carlo (KMC) simulation method provides detailed atomic scale processes during a solid growth over realistic time scales, but its application to the growth modeling of van der Waals (vdW) heterostructures has not yet been developed. Specifically, the growth of single-layered transition metal dichalcogenides (TMDs) is currently facing tremendous challenges, and a detailed understanding based on KMC simulations would provide critical guidance to enable controlled growth of vdW heterostructures. In this work, a KMC simulation method is developed for the growth modeling on the vdW epitaxy of TMDs. The KMC method has introduced full material parameters for TMDs in bottom-up synthesis: metal and chalcogen adsorption/desorption/diffusion on substrate and grown TMD surface, TMD stacking sequence, chalcogen/metal ratio, flake edge diffusion and vacancy diffusion. The KMC processes result in multiple kinetic behaviors associated with various growth behaviors observed in experiments. Different phenomena observed during vdW epitaxy process are analysed in terms of complex competitions among multiple kinetic processes. The KMC method is used in the investigation and prediction of growth mechanisms, which provide qualitative suggestions to guide experimental study.

  4. The distributed neural system for top-down letter processing: an fMRI study

    NASA Astrophysics Data System (ADS)

    Liu, Jiangang; Feng, Lu; Li, Ling; Tian, Jie

    2011-03-01

    This fMRI study used Psychophysiological interaction (PPI) to investigate top-down letter processing with an illusory letter detection task. After an initial training that became increasingly difficult, participant was instructed to detect a letter from pure noise images where there was actually no letter. Such experimental paradigm allowed for isolating top-down components of letter processing and minimizing the influence of bottom-up perceptual input. A distributed cortical network of top-down letter processing was identified by analyzing the functional connectivity patterns of letter-preferential area (LA) within the left fusiform gyrus. Such network extends from the visual cortex to high level cognitive cortexes, including the left middle frontal gyrus, left medial frontal gyrus, left superior parietal gyrus, bilateral precuneus, and left inferior occipital gyrus. These findings suggest that top-down letter processing contains not only regions for processing of letter phonology and appearance, but also those involved in internal information generation and maintenance, and attention and memory processing.

  5. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  6. Managing Chemotherapy Side Effects: Memory Changes

    MedlinePlus

    ... C ancer I nstitute Managing Chemotherapy Side Effects Memory Changes What is causing these changes? Your doctor ... thinking or remembering things Managing Chemotherapy Side Effects: Memory Changes Get help to remember things. Write down ...

  7. Top-Down Processes Override Bottom-Up Interference in the Flanker Task.

    PubMed

    Avital-Cohen, Rotem; Tsal, Yehoshua

    2016-05-01

    Distractor interference in the flanker task is commonly viewed as an outcome of unintentional, involuntary processing, a by-product of attention-controlled processing of the target. An important implication of this notion is that the distractors are not subjected to top-down processing of their own. We tested this idea in a modified version of the flanker task, in which letter targets (S or O) were sometimes flanked by ambiguous distractors (a character that could be S or 5 or one that could be O or 0). Distractor interference was dependent on participants' expectations regarding the category of the distractors (i.e., letters or digits). For example, the O-0 distractor interfered with responding to S when it was perceived as a letter, but not when it was perceived as a digit. Hence, participants applied top-down processing to the peripheral distractors independently of the top-down processing applied to the targets. The fact that to-be-ignored peripheral distractors were processed to such a high level raises questions regarding the fundamental differences between target and distractor processing, and the quality of attentional filtering. © The Author(s) 2016.

  8. Tunable Emission Wavelength Stacked InAs/GaAs Quantum Dots by Chemical Beam Epitaxy for Optical Coherence Tomography

    PubMed Central

    Ilahi, Bouraoui; Zribi, Jihene; Guillotte, Maxime; Arès, Richard; Aimez, Vincent; Morris, Denis

    2016-01-01

    We report on Chemical Beam Epitaxy (CBE) growth of wavelength tunable InAs/GaAs quantum dots (QD) based superluminescent diode’s active layer suitable for Optical Coherence Tomography (OCT). The In-flush technique has been employed to fabricate QD with controllable heights, from 5 nm down to 2 nm, allowing a tunable emission band over 160 nm. The emission wavelength blueshift has been ensured by reducing both dots’ height and composition. A structure containing four vertically stacked height-engineered QDs have been fabricated, showing a room temperature broad emission band centered at 1.1 µm. The buried QD layers remain insensitive to the In-flush process of the subsequent layers, testifying the reliability of the process for broadband light sources required for high axial resolution OCT imaging. PMID:28773633

  9. High-power broad-area diode lasers optimized for fiber laser pumping

    NASA Astrophysics Data System (ADS)

    Gilly, J.; Friedmann, P.; Kissel, H.; Biesenbach, J.; Kelemen, M. T.

    2012-03-01

    In diode laser applications for fibre laser pumping and materials processing high brightness becomes more and more important. At the moment fibre coupled modules benefit from continuous improvement of Broad-Area (BA) lasers on the chip level regarding output power, efficiency and far-field characteristics. To achieve high brightness not only the output power must be increased, but also the far field angles have to be maintained or even decreased because brightness is proportional to output power divided by beam quality. Typically fast axis far fields show mostly a current independent behaviour, for broad-area lasers far-fields in the slow axis suffer from a strong current and temperature dependence, limiting the brightness. These limitations can be overcomed by carefully optimizing epitaxy-design and processing and also thermal management of the mounted device. The easiest way to achieve a good thermal management of BA-Lasers is to increase the resonator length while simultaneously decreasing internal losses of the epitaxy structure. To fulfill these issues, we have realized MBE grown InGaAs/AlGaAs broad-area with resonator lengths between 4mm and 6mm emitting at 976nm. To evaluate the brightness of these broad-area lasers single emitters have been mounted p-side down. Near- and far-fields have been carefully investigated. For a 4mm long broad-area laser with around 100μm emission width a beam parameter product of less than 3.5 mm x mrad has been achieved at 10W with a slope efficiency of more than 1.1W/A and a maximum wall-plug efficiency of more than 67%. For a device with 6mm resonator length we have reached a BPP of less than 3.5mm x mrad at 14W in slow axis direction which results in a brightness around 130MW/cm2 sr, which is to our knowledge the highest brightness reported so far for BA-lasers.

  10. Event-Related Potentials of Bottom-Up and Top-Down Processing of Emotional Faces

    PubMed Central

    Moradi, Afsane; Mehrinejad, Seyed Abolghasem; Ghadiri, Mohammad; Rezaei, Farzin

    2017-01-01

    Introduction: Emotional stimulus is processed automatically in a bottom-up way or can be processed voluntarily in a top-down way. Imaging studies have indicated that bottom-up and top-down processing are mediated through different neural systems. However, temporal differentiation of top-down versus bottom-up processing of facial emotional expressions has remained to be clarified. The present study aimed to explore the time course of these processes as indexed by the emotion-specific P100 and late positive potential (LPP) event-related potential (ERP) components in a group of healthy women. Methods: Fourteen female students of Alzahra University, Tehran, Iran aged 18–30 years, voluntarily participated in the study. The subjects completed 2 overt and covert emotional tasks during ERP acquisition. Results: The results indicated that fearful expressions significantly produced greater P100 amplitude compared to other expressions. Moreover, the P100 findings showed an interaction between emotion and processing conditions. Further analysis indicated that within the overt condition, fearful expressions elicited more P100 amplitude compared to other emotional expressions. Also, overt conditions created significantly more LPP latencies and amplitudes compared to covert conditions. Conclusion: Based on the results, early perceptual processing of fearful face expressions is enhanced in top-down way compared to bottom-up way. It also suggests that P100 may reflect an attentional bias toward fearful emotions. However, no such differentiation was observed within later processing stages of face expressions, as indexed by the ERP LPP component, in a top-down versus bottom-up way. Overall, this study provides a basis for further exploring of bottom-up and top-down processes underlying emotion and may be typically helpful for investigating the temporal characteristics associated with impaired emotional processing in psychiatric disorders. PMID:28446947

  11. General view of a Space Shuttle Main Engine (SSME) mounted ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    General view of a Space Shuttle Main Engine (SSME) mounted on an SSME engine handler, taken in the SSME Processing Facility at Kennedy Space Center. The most prominent features of the engine assembly in this view are the Low-Pressure Oxidizer Turbopump Discharge Duct looping around the right side of the engine assembly then turning in and connecting to the High-Pressure Oxidizer Turbopump. The sphere in the approximate center of the assembly is the POGO System Accumulator, the Engine Controller is located on the bottom and slightly left of the center of the Engine Assembly in this view. - Space Transportation System, Space Shuttle Main Engine, Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  12. Mounting and Alignment of IXO Mirror Segments

    NASA Technical Reports Server (NTRS)

    Chan, Kai-Wing; Zhang, William; Evans, Tyler; McClelland, Ryan; Hong, Melinda; Mazzarella, James; Saha, Timo; Jalota, Lalit; Olsen, Lawrence; Byron, Glenn

    2010-01-01

    A suspension-mounting scheme is developed for the IXO (International X-ray Observatory) mirror segments in which the figure of the mirror segment is preserved in each stage of mounting. The mirror, first fixed on a thermally compatible strongback, is subsequently transported, aligned and transferred onto its mirror housing. In this paper, we shall outline the requirement, approaches, and recent progress of the suspension mount processes.

  13. First results for custom-built low-temperature (4.2 K) scanning tunneling microscope/molecular beam epitaxy and pulsed laser epitaxy system designed for spin-polarized measurements

    NASA Astrophysics Data System (ADS)

    Foley, Andrew; Alam, Khan; Lin, Wenzhi; Wang, Kangkang; Chinchore, Abhijit; Corbett, Joseph; Savage, Alan; Chen, Tianjiao; Shi, Meng; Pak, Jeongihm; Smith, Arthur

    2014-03-01

    A custom low-temperature (4.2 K) scanning tunneling microscope system has been developed which is combined directly with a custom molecular beam epitaxy facility (and also including pulsed laser epitaxy) for the purpose of studying surface nanomagnetism of complex spintronic materials down to the atomic scale. For purposes of carrying out spin-polarized STM measurements, the microscope is built into a split-coil, 4.5 Tesla superconducting magnet system where the magnetic field can be applied normal to the sample surface; since, as a result, the microscope does not include eddy current damping, vibration isolation is achieved using a unique combination of two stages of pneumatic isolators along with an acoustical noise shield, in addition to the use of a highly stable as well as modular `Pan'-style STM design with a high Q factor. First 4.2 K results reveal, with clear atomic resolution, various reconstructions on wurtzite GaN c-plane surfaces grown by MBE, including the c(6x12) on N-polar GaN(0001). Details of the system design and functionality will be presented.

  14. Mounting Systems for Structural Members, Fastening Assemblies Thereof, and Vibration Isolation Systems Including the Same

    NASA Technical Reports Server (NTRS)

    Young, Ken (Inventor); Hindle, Timothy (Inventor); Barber, Tim Daniel (Inventor)

    2016-01-01

    Mounting systems for structural members, fastening assemblies thereof, and vibration isolation systems including the same are provided. Mounting systems comprise a pair of mounting brackets, each clamped against a fastening assembly forming a mounting assembly. Fastening assemblies comprise a spherical rod end comprising a spherical member having a through opening and an integrally threaded shaft, first and second seating members on opposite sides of the spherical member and each having a through opening that is substantially coaxial with the spherical member through opening, and a partially threaded fastener that threadably engages each mounting bracket forming the mounting assembly. Structural members have axial end portions, each releasably coupled to a mounting bracket by the integrally threaded shaft. Axial end portions are threaded in opposite directions for permitting structural member rotation to adjust a length thereof to a substantially zero strain position. Structural members may be vibration isolator struts in vibration isolation systems.

  15. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  16. PV module mounting method and mounting assembly

    DOEpatents

    Lenox, Carl J.S.; Johnson, Kurt M.

    2013-04-23

    A method for mounting PV modules to a deck includes selecting PV module layout pattern so that adjacent PV module edges are spaced apart. PV mounting and support assemblies are secured to the deck according to the layout pattern using fasteners extending into the deck. The PV modules are placed on the PV mounting and support assemblies. Retaining elements are located over and secured against the upper peripheral edge surfaces of the PV modules so to secure them to the deck with the peripheral edges of the PV modules spaced apart from the deck. In some examples a PV module mounting assembly, for use on a shingled deck, comprises flashing, a base mountable on the flashing, a deck-penetrating fastener engageable with the base and securable to the deck so to secure the flashing and the base to the shingled deck, and PV module mounting hardware securable to the base.

  17. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  18. The processes of formation and epitaxial alignment of SrTiO3 thin films prepared by metallo-organic decomposition

    NASA Astrophysics Data System (ADS)

    Braunstein, G.; Paz-Pujalt, G. R.; Mason, M. G.; Blanton, T.; Barnes, C. L.; Margevich, D.

    1993-01-01

    The processes of formation and crystallization of thin films of SrTiO3 prepared by the method of metallo-organic decomposition have been studied with particular emphasis on the relationship between the thermal decomposition of the metallo-organic precursors and the eventual epitaxial alignment of the crystallized films. The films are deposited by spin coating onto single-crystalline silicon and SrTiO3 substrates, pyrolyzed on a hot plate at temperatures ranging from 200 to 450 °C, and subsequently heat treated in a quartz tube furnace at temperatures ranging from 300 to 1200 °C. Heat treatment at temperatures up to 450-500 °C results in the evaporation of solvents and other organic addenda, thermal decomposition of the metallo-organic (primarily metal-carboxylates) precursors, and formation of a carbonate species. This carbonate appears to be an intermediate phase in the reaction of SrCO3 and TiO2 to form SrTiO3. Relevant to this work is the fact that the carbonate species exhibits diffraction lines, indicating the formation of grains that can serve as seeds for the nucleation and growth of randomly oriented SrTiO3 crystallites, thereby leading to a polycrystalline film. Deposition on silicon substrates indeed results in the formation of polycrystalline SrTiO3. However, when the precursor solution is deposited on single-crystalline SrTiO3 substrates, the crystallization process involves a competition between two mechanisms: the random nucleation and growth of crystallites just described, and layer-by-layer solid phase epitaxy. Epitaxial alignment on SrTiO3 substrates can be achieved when the samples are heat treated at temperatures of 1100-1200 °C or at temperatures as low as 600-650 °C when the substrate is heated to about 1100 °C before spin coating.

  19. Method for double-sided processing of thin film transistors

    DOEpatents

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2008-04-08

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  20. Functional Epitaxial Oxide Devices

    DTIC Science & Technology

    2010-04-12

    complex oxides , epitaxial growth, antennas, varactors 16. SECURITY CLASSIFICATION OF: REPORT U b. ABSTRACT u c. THIS PAGE u 17. LIMITATION OF...Technical Report DATES COVERED (From - To) 17-06-2008-31-12-2009 4. TITLE AND SUBTITLE Functional Epitaxial Oxide Devices 5a. CONTRACT NUMBER NA...This research effort addresses the need for high performance radio frequency (RF) components, specifically varactors and miniaturized, high gain

  1. Face Processing and Facial Emotion Recognition in Adults with Down Syndrome

    ERIC Educational Resources Information Center

    Barisnikov, Koviljka; Hippolyte, Loyse; Van der Linden, Martial

    2008-01-01

    Face processing and facial expression recognition was investigated in 17 adults with Down syndrome, and results were compared with those of a child control group matched for receptive vocabulary. On the tasks involving faces without emotional content, the adults with Down syndrome performed significantly worse than did the controls. However, their…

  2. Adjustable mounting device for high-volume production of beam-shaping systems for high-power diode lasers

    NASA Astrophysics Data System (ADS)

    Haag, Sebastian; Bernhardt, Henning; Rübenach, Olaf; Haverkamp, Tobias; Müller, Tobias; Zontar, Daniel; Brecher, Christian

    2015-02-01

    In many applications for high-power diode lasers, the production of beam-shaping and homogenizing optical systems experience rising volumes and dynamical market demands. The automation of assembly processes on flexible and reconfigurable machines can contribute to a more responsive and scalable production. The paper presents a flexible mounting device designed for the challenging assembly of side-tab based optical systems. It provides design elements for precisely referencing and fixating two optical elements in a well-defined geometric relation. Side tabs are presented to the machine allowing the application of glue and a rotating mechanism allows the attachment to the optical elements. The device can be adjusted to fit different form factors and it can be used in high-volume assembly machines. The paper shows the utilization of the device for a collimation module consisting of a fast-axis and a slow-axis collimation lens. Results regarding the repeatability and process capability of bonding side tab assemblies as well as estimates from 3D simulation for overall performance indicators achieved such as cycle time and throughput will be discussed.

  3. Preserved feedforward but impaired top-down processes in the vegetative state.

    PubMed

    Boly, Melanie; Garrido, Marta Isabel; Gosseries, Olivia; Bruno, Marie-Aurélie; Boveroux, Pierre; Schnakers, Caroline; Massimini, Marcello; Litvak, Vladimir; Laureys, Steven; Friston, Karl

    2011-05-13

    Frontoparietal cortex is involved in the explicit processing (awareness) of stimuli. Frontoparietal activation has also been found in studies of subliminal stimulus processing. We hypothesized that an impairment of top-down processes, involved in recurrent neuronal message-passing and the generation of long-latency electrophysiological responses, might provide a more reliable correlate of consciousness in severely brain-damaged patients, than frontoparietal responses. We measured effective connectivity during a mismatch negativity paradigm and found that the only significant difference between patients in a vegetative state and controls was an impairment of backward connectivity from frontal to temporal cortices. This result emphasizes the importance of top-down projections in recurrent processing that involve high-order associative cortices for conscious perception.

  4. Planar view of northwest side of coffee processing structure No. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Planar view of northwest side of coffee processing structure No. 1, view towards the southeast - Finca Silem, Coffee Processing Structure No. 1, Highway 139, Kilometer 9.3, Maraguez, Ponce Municipio, PR

  5. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  6. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  7. Room-temperature Domain-epitaxy of Copper Iodide Thin Films for Transparent CuI/ZnO Heterojunctions with High Rectification Ratios Larger than 109

    NASA Astrophysics Data System (ADS)

    Yang, Chang; Kneiß, Max; Schein, Friedrich-Leonhard; Lorenz, Michael; Grundmann, Marius

    2016-02-01

    CuI is a p-type transparent conductive semiconductor with unique optoelectronic properties, including wide band gap (3.1 eV), high hole mobility (>40 cm2 V-1 s-1 in bulk), and large room-temperature exciton binding energy (62 meV). The difficulty in epitaxy of CuI is the main obstacle for its application in advanced solid-state electronic devices. Herein, room-temperature heteroepitaxial growth of CuI on various substrates with well-defined in-plane epitaxial relations is realized by reactive sputtering technique. In such heteroepitaxial growth the formation of rotation domains is observed and hereby systematically investigated in accordance with existing theoretical study of domain-epitaxy. The controllable epitaxy of CuI thin films allows for the combination of p-type CuI with suitable n-type semiconductors with the purpose to fabricate epitaxial thin film heterojunctions. Such heterostructures have superior properties to structures without or with weakly ordered in-plane orientation. The obtained epitaxial thin film heterojunction of p-CuI(111)/n-ZnO(00.1) exhibits a high rectification up to 2 × 109 (±2 V), a 100-fold improvement compared to diodes with disordered interfaces. Also a low saturation current density down to 5 × 10-9 Acm-2 is formed. These results prove the great potential of epitaxial CuI as a promising p-type optoelectronic material.

  8. Aircraft Wing for Over-The-Wing Mounting of Engine Nacelle

    NASA Technical Reports Server (NTRS)

    Hahn, Andrew S. (Inventor); Kinney, David J. (Inventor)

    2011-01-01

    An aircraft wing has an inboard section and an outboard section. The inboard section is attached (i) on one side thereof to the aircraft's fuselage, and (ii) on an opposing side thereof to an inboard side of a turbofan engine nacelle in an over-the-wing mounting position. The outboard section's leading edge has a sweep of at least 20 degrees. The inboard section's leading edge has a sweep between -15 and +15 degrees, and extends from the fuselage to an attachment position on the nacelle that is forward of an index position defined as an imaginary intersection between the sweep of the outboard section's leading edge and the inboard side of the nacelle. In an alternate embodiment, the turbofan engine nacelle is replaced with an open rotor engine nacelle.

  9. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  10. Reported Sensory Processing of Children with Down Syndrome

    ERIC Educational Resources Information Center

    Bruni, Maryanne; Cameron, Debra; Dua, Shelly; Noy, Sarah

    2010-01-01

    Investigators have identified delays and differences in cognitive, language, motor, and sensory development in children with Down syndrome (DS). The purpose of this study was to determine the parent-reported frequency of sensory processing issues in children with DS aged 3-10 years, and the parent-reported functional impact of those sensory…

  11. 1. PERSPECTIVE VIEW OF MAIN AND SIDE ELEVATION, SHOWING EGYPTIAN ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    1. PERSPECTIVE VIEW OF MAIN AND SIDE ELEVATION, SHOWING EGYPTIAN REVIVAL TOMB SITUATED WITHIN SURROUNDING GRAVES - Mount Pleasant Cemetery, George Opdyke Tomb, 375 Broadway Street, Newark, Essex County, NJ

  12. General view of a Space Shuttle Main Engine (SSME) mounted ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    General view of a Space Shuttle Main Engine (SSME) mounted on an SSME engine handler, taken in the SSME Processing Facility at Kennedy Space Center. The most prominent features of the engine assembly in this view are the Low-Pressure Fuel Turbopump Discharge Duct looping around the right side and underneath the assembly, the High-Pressure Fuel Turbopump located on the lower left portion of the assembly, the Engine Controller and Main Fuel Valve Hydraulic Actuator located on the upper portion of the assembly and the Low-Pressure Oxidizer Turbopump Discharge Duct at the top of the engine assembly in this view. - Space Transportation System, Space Shuttle Main Engine, Lyndon B. Johnson Space Center, 2101 NASA Parkway, Houston, Harris County, TX

  13. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  14. RNAi-mediated knock-down of Dab and Numb attenuate Aβ levels via γ-secretase mediated APP processing

    PubMed Central

    2012-01-01

    Amyloid-β-protein (Aβ), the key component of senile plaques in Alzheimer's disease (AD) brain, is produced from amyloid precursor protein (APP) by cleavage of β-secretase and then γ-secretase. APP adaptor proteins with phosphotyrosine-binding (PTB) domains, including Dab (gene: DAB) and Numb (gene: NUMB), can bind to and interact with the conserved YENPTY-motif in the APP C-terminus. Here we describe, for the first time, the effects of RNAi knock-down of Dab and Numb expression on APP processing and Aβ production. RNAi knock-down of Dab and Numb in H4 human neuroglioma cells stably transfected to express either FL-APP (H4-FL-APP cells) or APP-C99 (H4-APP-C99 cells) increased levels of APP-C-terminal fragments (APP-CTFs) and lowered Aβ levels in both cell lines by inhibiting γ-secretase cleavage of APP. Finally, RNAi knock-down of APP also reduced levels of Numb in H4-APP cells. These findings suggest that pharmacologically blocking interaction of APP with Dab and Numb may provide novel therapeutic strategies of AD. The notion of attenuating γ-secretase cleavage of APP via the APP adaptor proteins, Dab and Numb, is particularly attractive with regard to therapeutic potential, given that side effects of γ-secretase inhibition owing to impaired proteolysis of other γ-secretase substrates, e.g. Notch, might be avoided. PMID:23211096

  15. RNAi-mediated knock-down of Dab and Numb attenuate Aβ levels via γ-secretase mediated APP processing.

    PubMed

    Xie, Zhongcong; Dong, Yuanlin; Maeda, Uta; Xia, Weiming; Tanzi, Rudolph E

    2012-03-22

    Amyloid-β-protein (Aβ), the key component of senile plaques in Alzheimer's disease (AD) brain, is produced from amyloid precursor protein (APP) by cleavage of β-secretase and then γ-secretase. APP adaptor proteins with phosphotyrosine-binding (PTB) domains, including Dab (gene: DAB) and Numb (gene: NUMB), can bind to and interact with the conserved YENPTY-motif in the APP C-terminus. Here we describe, for the first time, the effects of RNAi knock-down of Dab and Numb expression on APP processing and Aβ production. RNAi knock-down of Dab and Numb in H4 human neuroglioma cells stably transfected to express either FL-APP (H4-FL-APP cells) or APP-C99 (H4-APP-C99 cells) increased levels of APP-C-terminal fragments (APP-CTFs) and lowered Aβ levels in both cell lines by inhibiting γ-secretase cleavage of APP. Finally, RNAi knock-down of APP also reduced levels of Numb in H4-APP cells. These findings suggest that pharmacologically blocking interaction of APP with Dab and Numb may provide novel therapeutic strategies of AD. The notion of attenuating γ-secretase cleavage of APP via the APP adaptor proteins, Dab and Numb, is particularly attractive with regard to therapeutic potential, given that side effects of γ-secretase inhibition owing to impaired proteolysis of other γ-secretase substrates, e.g. Notch, might be avoided.

  16. Mount Ararat, Turkey, Perspective with Landsat Image Overlay

    NASA Technical Reports Server (NTRS)

    2004-01-01

    This perspective view shows Mount Ararat in easternmost Turkey, which has been the site of several searches for the remains of Noah's Ark. The main peak, known as Great Ararat, is the tallest peak in Turkey, rising to 5165 meters (16,945 feet). This southerly, near horizontal view additionally shows the distinctly conically shaped peak known as 'Little Ararat' on the left. Both peaks are volcanoes that are geologically young, but activity during historic times is uncertain.

    This image was generated from a Landsat satellite image draped over an elevation model produced by the Shuttle Radar Topography Mission (SRTM). The view uses a 1.25-times vertical exaggeration to enhance topographic expression. Natural colors of the scene are enhanced by image processing, inclusion of some infrared reflectance (as green) to highlight the vegetation pattern, and inclusion of shading of the elevation model to further highlight the topographic features.

    Volcanoes pose hazards for people, the most obvious being the threat of eruption. But other hazards are associated with volcanoes too. In 1840 an earthquake shook the Mount Ararat region, causing an unstable part of mountain's north slope to tumble into and destroy a village. Visualizations of satellite imagery when combined with elevation models can be used to reveal such hazards leading to disaster prevention through improved land use planning.

    But the hazards of volcanoes are balanced in part by the benefits they provide. Over geologic time volcanic materials break down to form fertile soils. Cultivation of these soils has fostered and sustained civilizations, as has occurred in the Mount Ararat region. Likewise, tall volcanic peaks often catch precipitation, providing a water supply to those civilizations. Mount Ararat hosts an icefield and set of glaciers, as seen here in this late summer scene, that are part of this beneficial natural process

    Elevation data used in this image was acquired by the Shuttle Radar

  17. "Split Cast Mounting: Review and New Technique".

    PubMed

    Gundawar, S M; Pande, Neelam A; Jaiswal, Priti; Radke, U M

    2014-12-01

    For the fabrication of a prosthesis, the Prosthodontist meticulously performs all the steps. The laboratory technician then make every effort/strives to perform the remaining lab procedures. However when the processed dentures are remounted on the articulator, some changes are seen. These changes may be divided into two categories: Pre-insertion and post-insertion changes, which deal with the physical properties of the materials involved (Parker, J Prosthet Dent 31:335-342, 1974). Split cast mounting is the method of mounting casts on the articulator. It is essentially a maxillary cast constructed in two parts with a horizontal division. The procedure allows for the verification of the accuracy of the initial mounting and the ease of removal and replacement of the cast. This provides a precise means of correcting the changes in occlusion occurring as a result of the processing technique (Nogueira et al., J Prosthet Dent 91:386-388, 2004). Instability of the split mounting has always been a problem to the Prosthodontist thereby limiting its use. There are various materials mentioned in the literature. The new technique by using Dowel pins and twill thread is very easy, cheaper and simple way to stabilize the split mounting. It is useful and easy in day to day laboratory procedures. The article presents different methods of split cast mounting and the new procedure using easily available materials in prosthetic laboratory.

  18. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  19. A Discussion of Zero Spring Rate Mechanisms Used for the Active Isolation Mount Experiment

    NASA Technical Reports Server (NTRS)

    Teter, John E., Jr.

    1999-01-01

    In the summer of 1995 the Structural Dynamics Branch at NASA Langley Research Center set out to conceive a small, lightweight, low frequency isolation mount that could be used for spaceflight experiments. The Engineering Design Branch undertook the task of developing the isolation mount. This report describes the engineering process that led to three phases of a study entitled "Active Isolation Mounts" (AIM). A zero spring rate mechanism was used to achieve low fundamental frequencies for a payloads in the 1 to 10 pound range. It worked by balancing both a positive and a negative stiffness so that the net result was a small positive stiffness. The study demonstrated devices that could reduce the initial corner frequency by a factor of six for brief periods and a factor of two for extended periods. The designs were relatively simple and minimized weight, volume, and power. They could be scaled down and they were made of spaceflight compatible materials. All designs offered the ability to continuously vary the fundamental frequency. Yet, the goal of reducing the frequency by an order of magnitude was not achieved because the systems were too unstable at low frequencies. There was a trade between performance and stability.

  20. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  1. Epitaxial Electrodeposition of Methylammonium Lead Iodide Perovskites

    DOE PAGES

    Koza, Jakub A.; Hill, James C.; Demster, Ashley C.; ...

    2015-12-16

    Here, an electrochemical/chemical route is introduced to deposit both textured and epitaxial films of methylammonium lead iodide (MAPbI 3) perovskites. The perovskite films are produced by chemical conversion of lead dioxide films that have been electrodeposited as either textured or epitaxial films onto [111]-textured Au and [100] and [111] single-crystal Au substrates. The epitaxial relationships for the MAPbI 3 films are MAPbI 3(001)[010]∥PbO 2(100)<001> and MAPbI 3(110)[111]∥PbO 2(100)<001> regardless of the Au substrate orientation, because the in-plane order of the converted film is controlled by the epitaxial PbO 2 precursor film. The textured and epitaxial MAPbI 3 films both havemore » trap densities lower than and photoluminescence intensities higher than those of polycrystalline films produced by spin coating.« less

  2. Decoding of top-down cognitive processing for SSVEP-controlled BMI

    PubMed Central

    Min, Byoung-Kyong; Dähne, Sven; Ahn, Min-Hee; Noh, Yung-Kyun; Müller, Klaus-Robert

    2016-01-01

    We present a fast and accurate non-invasive brain-machine interface (BMI) based on demodulating steady-state visual evoked potentials (SSVEPs) in electroencephalography (EEG). Our study reports an SSVEP-BMI that, for the first time, decodes primarily based on top-down and not bottom-up visual information processing. The experimental setup presents a grid-shaped flickering line array that the participants observe while intentionally attending to a subset of flickering lines representing the shape of a letter. While the flickering pixels stimulate the participant’s visual cortex uniformly with equal probability, the participant’s intention groups the strokes and thus perceives a ‘letter Gestalt’. We observed decoding accuracy of 35.81% (up to 65.83%) with a regularized linear discriminant analysis; on average 2.05-fold, and up to 3.77-fold greater than chance levels in multi-class classification. Compared to the EEG signals, an electrooculogram (EOG) did not significantly contribute to decoding accuracies. Further analysis reveals that the top-down SSVEP paradigm shows the most focalised activation pattern around occipital visual areas; Granger causality analysis consistently revealed prefrontal top-down control over early visual processing. Taken together, the present paradigm provides the first neurophysiological evidence for the top-down SSVEP BMI paradigm, which potentially enables multi-class intentional control of EEG-BMIs without using gaze-shifting. PMID:27808125

  3. Decoding of top-down cognitive processing for SSVEP-controlled BMI

    NASA Astrophysics Data System (ADS)

    Min, Byoung-Kyong; Dähne, Sven; Ahn, Min-Hee; Noh, Yung-Kyun; Müller, Klaus-Robert

    2016-11-01

    We present a fast and accurate non-invasive brain-machine interface (BMI) based on demodulating steady-state visual evoked potentials (SSVEPs) in electroencephalography (EEG). Our study reports an SSVEP-BMI that, for the first time, decodes primarily based on top-down and not bottom-up visual information processing. The experimental setup presents a grid-shaped flickering line array that the participants observe while intentionally attending to a subset of flickering lines representing the shape of a letter. While the flickering pixels stimulate the participant’s visual cortex uniformly with equal probability, the participant’s intention groups the strokes and thus perceives a ‘letter Gestalt’. We observed decoding accuracy of 35.81% (up to 65.83%) with a regularized linear discriminant analysis; on average 2.05-fold, and up to 3.77-fold greater than chance levels in multi-class classification. Compared to the EEG signals, an electrooculogram (EOG) did not significantly contribute to decoding accuracies. Further analysis reveals that the top-down SSVEP paradigm shows the most focalised activation pattern around occipital visual areas; Granger causality analysis consistently revealed prefrontal top-down control over early visual processing. Taken together, the present paradigm provides the first neurophysiological evidence for the top-down SSVEP BMI paradigm, which potentially enables multi-class intentional control of EEG-BMIs without using gaze-shifting.

  4. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  5. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  6. Thermal generation of spin current in epitaxial CoFe{sub 2}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Er-Jia, E-mail: ejguophysics@gmail.com, E-mail: klaeui@uni-mainz.de; Quantum Condensed Matter Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37830; Herklotz, Andreas

    2016-01-11

    The longitudinal spin Seebeck effect (LSSE) has been investigated in high-quality epitaxial CoFe{sub 2}O{sub 4} (CFO) thin films. The thermally excited spin currents in the CFO films are electrically detected in adjacent Pt layers due to the inverse spin Hall effect. The LSSE signal exhibits a linear increase with increasing temperature gradient, yielding a LSSE coefficient of ∼100 nV/K at room temperature. The temperature dependence of the LSSE is investigated from room temperature down to 30 K, showing a significant reduction at low temperatures, revealing that the total amount of thermally generated magnons decreases. Furthermore, we demonstrate that the spin Seebeck effectmore » is an effective tool to study the magnetic anisotropy induced by epitaxial strain, especially in ultrathin films with low magnetic moments.« less

  7. AO corrected satellite imaging from Mount Stromlo

    NASA Astrophysics Data System (ADS)

    Bennet, F.; Rigaut, F.; Price, I.; Herrald, N.; Ritchie, I.; Smith, C.

    2016-07-01

    The Research School of Astronomy and Astrophysics have been developing adaptive optics systems for space situational awareness. As part of this program we have developed satellite imaging using compact adaptive optics systems for small (1-2 m) telescopes such as those operated by Electro Optic Systems (EOS) from the Mount Stromlo Observatory. We have focused on making compact, simple, and high performance AO systems using modern high stroke high speed deformable mirrors and EMCCD cameras. We are able to track satellites down to magnitude 10 with a Strehl in excess of 20% in median seeing.

  8. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    solutions. By controlling the composition of these alloys, the oxide's lattice parameter can be engineered to match GaN and reduce interfacial state density. Compositional control is a universal challenge to oxide MBE, and the MgO-CaO system (MCO) is further complicated by magnesium's high volatility and the lack of a thermodynamically stable phase. Through a detailed investigation of MgO's deposition rate and subsequent impact on MCO composition, the process space for achieving lattice-matched compositions to GaN are fully mapped. Lattice-matched compositions are demonstrated to have the narrowest off-axis rocking curve widths ever reported for an epitaxial oxide deposited directly on GaN (0.7° in φ-circle for 200 reflection). Epitaxial deposition of the ferroelectric (Ba,Sr)TiO3 by hot RF sputtering on GaN surfaces is also demonstrated. Simple MOS capacitors are fabricated from epitaxial rocksalt oxides and (Ba,Sr)TiO3 layers deposited on n-GaN substrates. Current-voltage measurements reveal that BST epilayers have 5 orders of magnitude higher current leakage than rocksalt epilayers. This higher leakage is attributed to the smaller band offset expected at this interface; modeling confirms that electronic transport occurs by Schottky emission. In contrast, current transport across the rocksalt oxide/GaN interface occurs by Frenkel-Poole emission and can be reduced with pre-deposition surface treatments. Finally, through this work, it is realized that the integration of oxides with III-nitrides requires an appreciation of many different fields of research including materials science, surface science, and electrical engineering. By recognizing the importance that each of these fields play in designing oxide/III-nitride interfaces, this thesis has the opportunity to explore other related phenomena including accessing metastable phases through MBE (ytterbium monoxide), spinodal decomposition in metastable alloys (MCO), how polar surfaces grown by MBE compensate their bound

  9. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  10. 2. PERSPECTIVE VIEW OF SOUTH SIDE AND WEST END OF ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    2. PERSPECTIVE VIEW OF SOUTH SIDE AND WEST END OF ENGINE REPAIR HOUSE, HARBISON-WALKER QUARRY - Harbison-Walker Refractories Company, Engine Repair House, West end of Shirley Street, Mount Union, Huntingdon County, PA

  11. Perspective view of north rear and west side, also showing ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Perspective view of north rear and west side, also showing north hall at far left. - San Bernardino Valley College, Life Science Building, 701 South Mount Vernon Avenue, San Bernardino, San Bernardino County, CA

  12. Method of Generating X-Ray Diffraction Data for Integral Detection of Twin Defects in Super-Hetero-Epitaxial Materials

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2009-01-01

    A method provides X-ray diffraction (XRD) data suitable for integral detection of a twin defect in a strained or lattice-matched epitaxial material made from components having crystal structures having symme try belonging to different space groups. The material is mounted in a n X-ray diffraction (XRD) system. In one embodiment, the XRD system's goniometer angle Omega is set equal to (Theta(sub B)-Beta) where The ta(sub B) is a Bragg angle for a designated crystal plane of the allo y that is disposed at a non-perpendicular orientation with respect to the {111) crystal plane, and Beta is the angle between the designate d crystal plane and a { 111 } crystal plane of one of the epitaxial components. The XRD system's detector angle is set equal to (Theta(su b B)+Beta). The material can be rotated through an angle of azimuthal rotation Phi about the axis aligned with the material. Using the det ector, the intensity of the X-ray diffraction is recorded at least at the angle at which the twin defect occurs.

  13. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  14. Electrical wiring box with structure for fast device mounting

    DOEpatents

    Johnston, Earl S.

    1991-01-08

    An electrical wiring box of molded insulating material is provided with bosses having screw holes for receiving a mounting screw that include two colinear portions of which a first portion proximate the front surface has an internal configuration, such as molded threads, that engage the mounting screw while permitting the mounting screw to be manually inserted therethrough without turning because of flexibility built into the boss structure. A second portion of the screw hole is of greater restriction for securely engaging the screw such as by self tapping. The flexibility of the boss is provided by a first center slot that extends from the screw hole to the boss exterior over a length substantially equal to the first portion of the screw hole. Second and third slots are located respectively on each side of the screw hole and provide projections respectively between the first and second slots and the first and third slots that flex to allow easy screw insertion through the first portion of the screw hole.

  15. The effect of process conditions on the performance of epitaxial InP solar cells

    NASA Technical Reports Server (NTRS)

    Borrego, J. M.; Ghandi, S. K.

    1991-01-01

    Indium phosphide solar cells have a higher resistance to electron irradiation than Si or GaAs cells of comparable junction depth. As a result, there is much interest in the use of this material for space applications. Cells of this material were made in bulk InP by a number of techniques, including ion implantation, direct diffusion in sealed ampoules, and by open tube diffusion. However, it is generally considered that the epitaxial approach will be superior to all of these techniques. The epitaxy of InP is considerably more difficult than that of gallium arsenide, for a number of reasons. Perhaps the most important is the fact that the native oxides of Indium are extremely difficult to remove, as compared to that of Gallium. In addition, thermal treatments for the desorption of these oxides often result in the formation of phosphorus vacancies and free indium on the surface. Thus, inadequate sample preparation before epitaxy, poor reactor cleaning procedures, or poor transition procedures between the growth of successive layers, all give rise to trap phenomena and to high interface recombination velocities. Moreover, the lifetime of the grown material is dominated by the occurrence of native defects, so that it is a strong function of growth parameters. These problems are of special interest to the fabrication of solar cells, where long life-time, combined with the absence of traps, is highly desirable. A study of this problem is described using a non-invasive diagnostic technique which was developed.

  16. Eruption-triggered avalanche, flood, and lahar at Mount St. Helens - Effects of winter snowpack

    USGS Publications Warehouse

    Waitt, R.B.; Pierson, T.C.; MacLeod, N.S.; Janda, R.J.; Voight, B.; Holcomb, R.T.

    1983-01-01

    An explosive eruption of Mount St. Helens on 19 March 1982 had substantial impact beyond the vent because hot eruption products interacted with a thick snowpack. A blast of hot pumice, dome rocks, and gas dislodged crater-wall snow that avalanched through the crater and down the north flank. Snow in the crater swiftly melted to form a transient lake, from which a destructive flood and lahar swept down the north flank and the North Fork Toutle River.

  17. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    NASA Astrophysics Data System (ADS)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  18. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  19. The DarkSide awakens

    NASA Astrophysics Data System (ADS)

    Davini, S.; Agnes, P.; Agostino, L.; Albuquerque, I. F. M.; Alexander, T.; Alton, A. K.; Arisaka, K.; Back, H. O.; Baldin, B.; Biery, K.; Bonfini, G.; Bossa, M.; Bottino, B.; Brigatti, A.; Brodsky, J.; Budano, F.; Bussino, S.; Cadeddu, M.; Cadonati, L.; Cadoni, M.; Calaprice, F.; Canci, N.; Candela, A.; Cao, H.; Cariello, M.; Carlini, M.; Catalanotti, S.; Cavalcante, P.; Chepurnov, A.; Cocco, A. G.; Covone, G.; D'Angelo, D.; D'Incecco, M.; De Cecco, S.; De Deo, M.; De Vincenzi, M.; Derbin, A.; Devoto, A.; Di Eusanio, F.; Di Pietro, G.; Edkins, E.; Empl, A.; Fan, A.; Fiorillo, G.; Fomenko, K.; Foster, G.; Franco, D.; Gabriele, F.; Galbiati, C.; Giganti, C.; Goretti, A. M.; Granato, F.; Grandi, L.; Gromov, M.; Guan, M.; Guardincerri, Y.; Hackett, B. R.; Herner, K. R.; Hungerford, E. V.; Ianni, Aldo; Ianni, Andrea; James, I.; Jollet, C.; Keeter, K.; Kendziora, C. L.; Kobychev, V.; Koh, G.; Korablev, D.; Korga, G.; Kubankin, A.; Li, X.; Lissia, M.; Lombardi, P.; Luitz, S.; Ma, Y.; Machulin, I. N.; Mandarano, A.; Mari, S. M.; Maricic, J.; Marini, L.; Martoff, C. J.; Meregaglia, A.; Meyers, P. D.; Miletic, T.; Milincic, R.; Montanari, D.; Monte, A.; Montuschi, M.; Monzani, M. E.; Mosteiro, P.; Mount, B. J.; Muratova, V. N.; Musico, P.; Napolitano, J.; Orsini, M.; Ortica, F.; Pagani, L.; Pallavicini, M.; Pantic, E.; Parmeggiano, S.; Pelczar, K.; Pelliccia, N.; Perasso, S.; Pocar, A.; Pordes, S.; Pugachev, D. A.; Qian, H.; Randle, K.; Ranucci, G.; Razeto, A.; Reinhold, B.; Renshaw, A. L.; Romani, A.; Rossi, B.; Rossi, N.; Rountree, S. D.; Sablone, D.; Saggese, P.; Saldanha, R.; Sands, W.; Sangiorgio, S.; Savarese, C.; Segreto, E.; Semenov, D. A.; Shields, E.; Singh, P. N.; Skorokhvatov, M. D.; Smirnov, O.; Sotnikov, A.; Stanford, C.; Suvorov, Y.; Tartaglia, R.; Tatarowicz, J.; Testera, G.; Tonazzo, A.; Trinchese, P.; Unzhakov, E. V.; Vishneva, A.; Vogelaar, B.; Wada, M.; Walker, S.; Wang, H.; Wang, Y.; Watson, A. W.; Westerdale, S.; Wilhelmi, J.; Wojcik, M. M.; Xiang, X.; Xu, J.; Yang, C.; Yoo, J.; Zavatarelli, S.; Zec, A.; Zhong, W.; Zhu, C.; Zuzel, G.

    2016-05-01

    The DarkSide program at LNGS aims to perform background-free WIMP searches using two phase liquid argon time projection chambers, with the ultimate goal of covering all parameters down to the so-called neutrino floor. One of the distinct features of the program is the use of underground argon with has a reduced content of the radioactive 39Ar compared to atmospheric argon. The DarkSide Collaboration is currently operating the DarkSide-50 experiment, the first such WIMP detector using underground argon. Operations with underground argon indicate a suppression of 39Ar by a factor (1.4 ± 0.2) × 103 relative to atmospheric argon. The new results obtained with DarkSide-50 and the plans for the next steps of the DarkSide program, the 20t fiducial mass DarkSide-20k detector and the 200 t fiducial Argo, are reviewed in this proceedings.

  20. Cryogenic mount for mirror and piezoelectric actuator for an optical cavity.

    PubMed

    Oliveira, A N; Moreira, L S; Sacramento, R L; Kosulic, L; Brasil, V B; Wolff, W; Cesar, C L

    2017-06-01

    We present the development of a mount that accommodates a mirror and a piezoelectric actuator with emphasis on physical needs for low temperature operation. The design uses a monolithic construction with flexure features that allow it to steadily hold the mirror and the piezoelectric actuator without glue and accommodate differential thermal contraction. The mount is small and lightweight, adding little heat capacity and inertia. It provides a pre-loading of the piezoelectric actuator as well as a good thermal connection to the mirror and a thermal short across the piezoelectric actuator. The performance of the assemblies has been tested by thermally cycling from room temperature down to 3 K more than a dozen times and over one hundred times to 77 K, without showing any derating. Such mounts are proposed for the cryogenic optical enhancement cavities of the ALPHA experiment at CERN for laser spectroscopy of antihydrogen and for hydrogen spectroscopy in our laboratory at UFRJ.

  1. Electrical-assisted double side incremental forming and processes thereof

    DOEpatents

    Roth, John; Cao, Jian

    2014-06-03

    A process for forming a sheet metal component using an electric current passing through the component is provided. The process can include providing a double side incremental forming machine, the machine operable to perform a plurality of double side incremental deformations on the sheet metal component and also apply an electric direct current to the sheet metal component during at least part of the forming. The direct current can be applied before or after the forming has started and/or be terminated before or after the forming has stopped. The direct current can be applied to any portion of the sheet metal. The electrical assistance can reduce the magnitude of force required to produce a given amount of deformation, increase the amount of deformation exhibited before failure and/or reduce any springback typically exhibited by the sheet metal component.

  2. Biomechanical evaluation of various suture configurations in side-to-side tenorrhaphy.

    PubMed

    Wagner, Emilio; Ortiz, Cristian; Wagner, Pablo; Guzman, Rodrigo; Ahumada, Ximena; Maffulli, Nicola

    2014-02-05

    Side-to-side tenorrhaphy is increasingly used, but its mechanical performance has not been studied. Two porcine flexor digitorum tendon segments of equal length (8 cm) and thickness (1 cm) were placed side by side. Eight tenorrhaphies (involving sixteen tendons) were performed with each of four suture techniques (running locked, simple eight, vertical mattress, and pulley suture). The resulting constructs underwent cyclic loading on a tensile testing machine, followed by monotonically increasing tensile load if failure during cyclic loading did not occur. Clamps secured the tendons on each side of the repair, and specimens were mounted vertically. Cyclic loading varied between 15 N and 35 N, with a distension rate of 1 mm/sec. Cyclic loading strength was determined by applying a force of 70 N. The cause of failure and tendon distension during loading were recorded. All failures occurred in the monotonic loading phase and resulted from tendon stripping. No suture or knot failure was observed. The mean loads resisted by the configurations ranged from 138 to 398 N. The mean load to failure, maximum load resisted prior to 1 cm of distension, and load resisted at 1 cm of distension were significantly lower for the vertical mattress suture group than for any of the other three groups (p < 0.031). All four groups sustained loads well above the physiologic loads expected to occur in tendons in the foot and ankle (e.g., in tendon transfer for tibialis posterior tendon insufficiency). None of the four side-to-side configurations distended appreciably during the cyclic loading phase. The vertical mattress suture configuration appeared to be weaker than the other configurations. For surgeons who advocate immediate loading or motion of a side-to-side tendon repair, a pulley, running locked, or simple eight suture technique appears to provide a larger safety margin compared with a vertical mattress suture technique.

  3. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  4. The 19 March 1982 Eruption and Lahar at Mount Saint Helens: Implications for Martian Outlfow Channels?

    NASA Technical Reports Server (NTRS)

    Beach, G. L.

    1984-01-01

    A small explosive eruption of Mount St. Helens set into motion an unusually complex series of geomorphic and hydrologic processes that had not previously been described in the literature. This event was unusual in that a laterally-directed eruption dislodged and mobilized a thick snowpack from the surrounding crater floor and walls, resulting in the formation of a temporary lake. Catastrophic release of this self-impounded lake spawned a series of destructive debris avalanches and debris flows that moved rapidly down the volcano's north flank and into the North Toutle River valley. Catastrophic release of volatiles mobilized by volcanic activity has been discussed as a possible mechanism to explain a class of outflow channels on Mars. The eruption of Mount St. Helens provides a unique opportunity to study the deposits and landforms created by such an event; a more detailed field study and examination of Viking photographs of martian outflow channels is underway.

  5. South side, entire, looking north across the quadrangle from the ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    South side, entire, looking north across the quadrangle from the courtyard between the library and the life sciences building. - San Bernardino Valley College, Auditorium, 701 South Mount Vernon Avenue, San Bernardino, San Bernardino County, CA

  6. Thickness dependent exchange bias in martensitic epitaxial Ni-Mn-Sn thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Behler, Anna; Department of Physics, Institute for Solid State Physics, Dresden University of Technology, 01062 Dresden; Teichert, Niclas

    2013-12-15

    A thickness dependent exchange bias in the low temperature martensitic state of epitaxial Ni-Mn-Sn thin films is found. The effect can be retained down to very small thicknesses. For a Ni{sub 50}Mn{sub 32}Sn{sub 18} thin film, which does not undergo a martensitic transformation, no exchange bias is observed. Our results suggest that a significant interplay between ferromagnetic and antiferromagnetic regions, which is the origin for exchange bias, is only present in the martensite. The finding is supported by ab initio calculations showing that the antiferromagnetic order is stabilized in the phase.

  7. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  8. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  9. 1. GENERAL VIEW FROM NORTH SIDE OF RIVER LOOKING SOUTHEAST ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    1. GENERAL VIEW FROM NORTH SIDE OF RIVER LOOKING SOUTHEAST OVER ENGINE REPAIR HOUSE, DINKY LEVELS VISIBLE IN DISTANCE - Harbison-Walker Refractories Company, Engine Repair House, West end of Shirley Street, Mount Union, Huntingdon County, PA

  10. Photovoltaic array mounting apparatus, systems, and methods

    DOEpatents

    West, John Raymond; Atchley, Brian; Hudson, Tyrus Hawkes; Johansen, Emil

    2014-12-02

    An apparatus for mounting a photovoltaic (PV) module on a surface, including a support with an upper surface, a lower surface, tabs, one or more openings, and a clip comprising an arm and a notch, where the apparatus resists wind forces and seismic forces and creates a grounding electrical bond between the PV module, support, and clip. The invention further includes a method for installing PV modules on a surface that includes arranging supports in rows along an X axis and in columns along a Y axis on a surface such that in each row the distance between two neighboring supports does not exceed the length of the longest side of a PV module and in each column the distance between two neighboring supports does not exceed the length of the shortest side of a PV module.

  11. MOUNT HOOD WILDERNESS AND ADJACENT AREAS, OREGON.

    USGS Publications Warehouse

    Keith, T.E.C.; Causey, J.D.

    1984-01-01

    A mineral survey of the Mount Hood Wilderness, Oregon, was conducted. Geochemical data indicate two areas of substantiated mineral-resource potential containing weak epithermal mineralization: an area of the north side of Zigzag Mountain where vein-type lead-zinc-silver deposits occur and an area of the south side of Zigzag Mountain, where the upper part of a quartz diorite pluton has propylitic alteration associated with mineralization of copper, gold, silver, lead, and zinc in discontinuous veins. Geothermal-resource potential for low- to intermediate-temperature (less than 248 degree F) hot-water systems in the wilderness is probable in these areas. Part of the wilderness is classified as a Known Geothermal Resource Area (KGRA), which is considered to have probable geothermal-resource potential, and two parts of the wilderness have been included in geothermal lease areas.

  12. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  13. South side of administration building, also showing the library in ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    South side of administration building, also showing the library in the left distance, and the life sciences building in the right distance. - San Bernardino Valley College, 701 South Mount Vernon Avenue, San Bernardino, San Bernardino County, CA

  14. Variability of the Aging Process in Dementia-Free Adults with Down Syndrome

    ERIC Educational Resources Information Center

    Tsao, Raphaele; Kindelberger, Cecile; Freminville, Benedicte; Touraine, Renaud; Bussey, Gerald

    2015-01-01

    The aim of this cross-sectional study was to analyze the typical aging process in adults with Down syndrome, focusing on its variability. The sample comprised 120 adults with Down syndrome who were free of dementia. Ages ranged from 20 to 69 years. Each participant was assessed on cognitive functioning and social adaptation, and was checked for…

  15. The DarkSide awakens

    DOE PAGES

    Davini, S.; Agnes, P.; Agostino, L.; ...

    2016-06-09

    Here, the DarkSide program at LNGS aims to perform background-free WIMP searches using two phase liquid argon time projection chambers, with the ultimate goal of covering all parameters down to the so-called neutrino floor. One of the distinct features of the program is the use of underground argon with has a reduced content of the radioactive 39Ar compared to atmospheric argon. The DarkSide Collaboration is currently operating the DarkSide-50 experiment, the first such WIMP detector using underground argon. Operations with underground argon indicate a suppression of 39Ar by a factor (1.4 ± 0.2) × 10 3 relative to atmospheric argon.more » The new results obtained with DarkSide-50 and the plans for the next steps of the DarkSide program, the 20t fiducial mass DarkSide-20k detector and the 200 t fiducial Argo, are reviewed in this proceedings.« less

  16. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  17. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  18. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  19. Thermally induced texture flip in semiconducting polymer stabilized by epitaxial relationship

    NASA Astrophysics Data System (ADS)

    O'Hara, Kathryn A.; Pokuri, Balaji S. S.; Takacs, Christopher J.; Beaujuge, Pierre M.; Ganapathysubramanian, Baskar; Chabinyc, Michael L.

    The morphology of semiconducting polymer films has a large effect on the charge transport properties. Charges can move easily along the conjugated backbone and in the pi-pi stacking direction. However, transport through the film is determined by the connectivity between domains, which is not well understood. We previously observed quadrites in the polymer, PSBTBT, and proposed that the preferential overlap between lamellae may improve connectivity and provide an additional conduction pathway. Now, the presence of quadrites is revealed in another successful donor polymer, PBDTTPD, using high resolution transmission electron microscopy (HRTEM). A study of how side-chain substitution affects the epitaxial crossing is conducted by examining several PBDTTPD derivatives. The stability of the film texture with annealing is also examined as a function of quadrite formation. It has been shown that heating some semicrystalline polymers above the melting temperature and slow cooling can flip the lamellar texture from face-on to edge-on. We hypothesize that the orientation of lamellar crystallites in PBDTTPD films is stabilized by the epitaxial overlap between adjacent crystalline domains. This may have important implications for the electronic transport properties.

  20. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  1. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  2. Geology of the Ugashik-Mount Peulik Volcanic Center, Alaska

    USGS Publications Warehouse

    Miller, Thomas P.

    2004-01-01

    The Ugashik-Mount Peulik volcanic center, 550 km southwest of Anchorage on the Alaska Peninsula, consists of the late Quaternary 5-km-wide Ugashik caldera and the stratovolcano Mount Peulik built on the north flank of Ugashik. The center has been the site of explosive volcanism including a caldera-forming eruption and post-caldera dome-destructive activity. Mount Peulik has been formed entirely in Holocene time and erupted in 1814 and 1845. A large lava dome occupies the summit crater, which is breached to the west. A smaller dome is perched high on the southeast flank of the cone. Pyroclastic-flow deposits form aprons below both domes. One or more sector-collapse events occurred early in the formation of Mount Peulik volcano resulting in a large area of debris-avalanche deposits on the volcano's northwest flank. The Ugashik-Mount Peulik center is a calcalkaline suite of basalt, andesite, dacite, and rhyolite, ranging in SiO2 content from 51 to 72 percent. The Ugashik-Mount Peulik magmas appear to be co-genetic in a broad sense and their compositional variation has probably resulted from a combination of fractional crystallization and magma-mixing. The most likely scenario for a future eruption is that one or more of the summit domes on Mount Peulik are destroyed as new magma rises to the surface. Debris avalanches and pyroclastic flows may then move down the west and, less likely, east flanks of the volcano for distances of 10 km or more. A new lava dome or series of domes would be expected to form either during or within some few years after the explosive disruption of the previous dome. This cycle of dome disruption, pyroclastic flow generation, and new dome formation could be repeated several times in a single eruption. The volcano poses little direct threat to human population as the area is sparsely populated. The most serious hazard is the effect of airborne volcanic ash on aircraft since Mount Peulik sits astride heavily traveled air routes connecting the U

  3. Phase transition and epitaxies between hydrated orthorhombic and anhydrous monoclinic uric acid crystals

    NASA Astrophysics Data System (ADS)

    Boistelle, R.; Rinaudo, C.

    1981-05-01

    Anhydrous monoclinic and hydrated orthorhombic uric acid crystals can be nucleated and grown from pure water solutions either separately or together with epitaxial relationships. When crystals of one modification exist in the solution they can act as nucleation substrate for the crystals of the other modification. In both cases the new phase grows epitaxially on the substrate; the mutual orientations are the same but the contact planes are different. In addition, the anhydrous modification grows into the hydrated one which undergoes a phase transition by a dissolution-recrystallization process. It is likely that the same processes occur in human stones made up of uric acids.

  4. 7. View down between paddlehweel rims from inside paddlweheel box ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    7. View down between paddlehweel rims from inside paddlweheel box off main deck. Eccentric center for Mason feathering mechanism is mounted on rub rail at left, housing for paddlewheel shaft bearing is shown at right. - Steamboat TICONDEROGA, Shelburne Museum Route 7, Shelburne, Chittenden County, VT

  5. Anomalous Hall effect in epitaxial permalloy thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Y. Q.; Sun, N. Y.; Shan, R.

    2013-10-28

    Anomalous Hall effect (AHE) of epitaxial permalloy thin films grown on MgO (001) substrates is investigated. The longitudinal conductivity independent term (i.e., the sum of intrinsic and side-jump contributions) of the anomalous Hall conductivity (AHC) is found to be much smaller than those of Fe and Ni films. Band theoretical calculations of the intrinsic AHC as a function of the number of valence electrons (band filling) indicate that the AHC of the permalloy is in the vicinity of sign change, thus resulting in the smallness of the intrinsic AHC. The contribution of the phonon scattering is found to be comparablemore » to that of the impurity scattering. This work suggests that the permalloy films are ideal systems to understand the AHE mechanisms induced by impurity scattering.« less

  6. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  7. Experimental research and numerical simulation on cryogenic line chill-down process

    NASA Astrophysics Data System (ADS)

    Jin, Lingxue; Cho, Hyokjin; Lee, Cheonkyu; Jeong, Sangkwon

    2018-01-01

    The empirical heat transfer correlations are suggested for the fast cool down process of the cryogenic transfer line from room temperature to cryogenic temperature. The correlations include the heat transfer coefficient (HTC) correlations for single-phase gas convection and film boiling regimes, minimum heat flux (MHF) temperature, critical heat flux (CHF) temperature and CHF. The correlations are obtained from the experimental measurements. The experiments are conducted on a 12.7 mm outer diameter (OD), 1.25 mm wall thickness and 7 m long stainless steel horizontal pipe with liquid nitrogen (LN2). The effect of the lengthwise position is verified by measuring the temperature profiles in near the inlet and the outlet of the transfer line. The newly suggested heat transfer correlations are applied to the one-dimensional homogeneous transient model to simulate the cryogenic line chill-down process, and the chill-down time and the cryogen consumption are well predicted in the mass flux range from 26.0 kg/m2 s to 73.6 kg/m2 s through the correlations.

  8. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    PubMed

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  9. Passively Q-switched side pumped monolithic ring laser

    NASA Technical Reports Server (NTRS)

    Li, Steven X. (Inventor)

    2012-01-01

    Disclosed herein are systems and methods for generating a side-pumped passively Q-switched non-planar ring oscillator. The method introduces a laser into a cavity of a crystal, the cavity having a round-trip path formed by a reflection at a dielectrically coated front surface, a first internal reflection at a first side surface of the crystal at a non-orthogonal angle with the front, a second internal reflection at a top surface of the crystal, and a third internal reflection at a second side surface of the crystal at a non-orthogonal angle with the front. The method side pumps the laser at the top or bottom surface with a side pump diode array beam and generates an output laser emanating at a location on the front surface. The design can include additional internal reflections to increase interaction with the side pump. Waste heat may be removed by mounting the crystal to a heatsink.

  10. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  11. Decoupling analysis for a powertrain mounting system with a combination of hydraulic mounts

    NASA Astrophysics Data System (ADS)

    Hu, Jinfang; Chen, Wuwei; Huang, He

    2013-07-01

    The existing torque roll axis(TRA) decoupling theories for a powertrain mounting system assume that the stiffness and viscous damping properties are constant. However, real-life mounts exhibit considerable spectrally varying stiffness and damping characteristics, and the influence of the spectrally-varying properties of the hydraulic mounts on the powertrain system cannot be ignored. To overcome the deficiency, an analytical quasi-linear model of the hydraulic mount and the coupled properties of the powertrain and hydraulic mounts system are formulated. The influence of the hydraulic mounts on the TRA decoupling of a powertrain system is analytically examined in terms of eigensolutions, frequency, and impulse responses, and then a new analytical axiom is proposed based on the TRA decoupling indices. With the experimental setup of a fixed decoupler hydraulic mount in the context of non-resonant dynamic stiffness testing procedure, the quasi-linear model of the hydraulic mount is verified by comparing the predictions with the measurement. And the quasi-linear formulation of the coupled system is also verified by comparing the frequency responses with the numerical results obtained by the direct inversion method. Finally, the mounting system with a combination of hydraulic mounts is redesigned in terms of the stiffness, damping and mount locations by satisfying the new axiom. The frequency and time domain results of the redesigned system demonstrate that the torque roll axis of the redesigned powertrain mounting system is indeed decoupled in the presence of hydraulic mounts (given oscillating torque or impulsive torque excitation). The proposed research provides an important basis and method for the research on a powertrain system with spectrally-varying mount properties, especially for the TRA decoupling.

  12. Mirror mount

    DOEpatents

    Humpal, H.H.

    1987-11-10

    A mirror mount is provided that allows free pitch, yaw and roll motion of the mirror while keeping the location of a point on the surface of the mirror fixed in the rest frame of reference of the mount. Yaw movement is provided by two yaw cylinders that are bearing mounted to provide rotation. Pitch and roll motion is provided by a spherically annular shell that is air bearing mounted to move between a clamp and an upper pedestal bearing. The centers of curvature of the spherical surfaces of the shell lie upon the point. Pitch motion and roll motion are separately and independently imparted to mirror by a pair of pitch paddles and a pair of roll paddles that are independently and separately moved by control rods driven by motors. 5 figs.

  13. Mirror mount

    DOEpatents

    Humpal, H.H.

    1986-03-21

    A mirror mount is provided that allows free pitch, yaw and roll motion of the mirror while keeping the location of a point on the surface of the mirror fixed in the rest frame of reference of the mount. Yaw movement is provided by two yaw cylinders that are bearing mounted to provide rotation. Pitch and roll motion is provided by a spherically annular shell that is air bearing mounted to move between a clamp and an upper pedestal bearing. The centers of curvature of the spherical surfaces of the shell lie upon the point. Pitch motion and roll motion are separately and independently imparted to mirror by a pair of pitch paddles and a pair of roll paddles that are independently and separately moved by control rods driven by motors.

  14. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  15. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  16. Comparative analysis of whole mount processing and systematic sampling of radical prostatectomy specimens: pathological outcomes and risk of biochemical recurrence.

    PubMed

    Salem, Shady; Chang, Sam S; Clark, Peter E; Davis, Rodney; Herrell, S Duke; Kordan, Yakup; Wills, Marcia L; Shappell, Scott B; Baumgartner, Roxelyn; Phillips, Sharon; Smith, Joseph A; Cookson, Michael S; Barocas, Daniel A

    2010-10-01

    Whole mount processing is more resource intensive than routine systematic sampling of radical retropubic prostatectomy specimens. We compared whole mount and systematic sampling for detecting pathological outcomes, and compared the prognostic value of pathological findings across pathological methods. We included men (608 whole mount and 525 systematic sampling samples) with no prior treatment who underwent radical retropubic prostatectomy at Vanderbilt University Medical Center between January 2000 and June 2008. We used univariate and multivariate analysis to compare the pathological outcome detection rate between pathological methods. Kaplan-Meier curves and the log rank test were used to compare the prognostic value of pathological findings across pathological methods. There were no significant differences between the whole mount and the systematic sampling groups in detecting extraprostatic extension (25% vs 30%), positive surgical margins (31% vs 31%), pathological Gleason score less than 7 (49% vs 43%), 7 (39% vs 43%) or greater than 7 (12% vs 13%), seminal vesicle invasion (8% vs 10%) or lymph node involvement (3% vs 5%). Tumor volume was higher in the systematic sampling group and whole mount detected more multiple surgical margins (each p <0.01). There were no significant differences in the likelihood of biochemical recurrence between the pathological methods when patients were stratified by pathological outcome. Except for estimated tumor volume and multiple margins whole mount and systematic sampling yield similar pathological information. Each method stratifies patients into comparable risk groups for biochemical recurrence. Thus, while whole mount is more resource intensive, it does not appear to result in improved detection of clinically important pathological outcomes or prognostication. Copyright © 2010 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  17. Side-sloped surfaces substantially affect lower limb running kinematics.

    PubMed

    Damavandi, Mohsen; Eslami, Mansour; Pearsall, David J

    2017-03-01

    Running on side-sloped surfaces is a common obstacle in the environment; however, how and to what extent the lower extremity kinematics adapt is not well known. The purpose of this study was to determine the effects of side-sloped surfaces on three-dimensional kinematics of hip, knee, and ankle during stance phase of running. Ten healthy adult males ran barefoot along an inclinable runway in level (0°) and side-sloped (10° up-slope and down-slope inclinations, respectively) configurations. Right hip, knee, and ankle angles along with their time of occurrence were analysed using repeated measures MANOVA. Up-slope hip was more adducted (p = 0.015) and internally rotated (p = 0.030). Knee had greater external rotations during side-sloped running at heel-strike (p = 0.005), while at toe-off, it rotated externally and internally during up-slope and down-slope running, respectively (p = 0.001). Down-slope ankle had greatest plantar flexion (p = 0.001). Up-slope ankle had greatest eversion compared with down-slope (p = 0.043), while it was more externally rotated (p = 0.030). These motion patterns are necessary to adjust the lower extremity length during side-sloped running. Timing differences in the kinematic events of hip adduction and external rotation, and ankle eversion were observed (p = 0.006). Knowledge on these alterations is a valuable tool in adopting strategies to enhance performance while preventing injury.

  18. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    NASA Astrophysics Data System (ADS)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  19. Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors

    DTIC Science & Technology

    2011-01-01

    Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors MATTHEW REASON,1 BRIAN R. BENNETT,1,2 RICHARD MAGNO,1 and J. BRAD BOOS1 1...2010 to 00-00-2010 4. TITLE AND SUBTITLE Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors 5a. CONTRACT NUMBER 5b. GRANT...Prescribed by ANSI Std Z39-18 EXPERIMENTAL PROCEDURES The samples reported in this work were grown by solid-source molecular - beam epitaxy (MBE) with

  20. Battery Carpenter Observation Station, view down from tower stair landing ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Battery Carpenter Observation Station, view down from tower stair landing on west side; view northwest - Fort McKinley, Battery Carpenter Observation Station, West side of East Side Drive, approximately 275 feet south of Weymouth Way, Great Diamond Island, Portland, Cumberland County, ME

  1. On-demand server-side image processing for web-based DICOM image display

    NASA Astrophysics Data System (ADS)

    Sakusabe, Takaya; Kimura, Michio; Onogi, Yuzo

    2000-04-01

    Low cost image delivery is needed in modern networked hospitals. If a hospital has hundreds of clients, cost of client systems is a big problem. Naturally, a Web-based system is the most effective solution. But a Web browser could not display medical images with certain image processing such as a lookup table transformation. We developed a Web-based medical image display system using Web browser and on-demand server-side image processing. All images displayed on a Web page are generated from DICOM files on a server, delivered on-demand. User interaction on the Web page is handled by a client-side scripting technology such as JavaScript. This combination makes a look-and-feel of an imaging workstation not only for its functionality but also for its speed. Real time update of images with tracing mouse motion is achieved on Web browser without any client-side image processing which may be done by client-side plug-in technology such as Java Applets or ActiveX. We tested performance of the system in three cases. Single client, small number of clients in a fast speed network, and large number of clients in a normal speed network. The result shows that there are very slight overhead for communication and very scalable in number of clients.

  2. Quantifying the variability in stiffness and damping of an automotive vehicle's trim-structure mounts

    NASA Astrophysics Data System (ADS)

    Abolfathi, Ali; O'Boy, Dan J.; Walsh, Stephen J.; Dowsett, Amy; Fisher, Stephen A.

    2016-09-01

    Small plastic clips are used in large numbers in automotive vehicles to connect interior trims to vehicle structures. The variability in their properties can contribute to the overall variability in noise and vibration response of the vehicle. The variability arises due to their material and manufacturing tolerances and more importantly due to the boundary condition. To measure their stiffness and damping, a simple experimental rig is used where a mass is supported by the clip which is modelled as a single degree of freedom system. The rig is designed in a way that it simulates the boundary condition as those of the real vehicle. The variability in clip and also due to the boundary condition at the structure side is first examined which is 7% for stiffness and 8% for damping. To simulate the connection of the trim side, a mount is built using a 3D printer. Rattling occurs in the response of the clips with loose connections, however by preloading the mount the effective stiffness increases and the rattling is eliminated. The variability due to the boundary condition at the trim side was as large as 40% for stiffness and 52% for damping.

  3. Photovoltaic module mounting system

    DOEpatents

    Miros, Robert H. J.; Mittan, Margaret Birmingham; Seery, Martin N; Holland, Rodney H

    2012-09-18

    A solar array mounting system having unique installation, load distribution, and grounding features, and which is adaptable for mounting solar panels having no external frame. The solar array mounting system includes flexible, pedestal-style feet and structural links connected in a grid formation on the mounting surface. The photovoltaic modules are secured in place via the use of attachment clamps that grip the edge of the typically glass substrate. The panel mounting clamps are then held in place by tilt brackets and/or mid-link brackets that provide fixation for the clamps and align the solar panels at a tilt to the horizontal mounting surface. The tilt brackets are held in place atop the flexible feet and connected link members thus creating a complete mounting structure.

  4. Photovoltaic module mounting system

    DOEpatents

    Miros, Robert H. J. [Fairfax, CA; Mittan, Margaret Birmingham [Oakland, CA; Seery, Martin N [San Rafael, CA; Holland, Rodney H [Novato, CA

    2012-04-17

    A solar array mounting system having unique installation, load distribution, and grounding features, and which is adaptable for mounting solar panels having no external frame. The solar array mounting system includes flexible, pedestal-style feet and structural links connected in a grid formation on the mounting surface. The photovoltaic modules are secured in place via the use of attachment clamps that grip the edge of the typically glass substrate. The panel mounting clamps are then held in place by tilt brackets and/or mid-link brackets that provide fixation for the clamps and align the solar panels at a tilt to the horizontal mounting surface. The tilt brackets are held in place atop the flexible feet and connected link members thus creating a complete mounting structure.

  5. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, Scott A.; Killeen, Kevin P.; Lear, Kevin L.

    1995-01-01

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time.

  6. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, S.A.; Killeen, K.P.; Lear, K.L.

    1995-01-10

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time. 9 figures.

  7. North side, facing the courtyard. Life Science Building is to ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    North side, facing the courtyard. Life Science Building is to the left, out of view, and the library is to the right. Also out of view. - San Bernardino Valley College, Classics Building, 701 South Mount Vernon Avenue, San Bernardino, San Bernardino County, CA

  8. Temporal relation between top-down and bottom-up processing in lexical tone perception

    PubMed Central

    Shuai, Lan; Gong, Tao

    2013-01-01

    Speech perception entails both top-down processing that relies primarily on language experience and bottom-up processing that depends mainly on instant auditory input. Previous models of speech perception often claim that bottom-up processing occurs in an early time window, whereas top-down processing takes place in a late time window after stimulus onset. In this paper, we evaluated the temporal relation of both types of processing in lexical tone perception. We conducted a series of event-related potential (ERP) experiments that recruited Mandarin participants and adopted three experimental paradigms, namely dichotic listening, lexical decision with phonological priming, and semantic violation. By systematically analyzing the lateralization patterns of the early and late ERP components that are observed in these experiments, we discovered that: auditory processing of pitch variations in tones, as a bottom-up effect, elicited greater right hemisphere activation; in contrast, linguistic processing of lexical tones, as a top-down effect, elicited greater left hemisphere activation. We also found that both types of processing co-occurred in both the early (around 200 ms) and late (around 300–500 ms) time windows, which supported a parallel model of lexical tone perception. Unlike the previous view that language processing is special and performed by dedicated neural circuitry, our study have elucidated that language processing can be decomposed into general cognitive functions (e.g., sensory and memory) and share neural resources with these functions. PMID:24723863

  9. [Clinical observation on nanometer acupoint mounting method for alleviation of myospasm complicated by spinal injury].

    PubMed

    Zhang, Su-Jie; Si, Tong; Li, Zhi

    2008-11-01

    To observe clinical effect of nanometer acupoint mounting method for alleviation of myospasm complicated by spinal injury. Sixty cases were randomly divided into an observation group and a control group, 30 cases in each group. The observation group were treated by nanometer mounting at 4 Jiaji (EX-B 2) points each on both sides of the affected spine and Shenshu (BL 23), Shangliao (BL 31), Ciliao (BL 32), Yang-lingquan (GB 34), Xuanzhong (GB 39); and the control group by mounting zinc oxide sticking tablets at the above acupoints. The mounting was replaced once each two days, 7 times constituting one course. One week and one month after the end of 3 courses, their results were recorded, respectively. Before treatment, there was no significant difference between the two groups in grades of the myospasm degree (P > 0.05). One week after the end of treatment, 15 cases were grade I of myospasm, 9 cases were grade II, 5 cases were grade III and 1 case was grade IV in the observation group, and 1 cases grade I, 7 cases grade II, 14 cases grade III, 8 cases grade IV in the control group. Ridit analysis on the data indicated that there were significant differences before and after treatment in the myospasm degree (P < 0.01) and between the two groups after treatment (P < 0.01). One month after the end of treatment, the results were similar to those one week after the end of treatment. Nanometer acupoint mounting method is a new one for alleviation of myospasm complicated by spinal injury, with convenience, safety and no side effect.

  10. Magnetic core mounting system

    DOEpatents

    Ronning, Jeffrey J.

    2002-01-01

    A mounting apparatus for an electromagnetic device such as a transformer of inductor includes a generally planar metallic plate as a first heat sink, and a metallic mounting cup as a second heat sink. The mounting cup includes a cavity configured to receive the electromagnetic device, the cavity being defined by a base, and an axially-extending annular sidewall extending from the base to a flange portion of the mounting cup. The mounting cup includes first and second passages for allowing the leads of first and second windings of the electromagnetic device to be routed out of the cavity. The cavity is filled with a polyurethane potting resin, and the mounting cup, including the potted electromagnetic device, is mounted to the plate heat sink using fasteners. The mounting cup, which surrounds the electromagnetic device, in combination with the potting resin provides improved thermal transfer to the plate heat sink, as well as providing resistance to vibration and shocks.

  11. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  12. Rebuilding Mount St. Helens

    USGS Publications Warehouse

    Schilling, Steve P.; Ramsey, David W.; Messerich, James A.; Thompson, Ren A.

    2006-01-01

    On May 18, 1980, Mount St. Helens, Washington exploded in a spectacular and devastating eruption that shocked the world. The eruption, one of the most powerful in the history of the United States, removed 2.7 cubic kilometers of rock from the volcano's edifice, the bulk of which had been constructed by nearly 4,000 years of lava-dome-building eruptions. In seconds, the mountain's summit elevation was lowered from 2,950 meters to 2,549 meters, leaving a north-facing, horseshoe-shaped crater over 2 kilometers wide. Following the 1980 eruption, Mount St. Helens remained active. A large lava dome began episodically extruding in the center of the volcano's empty crater. This dome-building eruption lasted until 1986 and added about 80 million cubic meters of rock to the volcano. During the two decades following the May 18, 1980 eruption, Crater Glacier formed tongues of ice around the east and west sides of the lava dome in the deeply shaded niche between the lava dome and the south crater wall. Long the most active volcano in the Cascade Range with a complex 300,000-year history, Mount St. Helens erupted again in the fall of 2004 as a new period of dome building began within the 1980 crater. Between October 2004 and February 2006, about 80 million cubic meters of dacite lava erupted immediately south of the 1980-86 lava dome. The erupting lava separated the glacier into two parts, first squeezing the east arm of the glacier against the east crater wall and then causing equally spectacular crevassing and broad uplift of the glacier's west arm. Vertical aerial photographs document dome growth and glacier deformation. These photographs enabled photogrammetric construction of a series of high-resolution digital elevation models (DEMs) showing changes from October 4, 2004 to February 9, 2006. From the DEMs, Geographic Information Systems (GIS) applications were used to estimate extruded volumes and growth rates of the new lava dome. The DEMs were also used to quantify dome

  13. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  14. Electrical properties of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Ahmadi, Kamyar; Xiao, Z.-Y.; Hong, Xia; Ngai, Joseph

    The epitaxial growth of crystalline oxides on semiconductors enables new functionalities to be introduced to semiconductor devices. In particular, dielectric and ferroelectric oxides grown epitaxially on semiconductors provide a pathway to realize ultra-low power logic and memory devices. Here we present electrical characterization of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge through oxide molecular beam epitaxy. SrZrxTi1-xO3 is of particular interest since the band offset with respect to the semiconductor can be tuned through Zr content x. We will present current-voltage, capacitance-voltage and piezoforce microscopy characterization of SrZrxTi1-xO3 -Ge heterojunctions. In particular, we will discuss how the electrical characteristics of SrZrxTi1-xO3 -Ge heterojunctions evolve with respect to composition, annealing and film thickness.

  15. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  16. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  17. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  18. Efficient Interlayer Relaxation and Transition of Excitons in Epitaxial and Non-epitaxial MoS2/WS2 Heterostructures

    DOE PAGES

    Yu, Yifei; Hu, Shi; Su, Liqin; ...

    2014-12-03

    Semiconductor heterostructurs provide a powerful platform for the engineering of excitons. Here we report on the excitonic properties of two-dimensional (2D) heterostructures that consist of monolayer MoS2 and WS2 stacked epitaxially or non-epitaxially in the vertical direction. We find similarly efficient interlayer relaxation and transition of excitons in both the epitaxial and non-epitaxial heterostructures. This is manifested by a two orders of magnitude decrease in the photoluminescence and an extra absorption peak at low energy region of both heterostructures. The MoS2/WS2 heterostructures show weak interlayer coupling and essentially act as an atomic-scale heterojunction with the intrinsic band structures of themore » two monolayers largely preserved. They are particularly promising for the applications that request efficient dissociation of excitons and strong light absorption, including photovoltaics, solar fuels, photodetectors, and optical modulators. Our results also indicate that 2D heterostructures promise to provide capabilities to engineer excitons from the atomic level without concerns of interfacial imperfection.« less

  19. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  20. Mount Hood exploration, Oregon: a case history

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowen, R.G.

    1981-05-01

    An assessment program of Mount Hood is giving information useful for geothermal development in the area and is expected to characterize and aid in exploration of other Cascade volcanoes. These studies have shown the presence of thermal waters coming to the surface around the south flank of the mountain and subsurface flow in other areas. Geothermal gradient drilling shows the average heat flow in the area to be about two times normal increasing toward the summit. Two commercial exploration programs resulting in drilling are underway; Northwest Natural Gas is exploring the west side for direct utilization in the Portland area,more » and Wy'East is exploring near Timberline Lodge on the south flank. On the west side adequate temperatures have been found but the wells have not found enough permeability to be useful. At Timberline Lodge a 4000' well appears to have sufficient temperature, but it has not yet been tested. Further exploration and testing will continue this summer.« less

  1. Mirror mount

    DOEpatents

    Humpal, Harold H.

    1987-01-01

    A mirror mount (10) is provided that allows free pitch, yaw and roll motion of the mirror (28) while keeping the location of a point (56) on the surface of the mirror (28) fixed in the rest frame of reference of the mount (10). Yaw movement is provided by two yaw cylinders (30,32) that are bearing (52) mounted to provide rotation. Pitch and roll motion is provided by a spherically annular shell (42) that is air bearing (72,74) mounted to move between a clamp (60) and an upper pedestal bearing (44). The centers of curvature of the spherical surfaces of the shell (42) lie upon the point (56). Pitch motion and roll motion are separately and independently imparted to mirror (28) by a pair of pitch paddles (34) and a pair of roll paddles (36) that are independently and separately moved by control rods (76,80) driven by motors (78,82).

  2. Vaginitis test - wet mount

    MedlinePlus

    ... prep - vaginitis; Vaginosis - wet mount; Trichomoniasis - wet mount; Vaginal candida - wet mount ... provider gently inserts an instrument (speculum) into the vagina to hold it open and view inside. A ...

  3. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  4. Using the surface charge profiler for in-line monitoring of doping concentration in silicon epitaxial wafer manufacturing

    NASA Astrophysics Data System (ADS)

    Tower, Joshua P.; Kamieniecki, Emil; Nguyen, M. C.; Danel, Adrien

    1999-08-01

    The Surface Charge Profiler (SCP) has been introduced for monitoring and development of silicon epitaxial processes. The SCP measures the near-surface doping concentration and offers advantages that lead to yield enhancement in several ways. First, non-destructive measurement technology enables in-line process monitoring, eliminating the need to sacrifice production wafers for resistivity measurements. Additionally, the full-wafer mapping capability helps in development of improved epitaxial growth processes and early detection of reactor problems. As examples, we present the use of SCP to study the effects of susceptor degradation in barrel reactors and to study autodoping for development of improved dopant uniformity.

  5. Optoelectronic Mounting Structure

    DOEpatents

    Anderson, Gene R.; Armendariz, Marcelino G.; Baca, Johnny R. F.; Bryan, Robert P.; Carson, Richard F.; Chu, Dahwey; Duckett, III, Edwin B.; McCormick, Frederick B.; Peterson, David W.; Peterson, Gary D.; Reber, Cathleen A.; Reysen, Bill H.

    2004-10-05

    An optoelectronic mounting structure is provided that may be used in conjunction with an optical transmitter, receiver or transceiver module. The mounting structure may be a flexible printed circuit board. Thermal vias or heat pipes in the head region may transmit heat from the mounting structure to the heat spreader. The heat spreader may provide mechanical rigidity or stiffness to the heat region. In another embodiment, an electrical contact and ground plane may pass along a surface of the head region so as to provide an electrical contact path to the optoelectronic devices and limit electromagnetic interference. In yet another embodiment, a window may be formed in the head region of the mounting structure so as to provide access to the heat spreader. Optoelectronic devices may be adapted to the heat spreader in such a manner that the devices are accessible through the window in the mounting structure.

  6. 10. PERSPECTIVE VIEW OF NORTH (REAR) AND WEST SIDE OF ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. PERSPECTIVE VIEW OF NORTH (REAR) AND WEST SIDE OF SECOND FLOOR, WEST ROOM SHOWING RELATIONSHIP TO NORTH TERREPLEIN OF NORTHWEST DEMI-BASTION AND STAIRS LEADING TO GUN MOUNT ABOVE MAIN GATE - Fort Washington, Main Gate, Fort Washington Road, Fort Washington Forest, Prince George's County, MD

  7. Explosive Bolt Dual-Initiated from One Side

    NASA Technical Reports Server (NTRS)

    Snow, Eric

    2011-01-01

    An explosive bolt has been developed that has a one-sided dual initiation train all the way down to the pyro charge for high reliability, while still allowing the other side of the bolt to remain in place after actuation to act as a thermal seal in an extremely high-temperature environment. This lightweight separation device separates at a single fracture plane, and has as much redundancy/reliability as possible. The initiation train comes into the explosive bolt from one side.

  8. Optical effects induced by epitaxial tension in lead titanate

    NASA Astrophysics Data System (ADS)

    Dejneka, A.; Chvostova, D.; Pacherova, O.; Kocourek, T.; Jelinek, M.; Tyunina, M.

    2018-01-01

    Single-crystal-type epitaxial films of perovskite oxide ferroelectrics are attractive for integrated photonic applications because of the remarkable optical properties and effects in ferroelectrics. The properties of the films may be influenced by epitaxial strain arising from the film-substrate mismatch. Here, dramatic strain-induced changes of the absorption and refraction are experimentally detected by spectroscopic ellipsometry in epitaxial films of archetypical ferroelectric PbTiO3. Comparison of the properties of a tensile-strained film with those of reference films and crystals reveals that epitaxial tension produces blueshifts of the primary above-bandgap absorption peaks by 1 eV and a decrease in the refractive index by 0.5 in the transparent spectral range. The obtained quadratic electrooptic and effective elastooptic coefficients exceed the bulk values by orders of magnitude. The experimental observations prove that epitaxy is a powerful tool for engineering unprecedented optical properties that may enable future photonics innovations.

  9. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  10. Vacancies in epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davydov, S. Yu., E-mail: Sergei-Davydov@mail.ru

    The coherent-potential method is used to consider the problem of the influence of a finite concentration of randomly arranged vacancies on the density of states of epitaxial graphene. To describe the density of states of the substrate, simple models (the Anderson model, Haldane-Anderson model, and parabolic model) are used. The electronic spectrum of free single-sheet graphene is considered in the low-energy approximation. Charge transfer in the graphene-substrate system is discussed. It is shown that, in all cases, the density of states of epitaxial graphene decreases proportionally to the vacancy concentration. At the same time, the average charge transferred from graphenemore » to the substrate increases.« less

  11. Preliminary volcano-hazard assessment for Mount Spurr Volcano, Alaska

    USGS Publications Warehouse

    Waythomas, Christopher F.; Nye, Christopher J.

    2001-01-01

    Mount Spurr volcano is an ice- and snow-covered stratovolcano complex located in the north-central Cook Inlet region about 100 kilometers west of Anchorage, Alaska. Mount Spurr volcano consists of a breached stratovolcano, a lava dome at the summit of Mount Spurr, and Crater Peak vent, a small stratocone on the south flank of Mount Spurr volcano. Historical eruptions of Crater Peak occurred in 1953 and 1992. These eruptions were relatively small but explosive, and they dispersed volcanic ash over areas of interior, south-central, and southeastern Alaska. Individual ash clouds produced by the 1992 eruption drifted east, north, and south. Within a few days of the eruption, the south-moving ash cloud was detected over the North Atlantic. Pyroclastic flows that descended the south flank of Crater Peak during both historical eruptions initiated volcanic-debris flows or lahars that formed temporary debris dams across the Chakachatna River, the principal drainage south of Crater Peak. Prehistoric eruptions of Crater Peak and Mount Spurr generated clouds of volcanic ash, pyroclastic flows, and lahars that extended to the volcano flanks and beyond. A flank collapse on the southeast side of Mount Spurr generated a large debris avalanche that flowed about 20 kilometers beyond the volcano into the Chakachatna River valley. The debris-avalanche deposit probably formed a large, temporary debris dam across the Chakachatna River. The distribution and thickness of volcanic-ash deposits from Mount Spurr volcano in the Cook Inlet region indicate that volcanic-ash clouds from most prehistoric eruptions were as voluminous as those produced by the 1953 and 1992 eruptions. Clouds of volcanic ash emitted from the active vent, Crater Peak, would be a major hazard to all aircraft using Ted Stevens Anchorage International Airport and other local airports and, depending on wind direction, could drift a considerable distance beyond the volcano. Ash fall from future eruptions could disrupt many

  12. Transient Fluvial Response to Alpine Deglaciation, Mount Rainier, WA: Geomorphic Process Domains and Proglacial Flux Controls on Channel Evolution.

    NASA Astrophysics Data System (ADS)

    Beyeler, J. D.; Montgomery, D.; Kennard, P. M.

    2016-12-01

    Downwasting of all glaciers on the flanks of Mount Rainier, WA, in recent decades has debuttressed Little Ice Age glaciogenic sediments driving proglacial responses to regionally warming climate. Rivers draining the deglaciating edifice are responding to paraglacial sedimentation processes through transient storage of retreat-liberated sediments in aggrading (e.g., >5m) fluvial networks with widening channel corridors (i.e., 50-150%) post-LIA (ca., 1880-1910 locally). We hypothesize that the downstream transmission of proglacial fluxes (i.e., sediment and water) through deglaciating alpine terrain is a two-step geomorphic process. The ice-proximal portion of the proglacial system is dominated by the delivery of high sediment-to-water ratio flows (i.e., hyperconcentrated and debris slurries) and sediment retention by in-channel accumulation (e.g., confined debris fans within channel margins of valley segments) exacerbated by recruitment and accumulation of large wood (e.g., late seral stage conifers), whereas ice-distal fluvial reworking of transient sediment accumulations generates downstream aggradation. Historical Carbon River observations show restricted ice-proximal proglacial aggradation until a mainstem avulsion in 2009 initiated incision into sediment accumulations formed in recent decades, which is translating into aggradation farther down the network. Surficial morphology mapped with GPS, exposed subsurface sedimentology, and preliminary dating of buried trees suggest a transitional geomorphic process zone has persisted along the proglacial Carbon River through recent centuries and prior to the ultimate LIA glaciation. Structure-from-motion DEM differencing through the 2016 water year shows discrete zones of proglacial evolution through channel-spanning bed aggradation forced by interactions between large wood and sediment-rich flows that transition to fluvial process dominance as sediment is transported downstream. Long-term DEM differencing suggests

  13. A Versatile Mounting Method for Long Term Imaging of Zebrafish Development.

    PubMed

    Hirsinger, Estelle; Steventon, Ben

    2017-01-26

    Zebrafish embryos offer an ideal experimental system to study complex morphogenetic processes due to their ease of accessibility and optical transparency. In particular, posterior body elongation is an essential process in embryonic development by which multiple tissue deformations act together to direct the formation of a large part of the body axis. In order to observe this process by long-term time-lapse imaging it is necessary to utilize a mounting technique that allows sufficient support to maintain samples in the correct orientation during transfer to the microscope and acquisition. In addition, the mounting must also provide sufficient freedom of movement for the outgrowth of the posterior body region without affecting its normal development. Finally, there must be a certain degree in versatility of the mounting method to allow imaging on diverse imaging set-ups. Here, we present a mounting technique for imaging the development of posterior body elongation in the zebrafish D. rerio. This technique involves mounting embryos such that the head and yolk sac regions are almost entirely included in agarose, while leaving out the posterior body region to elongate and develop normally. We will show how this can be adapted for upright, inverted and vertical light-sheet microscopy set-ups. While this protocol focuses on mounting embryos for imaging for the posterior body, it could easily be adapted for the live imaging of multiple aspects of zebrafish development.

  14. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    NASA Astrophysics Data System (ADS)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  15. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  16. 41. THE BEAR PIT (OLD SIDE DINING ROOM). THE ETCHINGS ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    41. THE BEAR PIT (OLD SIDE DINING ROOM). THE ETCHINGS ON THE CEILING BEAMS AND COLUMNS OF PARK WILDLIFE ARE ORIGINAL TO THE OLD SIDE DINING ROOM. THE SIDE DINING ROOM WAS DESIGNED AND BUILT BY ROBERT REAMER IN 1927. IN 1962 WHEN IT WAS CONVERTED INTO THE BEAR PIT A WALL WAS ADDED BETWEEN THE THREE COLUMNS THAT SEPARATE THIS ROOM FROM THE MAIN DINING ROOM. THE ORIGINAL BEAR PIT ETCHINGS DEPICTING BEARS TENDING BAR AND PLAYING THE PIANO WERE MOUNTED ON THE WALL BETWEEN THE COLUMNS. - Old Faithful Inn, 900' northeast of Snowlodge & 1050' west of Old Faithful Lodge, Lake, Teton County, WY

  17. Learning enhances the relative impact of top-down processing in the visual cortex

    PubMed Central

    Makino, Hiroshi; Komiyama, Takaki

    2015-01-01

    Theories have proposed that in sensory cortices learning can enhance top-down modulation by higher brain areas while reducing bottom-up sensory inputs. To address circuit mechanisms underlying this process, we examined the activity of layer 2/3 (L2/3) excitatory neurons in the mouse primary visual cortex (V1) as well as L4 neurons, the main bottom-up source, and long-range top-down projections from the retrosplenial cortex (RSC) during associative learning over days using chronic two-photon calcium imaging. During learning, L4 responses gradually weakened, while RSC inputs became stronger. Furthermore, L2/3 acquired a ramp-up response temporal profile with learning, coinciding with a similar change in RSC inputs. Learning also reduced the activity of somatostatin-expressing inhibitory neurons (SOM-INs) in V1 that could potentially gate top-down inputs. Finally, RSC inactivation or SOM-IN activation was sufficient to partially reverse the learning-induced changes in L2/3. Together, these results reveal a learning-dependent dynamic shift in the balance between bottom-up and top-down information streams and uncover a role of SOM-INs in controlling this process. PMID:26167904

  18. Liner mounting assembly

    NASA Technical Reports Server (NTRS)

    Halila, Ely E. (Inventor)

    1994-01-01

    A mounting assembly includes an annular supporting flange disposed coaxially about a centerline axis which has a plurality of circumferentially spaced apart supporting holes therethrough. An annular liner is disposed coaxially with the supporting flange and includes a plurality of circumferentially spaced apart mounting holes aligned with respective ones of the supporting holes. Each of a plurality of mounting pins includes a proximal end fixedly joined to the supporting flange through a respective one of the supporting holes, and a distal end disposed through a respective one of the liner mounting holes for supporting the liner to the supporting flange while unrestrained differential thermal movement of the liner relative to the supporting flange.

  19. Bottom-up and top-down emotion generation: implications for emotion regulation

    PubMed Central

    Misra, Supriya; Prasad, Aditya K.; Pereira, Sean C.; Gross, James J.

    2012-01-01

    Emotion regulation plays a crucial role in adaptive functioning and mounting evidence suggests that some emotion regulation strategies are often more effective than others. However, little attention has been paid to the different ways emotions can be generated: from the ‘bottom-up’ (in response to inherently emotional perceptual properties of the stimulus) or ‘top-down’ (in response to cognitive evaluations). Based on a process priming principle, we hypothesized that mode of emotion generation would interact with subsequent emotion regulation. Specifically, we predicted that top-down emotions would be more successfully regulated by a top-down regulation strategy than bottom-up emotions. To test this hypothesis, we induced bottom-up and top-down emotions, and asked participants to decrease the negative impact of these emotions using cognitive reappraisal. We observed the predicted interaction between generation and regulation in two measures of emotional responding. As measured by self-reported affect, cognitive reappraisal was more successful on top-down generated emotions than bottom-up generated emotions. Neurally, reappraisal of bottom-up generated emotions resulted in a paradoxical increase of amygdala activity. This interaction between mode of emotion generation and subsequent regulation should be taken into account when comparing of the efficacy of different types of emotion regulation, as well as when reappraisal is used to treat different types of clinical disorders. PMID:21296865

  20. 3D-additive manufactured optical mount

    NASA Astrophysics Data System (ADS)

    Mammini, Paul V.; Ciscel, David; Wooten, John

    2015-09-01

    The Area Defense Anti-Munitions (ADAM) is a low cost and effective high power laser weapon system. It's designed to address and negate important threats such as short-range rockets, UAVs, and small boats. Many critical optical components operate in the system. The optics and mounts must accommodate thermal and mechanical stresses, plus maintain an exceptional wave front during operation. Lockheed Martin Space Systems Company (LMSSC) developed, designed, and currently operates ADAM. This paper covers the design and development of a key monolithic, flexured, titanium mirror mount that was manufactured by CalRAM using additive processes.

  1. Micromechanical Waveguide Mounts for Hot Electron Bolometer Terahertz Mixers

    NASA Astrophysics Data System (ADS)

    Brandt, Michael; Jacobs, Karl; Honingh, C. E.; Stodolka, Jörg

    The superior beam matching of waveguide horn antennas to a telescope suggests using waveguide mounts even at THz-frequencies. In contrast to the more common quasi-optical (substrate lens) designs, the exceedingly small dimensions of the waveguide require novel micro-mechanical fabrication technologies. We will present a novel fabrication scheme for 1.9 THz waveguide mixers for SOFIA. Hot Electron Bolometer devices (HEB) are fabricated on 2 μm thick Si3N4 membrane strips. The strips are robust enough to be mounted on a separately fabricated Si support frame using an adapted flip-chip technology. Mounted onto the frame, the devices can be easily positioned and glued into a copper waveguide mount. Further developments regarding micro-mechanical processes to fabricate this copper waveguide mount and the receiving horn antenna will be presented, as well as the KOSMA Micro Assembly Station and its capabilities to handle mixer substrates.

  2. High bandwidth optical mount

    DOEpatents

    Bender, Donald A.; Kuklo, Thomas

    1994-01-01

    An optical mount, which directs a laser beam to a point by controlling the position of a light-transmitting optic, is stiffened so that a lowest resonant frequency of the mount is approximately one kilohertz. The optical mount, which is cylindrically-shaped, positions the optic by individually moving a plurality of carriages which are positioned longitudinally within a sidewall of the mount. The optical mount is stiffened by allowing each carriage, which is attached to the optic, to move only in a direction which is substantially parallel to a center axis of the optic. The carriage is limited to an axial movement by flexures or linear bearings which connect the carriage to the mount. The carriage is moved by a piezoelectric transducer. By limiting the carriage to axial movement, the optic can be kinematically clamped to a carriage.

  3. High bandwidth optical mount

    DOEpatents

    Bender, D.A.; Kuklo, T.

    1994-11-08

    An optical mount, which directs a laser beam to a point by controlling the position of a light-transmitting optic, is stiffened so that a lowest resonant frequency of the mount is approximately one kilohertz. The optical mount, which is cylindrically-shaped, positions the optic by individually moving a plurality of carriages which are positioned longitudinally within a sidewall of the mount. The optical mount is stiffened by allowing each carriage, which is attached to the optic, to move only in a direction which is substantially parallel to a center axis of the optic. The carriage is limited to an axial movement by flexures or linear bearings which connect the carriage to the mount. The carriage is moved by a piezoelectric transducer. By limiting the carriage to axial movement, the optic can be kinematically clamped to a carriage. 5 figs.

  4. Gravity influences top-down signals in visual processing.

    PubMed

    Cheron, Guy; Leroy, Axelle; Palmero-Soler, Ernesto; De Saedeleer, Caty; Bengoetxea, Ana; Cebolla, Ana-Maria; Vidal, Manuel; Dan, Bernard; Berthoz, Alain; McIntyre, Joseph

    2014-01-01

    Visual perception is not only based on incoming visual signals but also on information about a multimodal reference frame that incorporates vestibulo-proprioceptive input and motor signals. In addition, top-down modulation of visual processing has previously been demonstrated during cognitive operations including selective attention and working memory tasks. In the absence of a stable gravitational reference, the updating of salient stimuli becomes crucial for successful visuo-spatial behavior by humans in weightlessness. Here we found that visually-evoked potentials triggered by the image of a tunnel just prior to an impending 3D movement in a virtual navigation task were altered in weightlessness aboard the International Space Station, while those evoked by a classical 2D-checkerboard were not. Specifically, the analysis of event-related spectral perturbations and inter-trial phase coherency of these EEG signals recorded in the frontal and occipital areas showed that phase-locking of theta-alpha oscillations was suppressed in weightlessness, but only for the 3D tunnel image. Moreover, analysis of the phase of the coherency demonstrated the existence on Earth of a directional flux in the EEG signals from the frontal to the occipital areas mediating a top-down modulation during the presentation of the image of the 3D tunnel. In weightlessness, this fronto-occipital, top-down control was transformed into a diverging flux from the central areas toward the frontal and occipital areas. These results demonstrate that gravity-related sensory inputs modulate primary visual areas depending on the affordances of the visual scene.

  5. Conceptual design study: Cold water pipe systems for self-mounted OTEC powerplants

    NASA Astrophysics Data System (ADS)

    1981-02-01

    The conceptual design and installation aspects of cold water pipes (CWP) systems for shelf mounted OTEC power plants in Puerto Rico and Hawaii are considered. The CWP systems using Fiberglass Reinforced Plastic (FRP) and steel were designed; the FRP, can be controlled by varying the core thickness; and steel is used as a structural material in offshore applications. A marine railway approach was chosen for installation of the CWP. Two methods for pulling the track for the railway down the pipe fairway to its final location are presented. The track is permanently fastened to the sloping seabed with piles installed by a remotely controlled cart that rides on the track itself. Both the marine railway and the shelf mounted platform that houses the OTEC power plant require an anodic or equivalent corrosion protection system.

  6. Charged particle detection performances of CMOS pixel sensors produced in a 0.18 μm process with a high resistivity epitaxial layer

    NASA Astrophysics Data System (ADS)

    Senyukov, S.; Baudot, J.; Besson, A.; Claus, G.; Cousin, L.; Dorokhov, A.; Dulinski, W.; Goffe, M.; Hu-Guo, C.; Winter, M.

    2013-12-01

    The apparatus of the ALICE experiment at CERN will be upgraded in 2017/18 during the second long shutdown of the LHC (LS2). A major motivation for this upgrade is to extend the physics reach for charmed and beauty particles down to low transverse momenta. This requires a substantial improvement of the spatial resolution and the data rate capability of the ALICE Inner Tracking System (ITS). To achieve this goal, the new ITS will be equipped with 50 μm thin CMOS Pixel Sensors (CPS) covering either the three innermost layers or all the 7 layers of the detector. The CPS being developed for the ITS upgrade at IPHC (Strasbourg) is derived from the MIMOSA 28 sensor realised for the STAR-PXL at RHIC in a 0.35 μm CMOS process. In order to satisfy the ITS upgrade requirements in terms of readout speed and radiation tolerance, a CMOS process with a reduced feature size and a high resistivity epitaxial layer should be exploited. In this respect, the charged particle detection performance and radiation hardness of the TowerJazz 0.18 μm CMOS process were studied with the help of the first prototype chip MIMOSA 32. The beam tests performed with negative pions of 120 GeV/c at the CERN-SPS allowed to measure a signal-to-noise ratio (SNR) for the non-irradiated chip in the range between 22 and 32 depending on the pixel design. The chip irradiated with the combined dose of 1 MRad and 1013neq /cm2 was observed to yield an SNR ranging between 11 and 23 for coolant temperatures varying from 15 °C to 30 °C. These SNR values were measured to result in particle detection efficiencies above 99.5% and 98% before and after irradiation, respectively. These satisfactory results allow to validate the TowerJazz 0.18 μm CMOS process for the ALICE ITS upgrade.

  7. Extreme triple asymmetric (ETAS) epitaxial designs for increased efficiency at high powers in 9xx-nm diode lasers

    NASA Astrophysics Data System (ADS)

    Kaul, T.; Erbert, G.; Maaßdorf, A.; Martin, D.; Crump, P.

    2018-02-01

    Broad area lasers that are tailored to be most efficient at the highest achievable optical output power are sought by industry to decrease operation costs and improve system performance. Devices using Extreme-Double-ASymmetric (EDAS) epitaxial designs are promising candidates for improved efficiency at high optical output powers due to low series resistance, low optical loss and low carrier leakage. However, EDAS designs leverage ultra-thin p-side waveguides, meaning that the optical mode is shifted into the n-side waveguide, resulting in a low optical confinement in the active region, low gain and hence high threshold current, limiting peak performance. We introduce here explicit design considerations that enable EDAS-based devices to be developed with increased optical confinement in the active layer without changing the p-side layer thicknesses. Specifically, this is realized by introducing a third asymmetric component in the vicinity of the quantum well. We call this approach Extreme-Triple-ASymmetric (ETAS) design. A series of ETAS-based vertical designs were fabricated into broad area lasers that deliver up to 63% power conversion efficiency at 14 W CW optical output power from a 100 μm stripe laser, which corresponds to the operation point of a kW optical output power in a laser bar. The design process, the impact of structural changes on power saturation mechanisms and finally devices with improved performance will be presented.

  8. Electrical Properties of the V-Defects of Epitaxial HgCdTe

    NASA Astrophysics Data System (ADS)

    Novikov, V. A.; Grigoryev, D. V.; Bezrodnyy, D. A.; Voitsekhovskii, A. V.; Dvoretsky, S. A.; Mikhailov, N. N.

    2017-07-01

    The manufacturing process of wide-band-gap matrix photodetector devices and miniaturization of their individual pixels gave rise to increased demands on the material quality and research methods. In the present paper we propose using the methods of atomic-force microscopy to study the local distribution of electrical properties of the V-defects that form in epitaxial films of HgCdTe during their growth process via molecular beam epitaxy. We demonstrate that a complex approach to studying the electrical properties of a predefined region of a V-defect allows one to obtain more detailed information on its properties. Using scanning spreading resistance microscopy, we show that, for a V-defect when the applied bias is increased, the surface area that participates in the process of charge carrier transfer also increases almost linearly. The presence of a potential barrier on the periphery of individual crystal grains that form the V-defect interferes with the flow of current and also affects the distribution of surface potential and capacitive contrast.

  9. 10. VIEW OF ANTENNA TOWER S111 FROM THE NORTHEAST SIDE ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. VIEW OF ANTENNA TOWER S-111 FROM THE NORTHEAST SIDE LOOKING UP. A PARABLOIC ANTENNA MOUNTED ON THE TOWER IS LOCATED IN THE LOWER LEFT OF PHOTO. - U.S. Naval Base, Pearl Harbor, Lualualei Radio Transmitter, Edison & Tower Drives, Pearl City, Honolulu County, HI

  10. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  11. Fixed mount wavefront sensor

    DOEpatents

    Neal, Daniel R.

    2000-01-01

    A rigid mount and method of mounting for a wavefront sensor. A wavefront dissector, such as a lenslet array, is rigidly mounted at a fixed distance relative to an imager, such as a CCD camera, without need for a relay imaging lens therebetween.

  12. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  13. Cantilever epitaxial process

    DOEpatents

    Ashby, Carol I.; Follstaedt, David M.; Mitchell, Christine C.; Han, Jung

    2003-07-29

    A process of growing a material on a substrate, particularly growing a Group II-VI or Group III-V material, by a vapor-phase growth technique where the growth process eliminates the need for utilization of a mask or removal of the substrate from the reactor at any time during the processing. A nucleation layer is first grown upon which a middle layer is grown to provide surfaces for subsequent lateral cantilever growth. The lateral growth rate is controlled by altering the reactor temperature, pressure, reactant concentrations or reactant flow rates. Semiconductor materials, such as GaN, can be produced with dislocation densities less than 10.sup.7 /cm.sup.2.

  14. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  15. 36. HISTORIC GENERAL VIEW LOOKING NORTH DOWN THE FLAME TRENCH ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    36. HISTORIC GENERAL VIEW LOOKING NORTH DOWN THE FLAME TRENCH AT THE TEST STAND. NOTE THE MOTORIZED LIFT TO THE LEFT OF THE TEST STAND, USED TO ACCESS THE INSTRUMENTATION PLATFORM ('BIRDCAGE') MOUNTED ON TOP OF THE ROCKET DURING TEST FIRINGS. - Marshall Space Flight Center, Redstone Rocket (Missile) Test Stand, Dodd Road, Huntsville, Madison County, AL

  16. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  17. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  18. Potential hazards from future eruptions of Mount St. Helens Volcano, Washington

    USGS Publications Warehouse

    Crandell, Dwight Raymond; Mullineaux, Donal Ray

    1978-01-01

    Mount St. Helens has been more active and more explosive during the last 4,500 years than any other volcano in the conterminous United States. Eruptions of that period repeatedly formed domes, large volumes of pumice, hot pyroclastic flows, and, during the last 2,500 years, lava flows. Some of this activity resulted in mudflows that extended tens of kilometers down the floors of valleys that head at the volcano. This report describes the nature of the phenomena and their threat to people and property; the accompanying maps show areas likely to be affected by future eruptions of Mount St. Helens. Explosive eruptions that produce large volumes of pumice affect large areas because winds can carry the lightweight material hundreds of kilometers from the volcano. Because of prevailing winds, the 180-degree sector east of the volcano will be affected most often and most severely by future eruptions of this kind. However, the pumice from any one eruption will fall in only a small part of that sector. Pyroclastic flows and mudflows also can affect areas far from the volcano, but the areas they affect are smaller because they follow valleys. Mudflows and possibly pyroclastic flows moving rapidly down Swift and Pine Creeks could displace water in Swift Reservoir, which could cause disastrous floods farther downvalley.

  19. Thermoelectric generator having a resiliently mounted removable thermoelectric module

    DOEpatents

    Purdy, David L.; Shapiro, Zalman M.; Hursen, Thomas F.; Maurer, Gerould W.

    1976-11-02

    An electrical generator having an Isotopic Heat Capsule including radioactive fuel rod 21 as a primary heat source and Thermoelectric Modules 41 and 43 as converters. The Biological Shield for the Capsule is suspended from Spiders at each end each consisting of pretensioned rods 237 and 239 defining planes at right angles to each other. The Modules are mounted in cups 171 of transition members 173 of a heat rejection Fin Assembly whose fins 195 and 197 extend from both sides of the transition member 173 for effective cooling.

  20. Oral history of Florence Downs; the early years.

    PubMed

    Fairman, J; Mahon, M M

    2001-01-01

    Florence Downs is a well-recognized nursing leader, educator, editor, and scholar who helped shape nursing as an intellectual discipline, and wrote extensively about the importance of links between research and practice. Through the use of oral history data garnered over 15 hours of interviews, we constructed a narrative that describes some of Downs' formative experiences. Oral history is used to place the "stories" of an individual into a social and cultural context, in this case, the development of the profession of nursing. From the interviews, several strands emerged that defined Downs' extended career, including the importance of developing a community of scholars both in and outside of nursing, the dangers of parochialism, and the necessity of a perspective on life that melded a keen sense of humor. Factors that affected Downs' style and choice, especially her mother, and her educational experiences, were revealed. From the interviews we gained a sense of how Downs constructed her conceptual universe of nursing, as well as the language and political effectiveness to overcome barriers confronting the intellectual growth of nursing mounted by other nursing leaders as well as traditional academic disciplines.

  1. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  2. Aqueous Processing for Printed Organic Electronics: Conjugated Polymers with Multistage Cleavable Side Chains

    PubMed Central

    2017-01-01

    The ability to process conjugated polymers via aqueous solution is highly advantageous for reducing the costs and environmental hazards of large scale roll-to-roll processing of organic electronics. However, maintaining competitive electronic properties while achieving aqueous solubility is difficult for several reasons: (1) Materials with polar functional groups that provide aqueous solubility can be difficult to purify and characterize, (2) many traditional coupling and polymerization reactions cannot be performed in aqueous solution, and (3) ionic groups, though useful for obtaining aqueous solubility, can lead to a loss of solid-state order, as well as a screening of any applied bias. As an alternative, we report a multistage cleavable side chain method that combines desirable aqueous processing attributes without sacrificing semiconducting capabilities. Through the attachment of cleavable side chains, conjugated polymers have for the first time been synthesized, characterized, and purified in organic solvents, converted to a water-soluble form for aqueous processing, and brought through a final treatment to cleave the polymer side chains and leave behind the desired electronic material as a solvent-resistant film. Specifically, we demonstrate an organic soluble polythiophene that is converted to an aqueous soluble polyelectrolyte via hydrolysis. After blade coating from an aqueous solution, UV irradiation is used to cleave the polymer’s side chains, resulting in a solvent-resistant, electroactive polymer thin film. In application, this process results in aqueous printed materials with utility for solid-state charge transport in organic field effect transistors (OFETs), along with red to colorless electrochromism in ionic media for color changing displays, demonstrating its potential as a universal method for aqueous printing in organic electronics. PMID:28979937

  3. Aqueous Processing for Printed Organic Electronics: Conjugated Polymers with Multistage Cleavable Side Chains.

    PubMed

    Schmatz, Brian; Yuan, Zhibo; Lang, Augustus W; Hernandez, Jeff L; Reichmanis, Elsa; Reynolds, John R

    2017-09-27

    The ability to process conjugated polymers via aqueous solution is highly advantageous for reducing the costs and environmental hazards of large scale roll-to-roll processing of organic electronics. However, maintaining competitive electronic properties while achieving aqueous solubility is difficult for several reasons: (1) Materials with polar functional groups that provide aqueous solubility can be difficult to purify and characterize, (2) many traditional coupling and polymerization reactions cannot be performed in aqueous solution, and (3) ionic groups, though useful for obtaining aqueous solubility, can lead to a loss of solid-state order, as well as a screening of any applied bias. As an alternative, we report a multistage cleavable side chain method that combines desirable aqueous processing attributes without sacrificing semiconducting capabilities. Through the attachment of cleavable side chains, conjugated polymers have for the first time been synthesized, characterized, and purified in organic solvents, converted to a water-soluble form for aqueous processing, and brought through a final treatment to cleave the polymer side chains and leave behind the desired electronic material as a solvent-resistant film. Specifically, we demonstrate an organic soluble polythiophene that is converted to an aqueous soluble polyelectrolyte via hydrolysis. After blade coating from an aqueous solution, UV irradiation is used to cleave the polymer's side chains, resulting in a solvent-resistant, electroactive polymer thin film. In application, this process results in aqueous printed materials with utility for solid-state charge transport in organic field effect transistors (OFETs), along with red to colorless electrochromism in ionic media for color changing displays, demonstrating its potential as a universal method for aqueous printing in organic electronics.

  4. The Four-Part Literature Review Process: Breaking It Down for Students

    ERIC Educational Resources Information Center

    Price, Rebecca H.

    2017-01-01

    Both undergraduate and graduate students face similar challenges when tasked with writing literature reviews. Breaking down the literature review into a four-part process helps students decrease frustration and increase quality. This article provides usable advice for anyone teaching or writing literature reviews. Tips and illustrations illuminate…

  5. Preparation of a silicon surface for subsequent growth of dilute nitride alloys by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lazarenko, A. A.; Berezovskaya, T. N.; Denisov, D. V.; Sobolev, M. S.; Pirogov, E. V.; Nikitina, E. V.

    2017-11-01

    This article discusses the process of preparation of a silicon surface for subsequent growth of dilute nitride alloys by molecular-beam epitaxy. The method of preparation of Si (100) and Si (111) substrates was developed. This method provides reproducible high-quality silicon surface for molecular-beam epitaxy of Si-GaP heterostructures. As a result, it managed to reduce the eviction oxide temperature below 800 °C, which is an important parameter for the MBE technology.

  6. The Proterozoic Mount Isa Fault Zone, northeastern Australia: is it really a ca. 1.9 Ga terrane-bounding suture?

    NASA Astrophysics Data System (ADS)

    Bierlein, Frank P.; Betts, Peter G.

    2004-09-01

    In marked contrast to Palaeoproterozoic Laurentia, the location of sutures and boundaries of discrete crustal fragments amalgamated during Palaeoproterozoic formation of the North Australian Craton remain highly speculative. Interpretations of suture locations have relied heavily on the analysis of regional geophysical datasets because of sparse exposure of rocks of the appropriate age. The Mount Isa Fault Zone has been interpreted as one such Palaeoproterozoic terrane-bounding suture. Furthermore, the coincidence of this fault zone with major shale-hosted massive sulphide Pb-Zn-Ag orebodies has led to speculations that trans-lithospheric faults may be an important ingredient for the development of this deposit type. This study has integrated geophysical and geochemical data to test the statute of the Mount Isa Fault as a terrane-bounding suture. Forward modelling of gravity data shows that basement rocks on either side of the Mount Isa Fault have similar densities. These interpretations are consistent with geochemical observations and Sm-Nd data that suggest that basement lithologies on either side of the Mount Isa Fault are geochemically and isotopically indistinguishable from each other, and that the Mount Isa Fault is unlikely to represent a suture zone that separates different Palaeoproterozoic terranes. Our data indicate that the crustal blocks on both sides of the Mount Isa Fault Zone must have been in within close proximity of each other since the Palaeoproterozoic, and that the Western Fold Belt was part of the (ancestral) North Australian Craton well before the ˜1.89-1.87 Ga Barramundi Orogeny. It appears that deep crustal variations in density may be related to the boundary between a shallowly west-dipping high-density mafic to ultramafic plate and low-density basement rocks. This interpretation in turn impacts on crustal-scale models for the development of shale-hosted massive sulphide Pb-Zn mineralisation, which do not require trans

  7. Mount Protects Thin-Walled Glass or Ceramic Tubes from Large Thermal and Vibration Loads

    NASA Technical Reports Server (NTRS)

    Amato, Michael; Schmidt, Stephen; Marsh. James; Dahya, Kevin

    2011-01-01

    object. A release agent is applied to the inside surface of the mount just before the binding potting material is injected in the mount. This prevents the potting material from bonding to the mount, and thus prevents stress from being applied, at very low temperatures, to the fragile object being mounted. The potting material mixing and curing is temperature- and humidity-controlled. The mount has radial grooves cut in it that the potting material fills, thus controlling the vertical position of the mounted object. The design can easily be used for long and thin objects, short and wide objects, and any shape in between. The design s advantages are amplified for long and thin fragile objects. The general testing range was 45 to +45 C, but multiple mounts were successfully tested down to 60 and up to 50 C and the design can be adjusted for larger ranges.

  8. Monitoring the snowpack volume in a sinkhole on Mount Lebanon using time lapse Photogrammetry

    NASA Astrophysics Data System (ADS)

    Abou Chakra, C.; Gascoin, S.; Somma, J.; Drapeau, L.; Fanise, P.

    2017-12-01

    Lebanon is one of the richest country in the Middle East for water resources, thanks to its mountain ranges that trigger precipitation from the moist air masses coming from the Mediterranean Sea. Snowpack acts as natural water storage in winter and supply fresh water during spring and summer. Yet, Lebanon is facing a serious water scarcity problem due to: i) decreasing amount of precipitation and climate change; ii) major growth of population of original residence and large number of refugees during regional wars. Therefore, continuous and systematic monitoring of the Lebanese water resources is becoming crucial. The Mount Lebanon is made of karstic depressions named "sinkholes". It is important to monitor the snowmelt process inside these sinkholes because of their key role as "containers" of seasonal snow. By isolating the snowpack from sun radiation and wind, they slow down the natural melting process and sublimation, thus delaying as well the low water flow period. An observatory is set up to monitor the snowpack evolution in a pilot sinkhole located in Mount Lebanon. The system uses three time-lapse cameras and structure-from-motion principles to reconstruct the snow volume within the sinkhole. The approach is validated by standard topographic surveys. The results indicate that snow depth can be retrieved with an accuracy between 20 and 60 cm (residuals standard deviation) and a low bias of 50 cm after coregistration of the digital elevation models.

  9. Seafloor image survey of Juk-byeon port in Uljin, South Korea, using side scan sonar with a fixed long frame

    NASA Astrophysics Data System (ADS)

    Kim, W. H.; Park, C.; Lee, M.; Park, H. Y.; Kim, C.

    2015-12-01

    A side scan sonar launches ultrasonic wave from both sides of the transducer. And it restores the image by receiving signals. It measures the strength of how "loud" the return echo is, and paints a picture. Hard areas of the sea floor like rocks reflect more return signal than softer areas like sand. We conducted seafloor image survey from 4, Mar. 2013 using R/V Jangmok2 (35ton), side scan sonar 4125 (Edge Tech corporation). The side scan sonar system (4125) is a dual frequency system of 400/900kHz. Seafloor image survey is commonly used to tow the sensor in the rear side of vessel. However, we fixed the tow-fish on right side of the vessel in the seawater with a long frame. The mounted side scan sonar survey was useful in shallow water like the port having many obstacles. And we conducted submarine topography using multi-beam echo sounder EM3001 (Kongs-berg corporation). Multi-beam echo sounder is a device for observing and recording the submarine topography using sound. We mounted the EM3001 on right side of the vessel. Multi-beam echo sounder transducer commonly to mount at right angles to the surface of water. However, we tilted 20-degrees of transducer for long range with 85-degrees measurement on the right side of the vessel. We were equipped with a motion sensor, DGPS(Differential Global Positioning System), and SV(Sound velocity) sensor for the vessel's motion compensation, vessel's position, and the velocity of sound of seawater. The surveys showed the sediment, waste materials, and a lot of discarded tires accumulated in the port. The maximum depth was 12m in the port. Such multi-beam echo sounder survey and side scan sonar survey will facilitate the management and the improvement of environment of port.

  10. Substrate solder barriers for semiconductor epilayer growth

    DOEpatents

    Drummond, Timothy J.; Ginley, David S.; Zipperian, Thomas E.

    1989-01-01

    During the growth of compound semiconductors by epitaxial processes, substrates are typically mounted to a support. In modular beam epitaxy, mounting is done using indium as a solder. This method has two drawbacks: the indium reacts with the substrate, and it is difficult to uniformly wet the back of a large diameter substrate. Both of these problems have been successfully overcome by sputter coating the back of the substrate with a thin layer of tungsten carbide or tungsten carbide and gold. In addition to being compatible with the growth of high quality semiconductor epilayers this coating is also inert in all standard substrate cleaning etchants used for compound semiconductors, and provides uniform distribution of energy in radiant heating.

  11. Substrate solder barriers for semiconductor epilayer growth

    DOEpatents

    Drummond, T.J.; Ginley, D.S.; Zipperian, T.E.

    1989-05-09

    During the growth of compound semiconductors by epitaxial processes, substrates are typically mounted to a support. In modular beam epitaxy, mounting is done using indium as a solder. This method has two drawbacks: the indium reacts with the substrate, and it is difficult to uniformly wet the back of a large diameter substrate. Both of these problems have been successfully overcome by sputter coating the back of the substrate with a thin layer of tungsten carbide or tungsten carbide and gold. In addition to being compatible with the growth of high quality semiconductor epilayers this coating is also inert in all standard substrate cleaning etchants used for compound semiconductors, and provides uniform distribution of energy in radiant heating.

  12. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    NASA Technical Reports Server (NTRS)

    Martinez, Rafael A. (Inventor); Moritz, Jr., Joel A. (Inventor); Williams, John D. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  13. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  14. van der Waals epitaxy of Ge films on mica

    NASA Astrophysics Data System (ADS)

    Littlejohn, A. J.; Xiang, Y.; Rauch, E.; Lu, T.-M.; Wang, G.-C.

    2017-11-01

    To date, many materials have been successfully grown on substrates through van der Waals epitaxy without adhering to the constraint of lattice matching as is required for traditional chemical epitaxy. However, for elemental semiconductors such as Ge, this has been challenging and therefore it has not been achieved thus far. In this paper, we report the observation of Ge epitaxially grown on mica at a narrow substrate temperature range around 425 °C. Despite the large lattice mismatch (23%) and the lack of high in-plane symmetry in the mica surface, an epitaxial Ge film with [111] out-of-plane orientation is observed. Crystallinity and electrical properties degrade upon deviation from the ideal growth temperature, as shown by Raman spectroscopy, X-ray diffraction, and Hall effect measurements. X-ray pole figure analysis reveals that there exist multiple rotational domains in the epitaxial Ge film with dominant in-plane orientations between Ge [" separators="|1 ¯10 ] and mica[100] of (20 n )°, where n = 0, 1, 2, 3, 4, 5. A superlattice area mismatch model was used to account for the likelihood of the in-plane orientation formation and was found to be qualitatively consistent with the observed dominant orientations. Our observation of Ge epitaxy with one out-of-plane growth direction through van der Waals forces is a step toward the growth of single crystal Ge films without the constraint in the lattice and symmetry matches with the substrates.

  15. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  16. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  17. Chip design for thin-film deep ultraviolet LEDs fabricated by laser lift-off of the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Krüger, O.; Külberg, A.; Rass, J.; Zeimer, U.; Kolbe, T.; Knauer, A.; Einfeldt, S.; Weyers, M.; Kneissl, M.

    2017-12-01

    We report on a chip design which allows the laser lift-off (LLO) of the sapphire substrate sustaining the epitaxial film of flip-chip mounted deep ultraviolet light emitting diodes. A nanosecond pulsed excimer laser with a wavelength of 248 nm was used for the LLO. A mechanically stable chip design was found to be the key to prevent crack formation in the epitaxial layers and material chipping during the LLO process. Stabilization was achieved by introducing a Ti/Au leveling layer that mechanically supports the fragile epitaxial film. The electrical and optical characterization of devices before and after the LLO process shows that the device performance did not degrade by the LLO.

  18. Three-dimensional velocity models of the Mount St. Helens magmatic system using the iMUSH active-source data set

    NASA Astrophysics Data System (ADS)

    Kiser, E.; Levander, A.; Zelt, C. A.; Palomeras, I.; Creager, K.; Ulberg, C. W.; Schmandt, B.; Hansen, S. M.; Harder, S. H.; Abers, G. A.; Crosbie, K.

    2017-12-01

    Building upon previously published 2D results, this presentation will show the first 3D velocity models down to the Moho using the iMUSH (imaging Magma Under St. Helens) active-source seismic data set. Direct P and S wave travel times from 23 borehole shots recorded at approximately 6000 seismograph locations are used to model Vp, Vs, and Vp/Vs over an area extending approximately 75 km from the edifice of Mount St. Helens and down to approximately 15 km depth. At shallow depths, results show several high and low velocity anomalies that correspond well with known geological features. These include the Chehalis Basin northwest of Mount St. Helens, and the Silver Star Mountain, Spirit Lake, and Spud Mountain plutons. Starting at 4 km depth, low velocities and high Vp/Vs values are observed near Mount St. Helens, which may be associated with shallow magmatic fluids. High Vp/Vs values are also observed beneath the Indian Heaven Volcanic Field southeast of Mount St. Helens. At the regional scale, high amplitude north/south trending low and high velocity features extend from the western margin of the resolved models to approximately 30 km west of Mount St. Helens. In general these high and low velocity features also correspond to high and low Vp/Vs anomalies, respectively. These results are in agreement with previous studies that conclude that the accreted terrane Siletzia is composed of multiple igneous bodies interspersed with sedimentary units in this region. Another regional feature of interest is a broad low Vp/Vs area between Mount St. Helens, Mount Adams, and Mount Rainier that spatially correlates with the Southern Washington Cascades Conductor, indicating a non-magmatic origin to this body at shallow and mid-crustal depths. In addition to these shallow results, preliminary 3D velocity models of the entire crust will be presented that utilize both direct and reflected seismic phases from the Moho and other mid-crustal discontinuities. These models will constrain

  19. InGaP/GaAs Inverted Dual Junction Solar Cells For CPV Applications Using Metal-Backed Epitaxial Lift-Off

    NASA Astrophysics Data System (ADS)

    Bauhuis, Gerard J.; Mulder, Peter; Haverkamp, Erik J.; Schermer, John J.; Nash, Lee J.; Fulgoni, Dominic J. F.; Ballard, Ian M.; Duggan, Geoffrey

    2010-10-01

    The epitaxial lift-off (ELO) technique has been combined with inverted III-V PV cell epitaxial growth with the aim of employing thin film PV cells in HCPV systems. In a stepwise approach to the realization of an inverted triple junction on a MELO platform we have first grown a GaAs single junction PV cell to establish the basic layer release process and cell processing steps followed by the growth, fabrication and test of an inverted InGaP/GaAs dual junction structure.

  20. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  1. Piezoelectric resonator assembly with thin molybdenum mounting clips

    DOEpatents

    Peters, R. Donald

    1981-01-01

    A resonator mounting assembly wherein the resonator blank is mounted agai an essentially planar surface presented by a plurality of peripherally disposed mounting clips and bonded to this surface to provide substantially all the mechanical support for the blank in a direction normal to the major faces of the resonator blank, while being flexible in the directions parallel to said major faces so as to minimize radial stresses on the resonator blank, particularly during thermal cycling of the resonator assembly. The clips are fabricated of a low thermal expansion material, such as molybdenum, which also has considerable yield strength after exposure to processing temperatures; the bonding of the clips to the edges of the resonator blank can be achieved by a polyimide containing electrically conductive particles.

  2. Shoulder-Mounted Robot for MRI-guided arthrography: Accuracy and mounting study.

    PubMed

    Monfaredi, R; Wilson, E; Sze, R; Sharma, K; Azizi, B; Iordachita, I; Cleary, K

    2015-08-01

    A new version of our compact and lightweight patient-mounted MRI-compatible 4 degree-of-freedom (DOF) robot for MRI-guided arthrography procedures is introduced. This robot could convert the traditional two-stage arthrography procedure (fluoroscopy-guided needle insertion followed by a diagnostic MRI scan) to a one-stage procedure, all in the MRI suite. The results of a recent accuracy study are reported. A new mounting technique is proposed and the mounting stability is investigated using optical and electromagnetic tracking on an anthropomorphic phantom. Five volunteer subjects including 2 radiologists were asked to conduct needle insertion in 4 different random positions and orientations within the robot's workspace and the displacement of the base of the robot was investigated during robot motion and needle insertion. Experimental results show that the proposed mounting method is stable and promising for clinical application.

  3. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  4. Atomic layer epitaxy of YBaCuO for optoelectronic applications

    NASA Technical Reports Server (NTRS)

    Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.

    1992-01-01

    An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.

  5. Epitaxial nickel disilicide with low resistivity and excellent reliability.

    PubMed

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-12

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black's equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  6. Epitaxial nickel disilicide with low resistivity and excellent reliability

    NASA Astrophysics Data System (ADS)

    Hsin, Cheng-Lun; Deng, Shiu-Sheng

    2016-02-01

    Ultra-thin epitaxial NiSi2 was formed, and its structure was examined by electron microscopy and x-ray diffraction. Compared with previous reports, the measured resistivity of the epitaxial NiSi2 was unprecedentedly low, reaching 7 μΩ cm in the experimental results and up to 14.93 μΩ cm after modification. The reliability, which was investigated under different temperatures and current densities to understand its electronic characteristics, was 1.5 times better than that of the conventional polycrystalline counterpart. Black’s equation and the measured mean-time-to-failure (MTTF) were used to obtain the reliability characteristics of epitaxial and poly-NiSi2. Confidence intervals at 95% for each MTTF confirmed the single failure mode. The electromigration phenomenon was observed to be the failure mechanism. Our results provide evidence that epitaxial NiSi2 is a promising contact material for future electronics.

  7. Effective connectivities of cortical regions for top-down face processing: A Dynamic Causal Modeling study

    PubMed Central

    Li, Jun; Liu, Jiangang; Liang, Jimin; Zhang, Hongchuan; Zhao, Jizheng; Rieth, Cory A.; Huber, David E.; Li, Wu; Shi, Guangming; Ai, Lin; Tian, Jie; Lee, Kang

    2013-01-01

    To study top-down face processing, the present study used an experimental paradigm in which participants detected non-existent faces in pure noise images. Conventional BOLD signal analysis identified three regions involved in this illusory face detection. These regions included the left orbitofrontal cortex (OFC) in addition to the right fusiform face area (FFA) and right occipital face area (OFA), both of which were previously known to be involved in both top-down and bottom-up processing of faces. We used Dynamic Causal Modeling (DCM) and Bayesian model selection to further analyze the data, revealing both intrinsic and modulatory effective connectivities among these three cortical regions. Specifically, our results support the claim that the orbitofrontal cortex plays a crucial role in the top-down processing of faces by regulating the activities of the occipital face area, and the occipital face area in turn detects the illusory face features in the visual stimuli and then provides this information to the fusiform face area for further analysis. PMID:20423709

  8. Side to Side Supercharging Allograft

    DTIC Science & Technology

    Side-to-side grafting between the PNA and regional in situ nerve trunks may be able to increase the effective critical length of the PNA. Nerve tissue...and provides an effective scaffolding system but depends on in situ Schwann cell migration to support axon regeneration. Though this process appears...loss and retraction can result in segmental gaps requiring some form of grafting. Autologous nerve grafting is associated with potential donor

  9. Splenic trauma as an adverse effect of torso-protecting side airbags: biomechanical and case evidence.

    PubMed

    Hallman, Jason J; Brasel, Karen J; Yoganandan, Narayan; Pintar, Frank A

    2009-10-01

    Injury mechanisms from frontal airbags, first identified in anecdotal reports, are now well documented for pediatric, small female, and out-of-position occupants. In contrast, torso side airbags have not yet been consistently associated with specific injury risks in field assessments. To determine possible torso side airbag-related injuries, the present study identified crashes involving side airbags from reports within the CIREN, NASS, and SCI databases. Injury patterns were compared to patterns from lateral crashes in absence of side airbag. Splenic trauma (AIS 3+) was found present in five cases of torso side airbag deployment at lower impact severity (as measured by velocity change and compartment intrusion) than cases of splenic trauma without side airbag. Five additional cases were found to contain similar injury patterns but occurred with greater crash severity. To supplement case analyses, full scale sled tests were conducted with a THOR-NT dummy and cadaveric specimen. Four THOR tests with door- and seat-mounted torso side airbags confirmed that out-of-position (early inflation stage) airbag contact elevated thoracic injury metrics compared to optimal (fully inflated) contact. Out-of-position seat-mounted airbag deployment also produced AIS 3 splenic trauma in the cadaveric specimen. Due to potentially sudden or delayed onset of intraperitoneal hemorrhage and hypovolemic shock following splenic trauma, further biomechanical investigation of this anecdotal evidence is essential to identify injury mechanisms, prevention techniques, and methods for early diagnosis.

  10. Splenic Trauma as an Adverse Effect of Torso-Protecting Side Airbags: Biomechanical and Case Evidence

    PubMed Central

    Hallman, Jason J.; Brasel, Karen J.; Yoganandan, Narayan; Pintar, Frank A.

    2009-01-01

    Injury mechanisms from frontal airbags, first identified in anecdotal reports, are now well documented for pediatric, small female, and out-of-position occupants. In contrast, torso side airbags have not yet been consistently associated with specific injury risks in field assessments. To determine possible torso side airbag-related injuries, the present study identified crashes involving side airbags from reports within the CIREN, NASS, and SCI databases. Injury patterns were compared to patterns from lateral crashes in absence of side airbag. Splenic trauma (AIS 3+) was found present in five cases of torso side airbag deployment at lower impact severity (as measured by velocity change and compartment intrusion) than cases of splenic trauma without side airbag. Five additional cases were found to contain similar injury patterns but occurred with greater crash severity. To supplement case analyses, full scale sled tests were conducted with a THOR-NT dummy and cadaveric specimen. Four THOR tests with door- and seat-mounted torso side airbags confirmed that out-of-position (early inflation stage) airbag contact elevated thoracic injury metrics compared to optimal (fully inflated) contact. Out-of-position seat-mounted airbag deployment also produced AIS 3 splenic trauma in the cadaveric specimen. Due to potentially sudden or delayed onset of intraperitoneal hemorrhage and hypovolemic shock following splenic trauma, further biomechanical investigation of this anecdotal evidence is essential to identify injury mechanisms, prevention techniques, and methods for early diagnosis. PMID:20184829

  11. Temperature compensated sleeve type mirror mount

    NASA Technical Reports Server (NTRS)

    1973-01-01

    The primary mirror of a large (26-inch diameter aperture) solar telescope was made of glass ceramic and designed with an integral hub on the back of the center of the mirror. This permits heat from the mirror to radiate off its back to a nearby cold plate. To permit mounting without high stresses, the hub was ground down to a smooth cylindrical surface 3.5 inch in diameter. The ground surface was then acid-etched to remove 0.007 inch (on the diameter) by immersion for five minutes in a mixture of four parts 92% sulfuric acid and three parts 50% hydrofluoric acid. The acid etching removes microcracks from the ground Cer-Vit surface. An Invar sleeve was fabricated to fit over the hub with about 0.010 inch radial (0.020 inch diametral) clearance.

  12. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    NASA Astrophysics Data System (ADS)

    Dorokhov, A.; Bertolone, G.; Baudot, J.; Brogna, A. S.; Colledani, C.; Claus, G.; De Masi, R.; Deveaux, M.; Dozière, G.; Dulinski, W.; Fontaine, J.-C.; Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I.; Voutsinas, G.; Wagner, F. M.; Winter, M.

    2010-12-01

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) [1] have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) [2,3]. Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10 μm pitch device was found to be ˜1013 neq/cm2, while it was only 2×1012 neq/cm2 for a 20 μm pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10 14) n eq/cm 2. This goal relies on a fabrication process featuring a 15 μm thin, high resistivity ( ˜1 kΩ cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages ( <5 V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of ˜50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered ( 3×1013 neq/cm2), making evidence of a significant extension of the radiation tolerance limits of MAPS. Standing for minimum ionising particle.

  13. Microstructures and Microhardness Properties of CMSX-4® Additively Fabricated Through Scanning Laser Epitaxy (SLE)

    NASA Astrophysics Data System (ADS)

    Basak, Amrita; Holenarasipura Raghu, Shashank; Das, Suman

    2017-12-01

    Epitaxial CMSX-4® deposition is achieved on CMSX-4® substrates through the scanning laser epitaxy (SLE) process. A thorough analysis is performed using various advanced material characterization techniques, namely high-resolution optical microscopy, scanning electron microscopy, energy-dispersive x-ray spectroscopy, x-ray diffraction, and Vickers microhardness measurements, to characterize and compare the quality of the SLE-fabricated CMSX-4® deposits to the CMSX-4® substrates. The results show that the CMSX-4® deposits have smaller primary dendritic arm spacing, finer γ/ γ' size, weaker elemental segregation, and higher microhardness compared to the investment cast CMSX-4® substrates. The results presented here demonstrate that CMSX-4® is an attractive material for laser-based AM processing and, therefore, can be used in the fabrication of gas turbine hot-section components through AM processing.

  14. Geochemical constraints on volatile sources and subsurface conditions at Mount Martin, Mount Mageik, and Trident Volcanoes, Katmai Volcanic Cluster, Alaska

    NASA Astrophysics Data System (ADS)

    Lopez, T.; Tassi, F.; Aiuppa, A.; Galle, B.; Rizzo, A. L.; Fiebig, J.; Capecchiacci, F.; Giudice, G.; Caliro, S.; Tamburello, G.

    2017-11-01

    contamination by shallow crustal fluids is inferred for Trident. The isotopic composition of volcanic gases emitted from Mount Mageik and Trident reflect mixing of subducted slab, mantle and crustal volatile sources, with organic sediment and carbonate being the predominant sources. Considering the close proximity of the target volcanoes in comparison with the depth to the subducted slab we speculate that Aleutian Arc volatiles are fed by a relatively homogeneous subducted fluid and that much of the apparent variability in volatile provenance can be explained by shallow crustal volatile sources and/or processes.

  15. Mount Sharp 'Photobombs' Curiosity

    NASA Image and Video Library

    2018-01-31

    This self-portrait of NASA's Curiosity Mars rover shows the vehicle on Vera Rubin Ridge, which it's been investigating for the past several months. Directly behind the rover is the start of a clay-rich slope scientists are eager to begin exploring. In the coming week, Curiosity will begin to climb this slope. North is on the left and west is on the right, with Gale Crater's rim on the horizon of both edges. Poking up just behind Curiosity's mast is Mount Sharp, photobombing the robot's selfie. Curiosity landed on Mars five years ago with the intention of studying lower Mount Sharp, where it will remain for all of its time on Mars. The mountain's base provides access to layers formed over millions of years. These layers formed in the presence of water -- likely due to a lake or lakes that sat at the bottom of the mountain, which sits inside of Gale Crater. This mosaic was assembled from dozens of images taken by Curiosity's Mars Hands Lens Imager (MAHLI). They were all taken on Jan. 23, 2018, during Sol 1943. The view does not include the rover's arm nor the MAHLI camera itself, except in the miniature scene reflected upside down in the parabolic mirror at the top of the mast. That mirror is part of Curiosity's Chemistry and Camera (ChemCam) instrument. MAHLI appears in the center of the mirror. Wrist motions and turret rotations on the arm allowed MAHLI to acquire the mosaic's component images. The arm was positioned out of the shot in the images, or portions of images, that were used in this mosaic. A full-resolution image is available at https://photojournal.jpl.nasa.gov/catalog/PIA22207

  16. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  17. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  18. Analysis of adjusting effects of mounting force on frequency conversion of mounted nonlinear optics.

    PubMed

    Su, Ruifeng; Liu, Haitao; Liang, Yingchun; Lu, Lihua

    2014-01-10

    Motivated by the need to increase the second harmonic generation (SHG) efficiency of nonlinear optics with large apertures, a novel mounting configuration with active adjusting function on the SHG efficiency is proposed and mechanically and optically studied. The adjusting effects of the mounting force on the distortion and stress are analyzed by the finite element methods (FEM), as well as the contribution of the distortion and stress to the change in phase mismatch, and the SHG efficiency are theoretically stated. Further on, the SHG efficiency is calculated as a function of the mounting force. The changing trends of the distortion, stress, and the SHG efficiency with the varying mounting force are obtained, and the optimal ones are figured out. Moreover, the mechanism of the occurrence of the optimal values is studied and the adjusting strategy is put forward. Numerical results show the robust adjustment of the mounting force, as well as the effectiveness of the mounting configuration, in increasing the SHG efficiency.

  19. Stable mirror mount

    DOEpatents

    Cutburth, Ronald W.

    1990-01-01

    An improved mirror mount assembly is disclosed. The mirror mount assembly provides a post assembly slidable in a Y-axis orientation and a nut plate assembly slidable in an X-axis orientation and a device for simultaneously locking the post assembly and the key assembly in a fixed position.

  20. Stable mirror mount

    DOEpatents

    Cutburth, R.W.

    1983-11-04

    An improved mirror mount assembly is disclosed. The mirror mount assembly provides a post assembly slidable in a Y-axis orientation and a nut plate assembly slidable in an X-axis orientation and means for simultaneously locking said post assembly and said key assembly in a fixed position.

  1. Effect of drug physico-chemical properties on the efficiency of top-down process and characterization of nanosuspension.

    PubMed

    Liu, Tao; Müller, Rainer H; Möschwitzer, Jan P

    2015-01-01

    The top-down approach is frequently used for drug nanocrystal production. A large number of review papers have referred to the top-down approach in terms of process parameters such as stabilizer selection. However, a very important factor, that is, the influence of drug properties, has been not addressed so far. This review will first discuss different nanocrystal technologies in brief. The focus will be on reviewing the different drug properties such as solid state and particle morphology on the efficiency of particle size reduction during top-down processes. Furthermore, the drug properties in the final nanosuspensions are critical for drug dissolution velocity. Therefore, another focus is the characterization of drugs in obtained nanosuspension. Drug physical properties play an important role in the production efficiency. The combinative technologies using modified drugs could significantly improve the performances of top-down processes. However, further understanding of the drug millability and homogenization will still be needed. In addition, a carefully established characterization system for nansuspension is essential.

  2. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  3. Molecular-beam epitaxy of (Zn,Mn)Se on Si(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slobodskyy, T.; Ruester, C.; Fiederling, R.

    2004-12-20

    We have investigated the growth by molecular-beam epitaxy of the II-VI diluted magnetic semiconductor (Zn,Mn)Se on As-passivated Si(100) substrates. The growth start has been optimized by using low-temperature epitaxy. Surface properties were assessed by Nomarski and scanning electron microscopy. Optical properties of (Zn,Mn)Se have been studied by photoluminescence and a giant Zeeman splitting of up to 30 meV has been observed. Our observations indicate a high crystalline quality of the epitaxial films.

  4. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  5. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysismore » also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.« less

  6. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  7. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition.

    PubMed

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-12-21

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr(2+) act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth.

  8. Preparation of Macroporous Epitaxial Quartz Films on Silicon by Chemical Solution Deposition

    PubMed Central

    Carretero-Genevrier, Adrián; Gich, Martí

    2015-01-01

    This work describes the detailed protocol for preparing piezoelectric macroporous epitaxial quartz films on silicon(100) substrates. This is a three-step process based on the preparation of a sol in a one-pot synthesis which is followed by the deposition of a gel film on Si(100) substrates by evaporation induced self-assembly using the dip-coating technique and ends with a thermal treatment of the material to induce the gel crystallization and the growth of the quartz film. The formation of a silica gel is based on the reaction of a tetraethyl orthosilicate and water, catalyzed by HCl, in ethanol. However, the solution contains two additional components that are essential for preparing mesoporous epitaxial quartz films from these silica gels dip-coated on Si. Alkaline earth ions, like Sr2+ act as glass melting agents that facilitate the crystallization of silica and in combination with cetyl trimethylammonium bromide (CTAB) amphiphilic template form a phase separation responsible of the macroporosity of the films. The good matching between the quartz and silicon cell parameters is also essential in the stabilization of quartz over other SiO2 polymorphs and is at the origin of the epitaxial growth. PMID:26710210

  9. Installation of surface-mounted flat-conductor cable

    NASA Technical Reports Server (NTRS)

    Carden, J. R.

    1976-01-01

    Guide describes step-by-step process for installation of interior surface-mounted FCC used in commerical and residential buildings. Photographs illustrate how cable-riser and baseboard covers are installed as well as receptacle assembly and receptacle-cover replacement.

  10. Nozzle and shroud assembly mounting structure

    DOEpatents

    Faulder, Leslie J.; Frey, deceased, Gary A.; Nielsen, Engward W.; Ridler, Kenneth J.

    1997-01-01

    The present nozzle and shroud assembly mounting structure configuration increases component life and reduces maintenance by reducing internal stress between the mounting structure having a preestablished rate of thermal expansion and the nozzle and shroud assembly having a preestablished rate of thermal expansion being less than that of the mounting structure. The mounting structure includes an outer sealing portion forming a cradling member in which an annular ring member is slidably positioned. The mounting structure further includes an inner mounting portion to which a hooked end of the nozzle and shroud assembly is attached. As the inner mounting portion expands and contracts, the nozzle and shroud assembly slidably moves within the outer sealing portion.

  11. Nozzle and shroud assembly mounting structure

    DOEpatents

    Faulder, L.J.; Frey, G.A.; Nielsen, E.W.; Ridler, K.J.

    1997-08-05

    The present nozzle and shroud assembly mounting structure configuration increases component life and reduces maintenance by reducing internal stress between the mounting structure having a preestablished rate of thermal expansion and the nozzle and shroud assembly having a preestablished rate of thermal expansion being less than that of the mounting structure. The mounting structure includes an outer sealing portion forming a cradling member in which an annular ring member is slidably positioned. The mounting structure further includes an inner mounting portion to which a hooked end of the nozzle and shroud assembly is attached. As the inner mounting portion expands and contracts, the nozzle and shroud assembly slidably moves within the outer sealing portion. 3 figs.

  12. One-step aluminium-assisted crystallization of Ge epitaxy on Si by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Ziheng, E-mail: ziheng.liu@unsw.edu.au; Hao, Xiaojing; Ho-Baillie, Anita

    In this work, one-step aluminium-assisted crystallization of Ge on Si is achieved via magnetron sputtering by applying an in-situ low temperature (50 °C to 150 °C) heat treatment in between Al and Ge depositions. The effect of heat treatment on film properties and the growth mechanism of Ge epitaxy on Si are studied via X-ray diffraction, Raman and transmission electron microscopy analyses. Compared with the conventional two-step process, the one-step aluminium-assisted crystallization requires much lower thermal budget and results in pure Ge epitaxial layer, which may be suitable for use as a virtual substrate for the fabrication of III-V solar cells.

  13. Vacuum pull down method for an enhanced bonding process

    DOEpatents

    Davidson, James C.; Balch, Joseph W.

    1999-01-01

    A process for effectively bonding arbitrary size or shape substrates. The process incorporates vacuum pull down techniques to ensure uniform surface contact during the bonding process. The essence of the process for bonding substrates, such as glass, plastic, or alloys, etc., which have a moderate melting point with a gradual softening point curve, involves the application of an active vacuum source to evacuate interstices between the substrates while at the same time providing a positive force to hold the parts to be bonded in contact. This enables increasing the temperature of the bonding process to ensure that the softening point has been reached and small void areas are filled and come in contact with the opposing substrate. The process is most effective where at least one of the two plates or substrates contain channels or grooves that can be used to apply vacuum between the plates or substrates during the thermal bonding cycle. Also, it is beneficial to provide a vacuum groove or channel near the perimeter of the plates or substrates to ensure bonding of the perimeter of the plates or substrates and reduce the unbonded regions inside the interior region of the plates or substrates.

  14. The Osceola Mudflow from Mount Rainier: Sedimentology and hazard implications of a huge clay-rich debris flow

    USGS Publications Warehouse

    Vallance, J.W.; Scott, K.M.

    1997-01-01

    The 3.8 km3 Osceola Mudflow began as a water-saturated avalanche during phreatomagmatic eruptions at the summit of Mount Rainier about 5600 years ago. It filled valleys of the White River system north and northeast of Mount Rainier to depths of more than 100 m, flowed northward and westward more than 120 km, covered more than 200 km2 of the Puget Sound lowland, and extended into Puget Sound. The lahar had a velocity of ???19 m/s and peak discharge of ???2.5 ?? 106 m3/s, 40 to 50 km downstream, and was hydraulically dammed behind a constriction. It was coeval with the Paradise lahar, which flowed down the south side of Mount Rainier, and was probably related to it genetically. Osceola Mudflow deposits comprise three facies. The axial facies forms normally graded deposits 1.5 to 25 m thick in lowlands and valley bottoms and thinner ungraded deposits in lowlands; the valley-side facies forms ungraded deposits 0.3 to 2 m thick that drape valley slopes; and the hummocky facies, interpreted before as a separate (Greenwater) lahar, forms 2-10-m-thick deposits dotted with numerous hummocks up to 20 m high and 60 m in plan. Deposits show progressive downstream improvement in sorting, increase in sand and gravel, and decrease in clay. These downstream progressions are caused by incorporation (bulking) of better sorted gravel and sand. Normally graded axial deposits show similar trends from top to bottom because of bulking. The coarse-grained basal deposits in valley bottoms are similar to deposits near inundation limits. Normal grading in deposits is best explained by incremental aggradation of a flow wave, coarser grained at its front than at its tail. The Osceola Mudflow transformed completely from debris avalanche to clay-rich (cohesive) lahar within 2 km of its source because of the presence within the preavalanche mass of large volumes of pore water and abundant weak hydrothermally altered rock. A survey of cohesive lahars suggests that the amount of hydrothermally

  15. In situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) at temperatures below 150 C

    NASA Technical Reports Server (NTRS)

    Nieh, C. W.; Lin, T. L.

    1989-01-01

    This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.

  16. Direct Down-scale Experiments of Concentration Column Designs for SHINE Process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Youker, Amanda J.; Stepinski, Dominique C.; Vandegrift, George F.

    Argonne is assisting SHINE Medical Technologies in their efforts to become a domestic Mo-99 producer. The SHINE accelerator-driven process uses a uranyl-sulfate target solution for the production of fission-product Mo-99. Argonne has developed a molybdenum recovery and purification process for this target solution. The process includes an initial Mo recovery column followed by a concentration column to reduce the product volume from 15-25 L to < 1 L prior to entry into the LEU Modified Cintichem (LMC) process for purification.1 This report discusses direct down-scale experiments of the plant-scale concentration column design, where the effects of loading velocity and temperaturemore » were investigated.« less

  17. Side Streams of Plant Food Processing As a Source of Valuable Compounds: Selected Examples.

    PubMed

    Schieber, Andreas

    2017-02-28

    Industrial processing of plant-derived raw materials generates enormous amounts of by-products. On one hand, these by-products constitute a serious disposal issue because they often emerge seasonally and are prone to microbial decay. On the other hand, they are an abundant source of valuable compounds, in particular secondary plant metabolites and cell wall materials, which may be recovered and used to functionalize foods and replace synthetic additives with ingredients of natural origin. This review covers 150 references and presents select studies performed between 2001 and 2016 on the recovery, characterization, and application of valuable constituents from grape pomace, apple pomace, potato peels, tomato pomace, carrot pomace, onion peels, by-products of citrus, mango, banana, and pineapple processing, side streams of olive oil production, and cereal by-products. The criteria used were economic importance, amounts generated, relevance of side streams as a source of valuable compounds, and reviews already published. Despite a plethora of studies carried out on the utilization of side streams, relatively few processes have yet found industrial application.

  18. Van der Waals Epitaxy of Functional Oxide Heterostructures

    NASA Astrophysics Data System (ADS)

    Chu, Ying-Hao

    In the diligent pursuit of low-power consumption, multifunctional, and environmentally friendly electronics, more sophisticated requirements on functional materials are on demand. Recently, the discovery of 2D layered materials has created a revolution to this field. Pioneered by graphene, these new 2D materials exhibit abundant unusual physical phenomena that is undiscovered in bulk forms. These materials are characterized with their layer form and almost pure 2D electronic behavior. The confinement of charge and heat transport at such ultrathin planes offers possibilities to overcome the bottleneck of present device development in thickness limitation, and thus push the technologies into next generation. Van der Waals epitaxy, an epitaxial growth method to combine 2D and 3D materials, is one of current reliable manufacturing processes to fabricate 2D materials by growing these 2D materials epitaxially on 3D materials. Then, transferring the 2D materials to the substrates for practical applications. In the mean time, van der Waals epitaxy has also been used to create free-standing 3D materials by growing 3D materials on 2D materials and then removing them from 2D materials since the interfacial boding between 2D and 3D materials should be weak van der Waals bonds. In this study, we intend to take the same concept, but to integrate a family of functional materials in order to open new avenue to flexible electronics. Due to the interplay of lattice, charge, orbital, and spin degrees of freedom, correlated electrons in oxides generate a rich spectrum of competing phases and physical properties. Recently, lots of studies have suggested that oxide heterostructures provide a powerful route to create and manipulate the degrees of freedom and offer new possibilities for next generation devices, thus create a new playground for researchers to investigate novel physics and the emergence of fascinating states of condensed matter. In this talk, we use a 2D layered material as

  19. Substrate solder barriers for semiconductor epilayer growth

    DOEpatents

    Drummond, T.J.; Ginley, D.S.; Zipperian, T.E.

    1987-10-23

    During the growth of compound semiconductors by epitaxial processes, substrates are typically mounted to a support. In molecular beam epitaxy, mounting is done using indium as a solder. This method has two drawbacks: the indium reacts with the substrate, and it is difficult to uniformly wet the back of a large diameter substrate. Both of these problems have been successfully overcome by sputter coating the back of the substrate with a thin layer of tungsten carbide or tungsten carbide and gold. In addition to being compatible with the growth of high quality semiconductor epilayers this coating is also inert in all standard substate cleaning etchants used for compound semiconductors, and provides uniform distribution of energy in radiant heating. 1 tab.

  20. Mounting for ceramic scroll

    DOEpatents

    Petty, Jack D.

    1993-01-01

    A mounting for a ceramic scroll on a metal engine block of a gas turbine engine includes a first ceramic ring and a pair of cross key connections between the first ceramic ring, the ceramic scroll, and the engine block. The cross key connections support the scroll on the engine block independent of relative radial thermal growth and for bodily movement toward an annular mounting shoulder on the engine. The scroll has an uninterrupted annular shoulder facing the mounting shoulder on the engine block. A second ceramic ring is captured between mounting shoulder and the uninterrupted shoulder on the scroll when the latter is bodily shifted toward the mouting shoulder to define a gas seal between the scroll and the engine block.

  1. Solar panel truss mounting systems and methods

    DOEpatents

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell; Goodman, Joseph; Nolan, Wade; Pitelka, Taylor; Rahimzadeh, Keyan; Brooks, Bradley; Lohr, Joshua; Crooks, Ryan; Porges, Jamie; Rubin, Daniel

    2015-10-20

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the base rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.

  2. Solar panel truss mounting systems and methods

    DOEpatents

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell; Goodman, Joseph; Nolan, Wade; Pitelka, Taylor; Rahimzadeh, Keyan; Brooks, Bradley; Lohr, Joshua; Crooks, Ryan; Porges, Jamie; Rubin, Daniel

    2016-06-28

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the base rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.

  3. Solar panel truss mounting systems and methods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the basemore » rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.« less

  4. 37. May 1985. LOOKING SOUTH DOWN HALL FROM PANTRY TO ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    37. May 1985. LOOKING SOUTH DOWN HALL FROM PANTRY TO SITTING ROOM (Door in foreground was exterior door in 1821 before addition of pantry; note wear in stone threshold) - Borough House, West Side State Route 261, about .1 mile south side of junction with old Garners Ferry Road, Stateburg, Sumter County, SC

  5. EEG alpha synchronization is related to top-down processing in convergent and divergent thinking

    PubMed Central

    Benedek, Mathias; Bergner, Sabine; Könen, Tanja; Fink, Andreas; Neubauer, Aljoscha C.

    2011-01-01

    Synchronization of EEG alpha activity has been referred to as being indicative of cortical idling, but according to more recent evidence it has also been associated with active internal processing and creative thinking. The main objective of this study was to investigate to what extent EEG alpha synchronization is related to internal processing demands and to specific cognitive process involved in creative thinking. To this end, EEG was measured during a convergent and a divergent thinking task (i.e., creativity-related task) which once were processed involving low and once involving high internal processing demands. High internal processing demands were established by masking the stimulus (after encoding) and thus preventing further bottom-up processing. Frontal alpha synchronization was observed during convergent and divergent thinking only under exclusive top-down control (high internal processing demands), but not when bottom-up processing was allowed (low internal processing demands). We conclude that frontal alpha synchronization is related to top-down control rather than to specific creativity-related cognitive processes. Frontal alpha synchronization, which has been observed in a variety of different creativity tasks, thus may not reflect a brain state that is specific for creative cognition but can probably be attributed to high internal processing demands which are typically involved in creative thinking. PMID:21925520

  6. Vibration isolation mounting system

    NASA Technical Reports Server (NTRS)

    Carter, Sam D. (Inventor); Bastin, Paul H. (Inventor)

    1995-01-01

    A system is disclosed for mounting a vibration producing device onto a spacecraft structure and also for isolating the vibration forces thereof from the structure. The system includes a mount on which the device is securely mounted and inner and outer rings. The rings and mount are concentrically positioned. The system includes a base (secured to the structure) and a set of links which are interconnected by a set of torsion bars which allow and resist relative rotational movement therebetween. The set of links are also rotatably connected to a set of brackets which are rigidly connected to the outer ring. Damped leaf springs interconnect the inner and outer rings and the mount allow relative translational movement therebetween in X and Y directions. The links, brackets and base are interconnected and configured so that they allow and resist translational movement of the device in the Z direction so that in combination with the springs they provide absorption of vibrational energy produced by the device in all three dimensions while providing rotational stiffness about all three axes to prevent undesired rotational motions.

  7. High Field Side MHD Activity During Local Helicity Injection

    NASA Astrophysics Data System (ADS)

    Pachicano, J. L.; Bongard, M. W.; Fonck, R. J.; Perry, J. M.; Reusch, J. A.; Richner, N. J.

    2017-10-01

    MHD is an essential part of understanding the mechanism for local helicity injection (LHI) current drive. The new high field side (HFS) LHI system on the Pegasus ST permits new tests of recent NIMROD simulations. In that model, LHI current streams in the plasma edge undergo large-scale reconnection events, leading to current drive. This produces bursty n = 1 activity around 30 kHz on low field side (LFS) Mirnov coils, consistent with experiment. The simulations also feature coherent injector streams winding down the center column. Improvements to the core high-resolution poloidal Mirnov array with Cat7A Ethernet cabling and differentially driven signal processing eliminated EMI-driven switching noise, enabling detailed spectral analysis. Preliminary results from the recovered HFS poloidal Mirnov coils suggest n = 1 activity is present at the top of the vessel core, but does not persist down the centerstack. HFS LHI experiments can exhibit an operating regime where the high amplitude MHD is abruptly reduced by more than an order of magnitude on LFS Mirnov coils, leading to higher plasma current and improved particle confinement. This reduction is not observed on the HFS midplane magnetics. Instead, they show broadband turbulence-like magnetic features with near consistent amplitude in a frequency range of 90-200 kHz. Work supported by US DOE Grant DE-FG02-96ER54375.

  8. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    NASA Astrophysics Data System (ADS)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  9. The head-mounted microscope.

    PubMed

    Chen, Ting; Dailey, Seth H; Naze, Sawyer A; Jiang, Jack J

    2012-04-01

    Microsurgical equipment has greatly advanced since the inception of the microscope into the operating room. These advancements have allowed for superior surgical precision and better post-operative results. This study focuses on the use of the Leica HM500 head-mounted microscope for the operating phonosurgeon. The head-mounted microscope has an optical zoom from 2× to 9× and provides a working distance from 300 mm to 700 mm. The headpiece, with its articulated eyepieces, adjusts easily to head shape and circumference, and offers a focus function, which is either automatic or manually controlled. We performed five microlaryngoscopic operations utilizing the head-mounted microscope with successful results. By creating a more ergonomically favorable operating posture, a surgeon may be able to obtain greater precision and success in phonomicrosurgery. Phonomicrosurgery requires the precise manipulation of long-handled cantilevered instruments through the narrow bore of a laryngoscope. The head-mounted microscope shortens the working distance compared with a stand microscope, thereby increasing arm stability, which may improve surgical precision. Also, the head-mounted design permits flexibility in head position, enabling operator comfort, and delaying musculoskeletal fatigue. A head-mounted microscope decreases the working distance and provides better ergonomics in laryngoscopic microsurgery. These advances provide the potential to promote precision in phonomicrosurgery. Copyright © 2011 The American Laryngological, Rhinological, and Otological Society, Inc.

  10. The Presentation Location of the Reference Stimuli Affects the Left-Side Bias in the Processing of Faces and Chinese Characters

    PubMed Central

    Li, Chenglin; Cao, Xiaohua

    2017-01-01

    For faces and Chinese characters, a left-side processing bias, in which observers rely more heavily on information conveyed by the left side of stimuli than the right side of stimuli, has been frequently reported in previous studies. However, it remains unclear whether this left-side bias effect is modulated by the reference stimuli's location. The present study adopted the chimeric stimuli task to investigate the influence of the presentation location of the reference stimuli on the left-side bias in face and Chinese character processing. The results demonstrated that when a reference face was presented in the left visual field of its chimeric images, which are centrally presented, the participants showed a preference higher than the no-bias threshold for the left chimeric face; this effect, however, was not observed in the right visual field. This finding indicates that the left-side bias effect in face processing is stronger when the reference face is in the left visual field. In contrast, the left-side bias was observed in Chinese character processing when the reference Chinese character was presented in either the left or right visual field. Together, these findings suggest that although faces and Chinese characters both have a left-side processing bias, the underlying neural mechanisms of this left-side bias might be different. PMID:29018391

  11. The Presentation Location of the Reference Stimuli Affects the Left-Side Bias in the Processing of Faces and Chinese Characters.

    PubMed

    Li, Chenglin; Cao, Xiaohua

    2017-01-01

    For faces and Chinese characters, a left-side processing bias, in which observers rely more heavily on information conveyed by the left side of stimuli than the right side of stimuli, has been frequently reported in previous studies. However, it remains unclear whether this left-side bias effect is modulated by the reference stimuli's location. The present study adopted the chimeric stimuli task to investigate the influence of the presentation location of the reference stimuli on the left-side bias in face and Chinese character processing. The results demonstrated that when a reference face was presented in the left visual field of its chimeric images, which are centrally presented, the participants showed a preference higher than the no-bias threshold for the left chimeric face; this effect, however, was not observed in the right visual field. This finding indicates that the left-side bias effect in face processing is stronger when the reference face is in the left visual field. In contrast, the left-side bias was observed in Chinese character processing when the reference Chinese character was presented in either the left or right visual field. Together, these findings suggest that although faces and Chinese characters both have a left-side processing bias, the underlying neural mechanisms of this left-side bias might be different.

  12. Novel four-sided neural probe fabricated by a thermal lamination process of polymer films.

    PubMed

    Shin, Soowon; Kim, Jae-Hyun; Jeong, Joonsoo; Gwon, Tae Mok; Lee, Seung-Hee; Kim, Sung June

    2017-02-15

    Ideally, neural probes should have channels with a three-dimensional (3-D) configuration to record the activities of 3-D neural circuits. Many types of 3-D neural probes have been developed; however, most of them were designed as an array of multiple shanks with electrodes located along one side of the shanks. We developed a novel liquid crystal polymer (LCP)-based neural probe with four-sided electrodes. This probe has electrodes on four sides of the shank, i.e., the front, back and two sidewalls. To generate the proposed configuration of the electrodes, we used a thermal lamination process involving LCP films and laser micromachining. The proposed novel four-sided neural probe, was used to successfully perform in vivo multichannel neural recording in the mouse primary somatosensory cortex. The multichannel neural recording showed that the proposed four-sided neural probe can record spiking activities from a more diverse neuronal population than single-sided probes. This was confirmed by a pairwise Pearson correlation coefficient (Pearson's r) analysis and a cross-correlation analysis. The developed four-sided neural probe can be used to record various signals from a complex neural network. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  14. Side-emitting fiber optic position sensor

    DOEpatents

    Weiss, Jonathan D [Albuquerque, NM

    2008-02-12

    A side-emitting fiber optic position sensor and method of determining an unknown position of an object by using the sensor. In one embodiment, a concentrated beam of light source illuminates the side of a side-emitting fiber optic at an unknown axial position along the fiber's length. Some of this side-illuminated light is in-scattered into the fiber and captured. As the captured light is guided down the fiber, its intensity decreases due to loss from side-emission away from the fiber and from bulk absorption within the fiber. By measuring the intensity of light emitted from one (or both) ends of the fiber with a photodetector(s), the axial position of the light source is determined by comparing the photodetector's signal to a calibrated response curve, look-up table, or by using a mathematical model. Alternatively, the side-emitting fiber is illuminated at one end, while a photodetector measures the intensity of light emitted from the side of the fiber, at an unknown position. As the photodetector moves further away from the illuminated end, the detector's signal strength decreases due to loss from side-emission and/or bulk absorption. As before, the detector's signal is correlated to a unique position along the fiber.

  15. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  16. Epitaxial growth mechanisms of graphene and effects of substrates

    NASA Astrophysics Data System (ADS)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  17. Spherical mirror mount

    NASA Technical Reports Server (NTRS)

    Meyer, Jay L. (Inventor); Messick, Glenn C. (Inventor); Nardell, Carl A. (Inventor); Hendlin, Martin J. (Inventor)

    2011-01-01

    A spherical mounting assembly for mounting an optical element allows for rotational motion of an optical surface of the optical element only. In that regard, an optical surface of the optical element does not translate in any of the three perpendicular translational axes. More importantly, the assembly provides adjustment that may be independently controlled for each of the three mutually perpendicular rotational axes.

  18. Side scanner for supermarkets: a new scanner design standard

    NASA Astrophysics Data System (ADS)

    Cheng, Charles K.; Cheng, J. K.

    1996-09-01

    High speed UPC bar code has become a standard mode of data capture for supermarkets in the US, Europe, and Japan. The influence of the ergonomics community on the design of the scanner is evident. During the past decade the ergonomic issues of cashier in check-outs has led to occupational hand-wrist cumulative trauma disorders, in most cases causing carpal tunnel syndrome, a permanent hand injury. In this paper, the design of a side scanner to resolve the issues is discussed. The complex optical module and the sensor for aforesaid side scanner is described. The ergonomic advantages offer the old counter mounted vertical scanner has been experimentally proved by the industrial funded study at an independent university.

  19. Flat mount preparation for observation and analysis of zebrafish embryo specimens stained by whole mount in situ hybridization.

    PubMed

    Cheng, Christina N; Li, Yue; Marra, Amanda N; Verdun, Valerie; Wingert, Rebecca A

    2014-07-17

    The zebrafish embryo is now commonly used for basic and biomedical research to investigate the genetic control of developmental processes and to model congenital abnormalities. During the first day of life, the zebrafish embryo progresses through many developmental stages including fertilization, cleavage, gastrulation, segmentation, and the organogenesis of structures such as the kidney, heart, and central nervous system. The anatomy of a young zebrafish embryo presents several challenges for the visualization and analysis of the tissues involved in many of these events because the embryo develops in association with a round yolk mass. Thus, for accurate analysis and imaging of experimental phenotypes in fixed embryonic specimens between the tailbud and 20 somite stage (10 and 19 hours post fertilization (hpf), respectively), such as those stained using whole mount in situ hybridization (WISH), it is often desirable to remove the embryo from the yolk ball and to position it flat on a glass slide. However, performing a flat mount procedure can be tedious. Therefore, successful and efficient flat mount preparation is greatly facilitated through the visual demonstration of the dissection technique, and also helped by using reagents that assist in optimal tissue handling. Here, we provide our WISH protocol for one or two-color detection of gene expression in the zebrafish embryo, and demonstrate how the flat mounting procedure can be performed on this example of a stained fixed specimen. This flat mounting protocol is broadly applicable to the study of many embryonic structures that emerge during early zebrafish development, and can be implemented in conjunction with other staining methods performed on fixed embryo samples.

  20. Flat Mount Preparation for Observation and Analysis of Zebrafish Embryo Specimens Stained by Whole Mount In situ Hybridization

    PubMed Central

    Cheng, Christina N.; Li, Yue; Marra, Amanda N.; Verdun, Valerie; Wingert, Rebecca A.

    2014-01-01

    The zebrafish embryo is now commonly used for basic and biomedical research to investigate the genetic control of developmental processes and to model congenital abnormalities. During the first day of life, the zebrafish embryo progresses through many developmental stages including fertilization, cleavage, gastrulation, segmentation, and the organogenesis of structures such as the kidney, heart, and central nervous system. The anatomy of a young zebrafish embryo presents several challenges for the visualization and analysis of the tissues involved in many of these events because the embryo develops in association with a round yolk mass. Thus, for accurate analysis and imaging of experimental phenotypes in fixed embryonic specimens between the tailbud and 20 somite stage (10 and 19 hours post fertilization (hpf), respectively), such as those stained using whole mount in situ hybridization (WISH), it is often desirable to remove the embryo from the yolk ball and to position it flat on a glass slide. However, performing a flat mount procedure can be tedious. Therefore, successful and efficient flat mount preparation is greatly facilitated through the visual demonstration of the dissection technique, and also helped by using reagents that assist in optimal tissue handling. Here, we provide our WISH protocol for one or two-color detection of gene expression in the zebrafish embryo, and demonstrate how the flat mounting procedure can be performed on this example of a stained fixed specimen. This flat mounting protocol is broadly applicable to the study of many embryonic structures that emerge during early zebrafish development, and can be implemented in conjunction with other staining methods performed on fixed embryo samples. PMID:25078510

  1. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  2. Frontal Electroencephalogram Asymmetry during Affective Processing in Children with Down Syndrome: A Pilot Study

    ERIC Educational Resources Information Center

    Conrad, N. J.; Schmidt, L. A.; Niccols, A.; Polak, C. P.; Riniolo, T. C.; Burack, J. A.

    2007-01-01

    Background: Although the pattern of frontal electroencephalogram (EEG) asymmetry during the processing of emotion has been examined in many studies of healthy adults and typically developing infants and children, no published work has used these theoretical and methodological approaches to study emotion processing in children with Down syndrome.…

  3. Observations of paraglacial processes on glacier forelands in Aoraki/Mount Cook National Park, Southern Alps, New Zealand

    NASA Astrophysics Data System (ADS)

    Winkler, Stefan

    2015-04-01

    The large and extensively debris-covered valley glaciers in Aoraki/Mount Cook National Park immediate east of the Main Divide in the Southern Alps of New Zealand experienced a substantial frontal retreat and vertical downwasting during the past few decades, often connected with the development of a proglacial lake and retreat by calving. Their Holocene glacier forelands are characterised by huge lateral moraines and multi-ridged lateral moraine systems alongside smaller terminal moraines and frontal outwash heads. Placed within a very dynamic general geomorphological regime of various efficient process-systems, these Holocene glacier forelands are currently affected by substantial paraglacial modification. These paraglacial processes have already caused some consequences for the touristic infrastructure in the area and are likely to cause further problems for the accessibility of established tramping routes, tourist huts, and lookouts in the near and medium future. One of the first steps in a project under development presented here is a detailed visual comparison of changes documented during the past 15 Years on the glacier forelands of Hooker, Mueller and Tasman Glaciers in Aoraki/Mount Cook National Park. It reveals considerable erosion especially on the proximal slopes of the lateral moraines by gully development and retreat of erosion scars at their crest in order of several metres in just a few years. Different processes contribute to high erosion rates, among others rill erosion connected to mid-slope springs that only are temporarily active following substantial rainfall events, efficient gully incision, and slumping. Although any quantification of the actual erosion rates is just preliminary and further studies are necessary in order to make reliable predictions for future development, the amount of paraglacial erosion in this environment is very high compared to other regions and highlights the current importance of the paraglacial process-system in the

  4. Head-mounted display for use in functional endoscopic sinus surgery

    NASA Astrophysics Data System (ADS)

    Wong, Brian J.; Lee, Jon P.; Dugan, F. Markoe; MacArthur, Carol J.

    1995-05-01

    Since the introduction of functional endoscopic sinus surgery (FESS), the procedure has undergone rapid change with evolution keeping pace with technological advances. The advent of low cost charge coupled device 9CCD) cameras revolutionized the practice and instruction of FESS. Video-based FESS has allowed for documentation of the surgical procedure as well as interactive instruction during surgery. Presently, the technical requirements of video-based FESS include the addition of one or more television monitors positioned strategically in the operating room. Thought video monitors have greatly enhanced surgical endoscopy by re- involving nurses and assistants in the actual mechanics of surgery, video monitors require the operating surgeon to be focused on the screen instead of the patient. In this study, we describe the use of a new low-cost liquid crystal display (LCD) based device that functions as a monitor but is mounted on the head on a visor (PT-O1, O1 Products, Westlake Village, CA). This study illustrates the application of these HMD devices to FESS operations. The same surgeon performed the operation in each patient. In one nasal fossa, surgery was performed using conventional video FESS methods. The contralateral side was operated on while wearing the head mounted video display. The device had adequate resolution for the purposes of FESS. No adverse effects were noted intraoperatively. The results on the patients ipsalateral and contralateral sides were similar. The visor did eliminated significant torsion of the surgeon's neck during the operation, while at the same time permitted simultaneous viewing of both the patient and the intranasal surgical field.

  5. Credit WCT. Photographic copy of photograph, view looking northeast down ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Credit WCT. Photographic copy of photograph, view looking northeast down onto new Dd test station from Test Stand "D" tower. Hatch of Dd test cell is open, and a test engine sits on a dolly nearby awaiting mounting. Note the water-cooled diffuser on the east end of the test chamber; this was soon replaced with a new diffuser and a steam-driven ejector for simulated high-altitude tests. A closed circuit television camera is mounted on the west end of the test cell. At the lower left of the view are fuel and oxidizer run tanks which supply propellants for test runs. (JPL negative no. 384-2650-A, 8 February 1961) - Jet Propulsion Laboratory Edwards Facility, Test Stand D, Edwards Air Force Base, Boron, Kern County, CA

  6. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  7. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  8. Review of thickness swell in hardboard siding : effect of processing variables

    Treesearch

    Charles G. Carll

    1997-01-01

    Medium-density hardboard is used extensively as siding on residential structures. One hardboard behavior that can be measured in the laboratory is thickness swell after exposure to water. This report reviews the literature on processing variables that are known to or likely to influence thickness swell. Where the literature on hardboard is sparse, research on other...

  9. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    NASA Astrophysics Data System (ADS)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  10. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    PubMed

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  11. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    PubMed Central

    Dávila, María Eugenia; Le Lay, Guy

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing. PMID:26860590

  12. Top-down processing of symbolic meanings modulates the visual word form area.

    PubMed

    Song, Yiying; Tian, Moqian; Liu, Jia

    2012-08-29

    Functional magnetic resonance imaging (fMRI) studies on humans have identified a region in the left middle fusiform gyrus consistently activated by written words. This region is called the visual word form area (VWFA). Recently, a hypothesis, called the interactive account, is proposed that to effectively analyze the bottom-up visual properties of words, the VWFA receives predictive feedback from higher-order regions engaged in processing sounds, meanings, or actions associated with words. Further, this top-down influence on the VWFA is independent of stimulus formats. To test this hypothesis, we used fMRI to examine whether a symbolic nonword object (e.g., the Eiffel Tower) intended to represent something other than itself (i.e., Paris) could activate the VWFA. We found that scenes associated with symbolic meanings elicited a higher VWFA response than those not associated with symbolic meanings, and such top-down modulation on the VWFA can be established through short-term associative learning, even across modalities. In addition, the magnitude of the symbolic effect observed in the VWFA was positively correlated with the subjective experience on the strength of symbol-referent association across individuals. Therefore, the VWFA is likely a neural substrate for the interaction of the top-down processing of symbolic meanings with the analysis of bottom-up visual properties of sensory inputs, making the VWFA the location where the symbolic meaning of both words and nonword objects is represented.

  13. The 727/JT8D refan side nacelle airloads

    NASA Technical Reports Server (NTRS)

    Bailey, R. W.; Vadset, H. J.

    1974-01-01

    Airloads on the 727/JT8D refan side engine nacelle are presented. These consist of surface static pressure distributions from two low speed wind tunnel tests. External nacelle surface pressures are from testing of a flow-through, body mounted nacelle model, and internal inlet surface pressures are from performance testing of a forced air inlet model. The method for obtaining critical airloads on nacelle components and a representative example are discussed.

  14. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  15. Dual resolution, vacuum compatible optical mount

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Halpin, John Michael

    2011-10-04

    An optical mount for an optical element includes a mounting plate, a lever arm pivot coupled to mounting plate, and an adjustment plate. The optical mount also includes a flexure pivot mechanically coupling the adjustment plate to the mounting plate and a lever arm. The optical mount further includes a first adjustment device extending from the adjustment plate to make contact with the lever arm at a first contact point. A projection of a line from the first contact point to a pivot point, measured along the lever arm, is a first predetermined distance. The optical mount additionally includes amore » second adjustment device extending from the adjustment plate to make contact with the lever arm at a second contact point. A projection of a line from the second contact point to the pivot point, measured along the lever arm, is a second predetermined distance greater than the first predetermined distance.« less

  16. Yet another cause for blocked sidestream capnogram--beware of the non-threaded cap mount in heat and moisture exchangers.

    PubMed

    Umesh, Goneppanavar; Jasvinder, Kaur; Shetty, Nanda

    2009-08-01

    Heat and moisture exchangers (HME) are commonly used during general anaesthesia and intensive care of patients on mechanical ventilators. Some of the HME manufacturers provide HMEs with a Luer lock fitting for connecting side stream CO(2) monitoring line, Luer lock cap, and a non-threaded cap mount. However, HMEs from different manufacturers and HMEs meant for use in children and for adults from the same manufacturer vary in the presence/absence of non-threaded cap mount. This can create confusion to the clinicians and can result in inadvertent connection of the CO(2) monitoring line to the non-threaded cap mount resulting in blocked CO(2) monitoring line and leak in the circuit. We caution all the anaesthesiologists and intensivists regarding this possibility while using HMEs from different manufacturers.

  17. Defect structure of high temperature hydride vapor phase epitaxy-grown epitaxial (0 0 0 1) AlN/sapphire using growth mode modification process

    NASA Astrophysics Data System (ADS)

    Su, Xujun; Zhang, Jicai; Huang, Jun; Zhang, Jinping; Wang, Jianfeng; Xu, Ke

    2017-06-01

    Defect structures were investigated by transmission electron microscopy for AlN/sapphire (0 0 0 1) epilayers grown by high temperature hydride vapor phase epitaxy using a growth mode modification process. The defect structures, including threading dislocations, inversion domains, and voids, were analyzed by diffraction contrast, high-resolution imaging, and convergent beam diffraction. AlN film growth was initiated at 1450 °C with high V/III ratio for 8 min. This was followed by low V/III ratio growth for 12 min. The near-interfacial region shows a high density of threading dislocations and inversion domains. Most of these dislocations have Burgers vector b = 1/3〈1 1 2 0〉 and were reduced with the formation of dislocation loops. In the middle range 400 nm < h < 2 μm, dislocations gradually aggregated and reduced to ∼109 cm-2. The inversion domains have a shuttle-like shape with staggered boundaries that deviate by ∼ ±5° from the c axis. Above 2 μm thickness, the film consists of isolated threading dislocations with a total density of 8 × 108 cm-2. Most of threading dislocations are either pure edge or mixed dislocations. The threading dislocation reduction in these films is associated with dislocation loops formation and dislocation aggregation-interaction during island growth with high V/III ratio.

  18. 10. EAST SIDE CANAL Photographic copy of historic photo, ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. EAST SIDE CANAL - Photographic copy of historic photo, December 3, 1940 (original print in '1940 Annual Report of the Carlsbad Project,' located at the Carlsbad Irrigation District offices, Carlsbad, New Mexico) photographer unknown 'LOOKING DOWN FROM STA. #22 LATERAL #8, EAST CANAL. AFTER CCC ENROLLEES FINISHED ROCK LINING' - Carlsbad Irrigation District, East Side Canal, 1 mile North to 2 miles East of Carlsbad, Carlsbad, Eddy County, NM

  19. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  20. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  1. Measurement of transmission of vibration through the human spine using skin-mounted inertial sensors.

    PubMed

    Morgado Ramírez, Dafne Z; Strike, Siobhan; Lee, Raymond Y W

    2013-05-01

    The purpose of this study was to examine the feasibility of measuring the transmission of vibration using skin mounted inertial sensors and to assess the dynamic properties of the human spine during activities of daily living. Two inertial sensors were attached to skin overlying the first thoracic vertebra (T1) and another one over the first sacral vertebra (S1) with double sided adhesive tape. Subjects walked along a straight line, and up and down stairs at a self selected, comfortable speed. Transmissibility of vertical vibration was calculated as the ratio of the power spectral density of the acceleration signal at T1 over that at S1, over the frequency range of 0.5-12Hz. Cross correlation and coherence of the acceleration signals between the two T1 sensors were performed to evaluate the similarity of the data after correction. Cross correlation of signals between trials was also performed to examine the repeatability of the signals. Cross correlation coefficients were found to be very high (>0.9). Inter-trial consistency of the signals of all sensors was also high (>0.9). It is concluded that skin measurement of transmission of vertical vibration is feasible with the inertial sensors and correction method presented. Different physical activities seem to elicit different frequency characteristics of vibration. Copyright © 2013 IPEM. Published by Elsevier Ltd. All rights reserved.

  2. Additive Manufacturing of IN100 Superalloy Through Scanning Laser Epitaxy for Turbine Engine Hot-Section Component Repair: Process Development, Modeling, Microstructural Characterization, and Process Control

    NASA Astrophysics Data System (ADS)

    Acharya, Ranadip; Das, Suman

    2015-09-01

    This article describes additive manufacturing (AM) of IN100, a high gamma-prime nickel-based superalloy, through scanning laser epitaxy (SLE), aimed at the creation of thick deposits onto like-chemistry substrates for enabling repair of turbine engine hot-section components. SLE is a metal powder bed-based laser AM technology developed for nickel-base superalloys with equiaxed, directionally solidified, and single-crystal microstructural morphologies. Here, we combine process modeling, statistical design-of-experiments (DoE), and microstructural characterization to demonstrate fully metallurgically bonded, crack-free and dense deposits exceeding 1000 μm of SLE-processed IN100 powder onto IN100 cast substrates produced in a single pass. A combined thermal-fluid flow-solidification model of the SLE process compliments DoE-based process development. A customized quantitative metallography technique analyzes digital cross-sectional micrographs and extracts various microstructural parameters, enabling process model validation and process parameter optimization. Microindentation measurements show an increase in the hardness by 10 pct in the deposit region compared to the cast substrate due to microstructural refinement. The results illustrate one of the very few successes reported for the crack-free deposition of IN100, a notoriously "non-weldable" hot-section alloy, thus establishing the potential of SLE as an AM method suitable for hot-section component repair and for future new-make components in high gamma-prime containing crack-prone nickel-based superalloys.

  3. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  4. Modeling occupants in far-side impacts.

    PubMed

    Douglas, Clay; Fildes, Brian; Gibson, Tom

    2011-10-01

    Far-side impacts are not part of any regulated NCAP, FMVSS, or similar test regime despite accounting for 43 percent of the seriously injured persons and 30 percent of the harm in U.S. side impact crashes. Furthermore, injuries to the head and thorax account for over half of the serious injuries sustained by occupants in far-side crashes. Despite this, there is no regulated or well-accepted anthropomorphic test device (ATD) or computer model available to investigate far-side impacts. As such, this presents an opportunity to assess a computer model that can be used to measure the effect of varying restraint parameters on occupant biomechanics in far-side impacts. This study sets out to demonstrate the modified TASS human facet model's (MOTHMO) capabilities in modeling whole-body response in far-side impacts. MOTHMO's dynamic response was compared to that of postmortem human subjects (PMHS), WorldSID, and Thor-NT in a series of far-side sled tests. The advantages, disadvantages, and differences of using MOTHMO compared to ATDs were highlighted and described in terms of model design and instrumentation. Potential applications and improvements for MOTHMO were also recommended. The results showed that MOTHMO is capable of replicating the seat belt-to-shoulder complex interaction, pelvis impacts, head displacement, neck and shoulder belt loading from inboard mounted belts, and impacts from multiple directions. Overall, the model performed better than Thor-NT and at least as well as WorldSID when compared to PMHS results. Though WorldSID and Thor-NT ATDs were capable of reproducing many of these impact loads, measuring the seat belt-to-shoulder complex interaction and thoracic deflection at multiple sites and directions was less accurately handled. This study demonstrated that MOTHMO is capable of modeling whole-body response in far-side impacts. Furthermore, MOTHMO can be used as a virtual design tool to explore the effect of varying restraint parameters on occupant

  5. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  6. Kinetics of surfactant-mediated epitaxy of III-V semiconductors

    NASA Astrophysics Data System (ADS)

    Grandjean, N.; Massies, J.

    1996-05-01

    Surfactant-mediated epitaxy (SME) of III-V semiconductors is studied in the case of the GaAs(001) growth using Te as surfactant. To account for the strong surface segregation of Te, a phenomenological exchange mechanism is used. This process explains the reduction of the surface diffusion length evidenced by scanning tunneling microscopy (STM). However, this kinetics effect is observed only for restricted growth conditions: the As surface coverage should be sufficient to allow the exchange process. STM results as well as Monte Carlo simulations clearly show that the group-V element surface coverage plays a key role in the kinetics of SME of III-V semiconductors.

  7. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  8. Perspective: Rapid synthesis of complex oxides by combinatorial molecular beam epitaxy

    DOE PAGES

    A. T. Bollinger; Wu, J.; Bozovic, I.

    2016-03-15

    In this study, the molecular beam epitaxy(MBE) technique is well known for producing atomically smooth thin films as well as impeccable interfaces in multilayers of many different materials. In particular, molecular beam epitaxy is well suited to the growth of complex oxides, materials that hold promise for many applications. Rapid synthesis and high throughput characterization techniques are needed to tap into that potential most efficiently. We discuss our approach to doing that, leaving behind the traditional one-growth-one-compound scheme and instead implementing combinatorial oxide molecular beam epitaxy in a custom built system.

  9. Volcano hazards in the Mount Hood region, Oregon

    USGS Publications Warehouse

    Scott, W.E.; Pierson, T.C.; Schilling, S.P.; Costa, J.E.; Gardner, C.A.; Vallance, J.W.; Major, J.J.

    1997-01-01

    Mount Hood is a potentially active volcano close to rapidly growing communities and recreation areas. The most likely widespread and hazardous consequence of a future eruption will be for lahars (rapidly moving mudflows) to sweep down the entire length of the Sandy (including the Zigzag) and White River valleys. Lahars can be generated by hot volcanic flows that melt snow and ice or by landslides from the steep upper flanks of the volcano. Structures close to river channels are at greatest risk of being destroyed. The degree of hazard decreases as height above a channel increases, but large lahars can affect areas more than 30 vertical meters (100 vertical feet) above river beds. The probability of eruption-generated lahars affecting the Sandy and White River valleys is 1-in-15 to l-in-30 during the next 30 years, whereas the probability of extensive areas in the Hood River Valley being affected by lahars is about ten times less. The accompanying volcano-hazard-zonation map outlines areas potentially at risk and shows that some areas may be too close for a reasonable chance of escape or survival during an eruption. Future eruptions of Mount Hood could seriously disrupt transportation (air, river, and highway), some municipal water supplies, and hydroelectric power generation and transmission in northwest Oregon and southwest Washington.

  10. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  11. Analysis of Nonplanar Wing-tip-mounted Lifting Surfaces on Low-speed Airplanes

    NASA Technical Reports Server (NTRS)

    Vandam, C. P.; Roskam, J.

    1983-01-01

    Nonplanar wing tip mounted lifting surfaces reduce lift induced drag substantially. Winglets, which are small, nearly vertical, winglike surfaces, are an example of these devices. To achieve reduction in lift induced drag, winglets produce significant side forces. Consequently, these surfaces can seriously affect airplane lateral directional aerodynamic characteristics. Therefore, the effects of nonplanar wing tip mounted surfaces on the lateral directional stability and control of low speed general aviation airplanes were studied. The study consists of a theoretical and an experimental, in flight investigation. The experimental investigation involves flight tests of winglets on an agricultural airplane. Results of these tests demonstrate the significant influence of winglets on airplane lateral directional aerodynamic characteristics. It is shown that good correlations exist between experimental data and theoretically predicted results. In addition, a lifting surface method was used to perform a parametric study of the effects of various winglet parameters on lateral directional stability derivatives of general aviation type wings.

  12. High-Temperature Nucleosynthesis Processes on the Proton-Rich Side of Stability: the Alpha-Rich Freezeout and the rp^2-Process

    NASA Astrophysics Data System (ADS)

    Meyer, Bradley S.

    2001-10-01

    Nucleosynthesis on the proton-rich side of stability has at least two intriguing aspects. First, the most abundant of the stable iron-group isotopes, such as ^48Ti, ^52Cr, and ^56,57Fe, are synthesized as proton-rich, radioactive parents in alpha-rich freezeouts from equilibrium. The production of these radioactive progenitors depends in large measure on reactions on the proton-rich side of stability. The second intriguing aspect is that explosive nucleosynthesis in a hydrogen-rich environment (namely, the rp-process) may be associated with exotic astrophysical settings, such as x-ray bursts, and may be responsible for production of some of the light p-process nuclei (for example, ^92,94Mo and ^96,98Ru). We have developed web-based tools to help nuclear physicists determine which nuclear reactions on the proton-rich side of stability govern the nucleosynthesis in these processes. For the alpha-rich freezeout, one may determine the effect of any one of 2,140 reactions on the yield of any isotope in the nuclear reaction network with the web calculator. As a relevant example, I will discuss the governing role of ^57Ni (n,p)^57Co in the synthesis of the important astronomical observable ^57Co. As for explosive, proton-rich burning, I will discuss the synthesis of p-process nuclei in the repetitive rp-process (the rp^2-process). Movies of the rp^2-process illustrate its important features and give some indications of the important nuclear reactions.

  13. High power high repetition rate diode side-pumped Q-switched Nd:YAG rod laser

    NASA Astrophysics Data System (ADS)

    Lebiush, E.; Lavi, R.; Tzuk, Y.; Jackel, S.; Lallouz, R.; Tsadka, S.

    1998-01-01

    A Q-switched diode side-pumped Nd:YAG rod laser is presented. The design is based on close coupled diodes which are mounted side by side to a laser rod cut at Brewster angle. No intra-cavity optics are needed to compensate for the induced thermal lensing of the rod. This laser produces 10 W average power with 30 ns pulse width and beam quality of 1.3 times diffraction limited at 10 kHz repetition rate. The light to light conversion efficiency is 12%. The same average power and beam quality is kept while operating the laser at repetition rates up to 50 kHz.

  14. Enhanced magnetic and thermoelectric properties in epitaxial polycrystalline SrRuO3 thin films.

    PubMed

    Woo, Sungmin; Lee, Sang A; Mun, Hyeona; Choi, Young Gwan; Zhung, Chan June; Shin, Soohyeon; Lacotte, Morgane; David, Adrian; Prellier, Wilfrid; Park, Tuson; Kang, Won Nam; Lee, Jong Seok; Kim, Sung Wng; Choi, Woo Seok

    2018-03-01

    Transition metal oxide thin films show versatile electric, magnetic, and thermal properties which can be tailored by deliberately introducing macroscopic grain boundaries via polycrystalline solids. In this study, we focus on the modification of magnetic and thermal transport properties by fabricating single- and polycrystalline epitaxial SrRuO 3 thin films using pulsed laser epitaxy. Using the epitaxial stabilization technique with an atomically flat polycrystalline SrTiO 3 substrate, an epitaxial polycrystalline SrRuO 3 thin film with the crystalline quality of each grain comparable to that of its single-crystalline counterpart is realized. In particular, alleviated compressive strain near the grain boundaries due to coalescence is evidenced structurally, which induced the enhancement of ferromagnetic ordering of the polycrystalline epitaxial thin film. The structural variations associated with the grain boundaries further reduce the thermal conductivity without deteriorating the electronic transport, and lead to an enhanced thermoelectric efficiency in the epitaxial polycrystalline thin films, compared with their single-crystalline counterpart.

  15. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  16. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  17. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  18. Cradling Side Preference Is Associated with Lateralized Processing of Baby Facial Expressions in Females

    ERIC Educational Resources Information Center

    Huggenberger, Harriet J.; Suter, Susanne E.; Reijnen, Ester; Schachinger, Hartmut

    2009-01-01

    Women's cradling side preference has been related to contralateral hemispheric specialization of processing emotional signals; but not of processing baby's facial expression. Therefore, 46 nulliparous female volunteers were characterized as left or non-left holders (HG) during a doll holding task. During a signal detection task they were then…

  19. Flush Mounting Of Thin-Film Sensors

    NASA Technical Reports Server (NTRS)

    Moore, Thomas C., Sr.

    1992-01-01

    Technique developed for mounting thin-film sensors flush with surfaces like aerodynamic surfaces of aircraft, which often have compound curvatures. Sensor mounted in recess by use of vacuum pad and materials selected for specific application. Technique involves use of materials tailored to thermal properties of substrate in which sensor mounted. Together with customized materials, enables flush mounting of thin-film sensors in most situations in which recesses for sensors provided. Useful in both aircraft and automotive industries.

  20. Spatially assisted down-track median filter for GPR image post-processing

    DOEpatents

    Paglieroni, David W; Beer, N Reginald

    2014-10-07

    A method and system for detecting the presence of subsurface objects within a medium is provided. In some embodiments, the imaging and detection system operates in a multistatic mode to collect radar return signals generated by an array of transceiver antenna pairs that is positioned across the surface and that travels down the surface. The imaging and detection system pre-processes the return signal to suppress certain undesirable effects. The imaging and detection system then generates synthetic aperture radar images from real aperture radar images generated from the pre-processed return signal. The imaging and detection system then post-processes the synthetic aperture radar images to improve detection of subsurface objects. The imaging and detection system identifies peaks in the energy levels of the post-processed image frame, which indicates the presence of a subsurface object.

  1. Self-organization of quantum-dot pairs by high-temperature droplet epitaxy

    PubMed Central

    Holmes, Kyland; Mazur, Yuriy I; Ramsey, Kimberly A; Salamo, Gregory J

    2006-01-01

    The spontaneously formation of epitaxial GaAs quantum-dot pairs was demonstrated on an AlGaAs surface using Ga droplets as a Ga nano-source. The dot pair formation was attributed to the anisotropy of surface diffusion during high-temperature droplet epitaxy.

  2. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  3. Crystallization process of a three-dimensional complex plasma

    NASA Astrophysics Data System (ADS)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  4. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  5. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  6. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    NASA Astrophysics Data System (ADS)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  7. Early top-down control of visual processing predicts working memory performance

    PubMed Central

    Rutman, Aaron M.; Clapp, Wesley C.; Chadick, James Z.; Gazzaley, Adam

    2009-01-01

    Selective attention confers a behavioral benefit for both perceptual and working memory (WM) performance, often attributed to top-down modulation of sensory neural processing. However, the direct relationship between early activity modulation in sensory cortices during selective encoding and subsequent WM performance has not been established. To explore the influence of selective attention on WM recognition, we used electroencephalography (EEG) to study the temporal dynamics of top-down modulation in a selective, delayed-recognition paradigm. Participants were presented with overlapped, “double-exposed” images of faces and natural scenes, and were instructed to either remember the face or the scene while simultaneously ignoring the other stimulus. Here, we present evidence that the degree to which participants modulate the early P100 (97–129 ms) event-related potential (ERP) during selective stimulus encoding significantly correlates with their subsequent WM recognition. These results contribute to our evolving understanding of the mechanistic overlap between attention and memory. PMID:19413473

  8. van der Waals epitaxial ZnTe thin film on single-crystalline graphene

    NASA Astrophysics Data System (ADS)

    Sun, Xin; Chen, Zhizhong; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Washington, Morris; Lu, Toh-Ming

    2018-01-01

    Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [ 1 ¯ 1 ¯ 2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.

  9. Using wintergreen oil for mounting mosquito larvae: a safer alternative to xylene.

    PubMed

    Koay, J B; Natasya, N N; Nashithatul, Mag; Ihsanuddin, R; Salleh, F M; Azil, A H

    2016-01-01

    Permanent mounting of fourth instar mosquito larvae is essential for identifying Aedes spp. This procedure requires extensive exposure to xylene, a clearing agent in the mounting process. We investigated wintergreen oil as a substitute for xylene. Five hundred larvae were mounted on slides to evaluate shrinkage or expansion of specimens after clearing using xylene or wintergreen oil. We examined the ventral brush and siphonal hair tufts for species identification and for preservation of morphological characteristics after clearing specimens in xylene or wintergreen oil. Shrinkage of the length of whole larvae and width of the head, thorax and abdomen after mounting was significantly greater after clearing with xylene than with wintergreen oil. The length of the comb scale nearest the ventral brush was similar for both clearing agents. The clarity of the specimens after mounting was improved by clearing with wintergreen oil, but the integrity of the ventral brush and siphonal hair tufts were similar for both clearing agents.

  10. Dynamic Response of the Hybrid III 3 Year Old Dummy Head and Neck During Side Air Bag Loading

    PubMed Central

    Duma, Stefan M.; Crandall, Jeff R.; Pilkey, Walter D.; Seki, Kazuhiro; Aoki, Takashi

    1998-01-01

    This paper presents the results from fourteen (n = 14) tests designed to evaluate the response and injury potential of a Hybrid III 3 year old dummy subject to loading by a deploying seat mounted side air bag. An instrumented Hybrid III 3 year old dummy was used for tests in two different occupant positions chosen to maximize head and neck loading. Four seat mounted thoracic side air bags were used that varied only in the level of inflator output. NHTSA’s neck injury criteria for complex loading, referred to as Nij, was modified to include moment values for both anterioposterior and lateral directions. The results of this testing indicate that side air bag loading can result in forces and moments approaching injury threshold values. While there is considerable uncertainty as to the validity of published injury criteria due to the lack of child biomechanical data, this study demonstrates the sensitivity of child response to initial position which may provide insight into placement and geometry of side airbag systems. Furthermore, the data indicates a relationship between airbag inflator properties and child dummy response for a given airbag geometry. Recently, automobile manufacturers have begun implementing side air bags as a safety feature to mitigate injuries resulting from side impact collisions. Unlike the case for the passenger side air bag, the injury potential to an out-of-position child in side airbag loading has not been presented in the literature. The purpose of this research is to evaluate the response of a Hybrid III 3 year old dummy subject to loading by a deploying side air bag.

  11. Nozzle Mounting Method Optimization Based on Robot Kinematic Analysis

    NASA Astrophysics Data System (ADS)

    Chen, Chaoyue; Liao, Hanlin; Montavon, Ghislain; Deng, Sihao

    2016-08-01

    Nowadays, the application of industrial robots in thermal spray is gaining more and more importance. A desired coating quality depends on factors such as a balanced robot performance, a uniform scanning trajectory and stable parameters (e.g. nozzle speed, scanning step, spray angle, standoff distance). These factors also affect the mass and heat transfer as well as the coating formation. Thus, the kinematic optimization of all these aspects plays a key role in order to obtain an optimal coating quality. In this study, the robot performance was optimized from the aspect of nozzle mounting on the robot. An optimized nozzle mounting for a type F4 nozzle was designed, based on the conventional mounting method from the point of view of robot kinematics validated on a virtual robot. Robot kinematic parameters were obtained from the simulation by offline programming software and analyzed by statistical methods. The energy consumptions of different nozzle mounting methods were also compared. The results showed that it was possible to reasonably assign the amount of robot motion to each axis during the process, so achieving a constant nozzle speed. Thus, it is possible optimize robot performance and to economize robot energy.

  12. Mount Kilimanjaro, Tanzania

    NASA Image and Video Library

    1996-01-20

    STS072-722-004 (11-20 Jan. 1996) --- Mount Kilimanjaro in Tanzania is featured in this 70mm frame exposed from the Earth-orbiting Space Shuttle Endeavour. Orient with the clouds trailing to the left; then the view is southwest from Kenya past Kilimanjaro to Mount Meru, in Tanzania. Mount Kilimanjaro is about three degrees south of the Equator, but at nearly 6,000 meters has a permanent snowfield. The mountain displays a classic zonation of vegetation types from seasonally dry savannah on the plains at 1,000 meters, to the cloud forest near the top. The mountain is being managed experimentally as an international biosphere reserve. A buffer zone of "traditional" agriculture and pastoral land use is designated around the closed-canopy forest reserve. Specialists familiar with this area say management is partially successful so far, but cleared areas of the forest can be seen on this photograph as light green "nibbles" or "cookie cuts" extending into the dark forest region.

  13. 49 CFR 178.255-11 - Tank mountings.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 3 2011-10-01 2011-10-01 false Tank mountings. 178.255-11 Section 178.255-11... Portable Tanks § 178.255-11 Tank mountings. (a) Tanks shall be designed and fabricated with mountings to... requirement. (b) All tank mountings such as skids, fastenings, brackets, cradles, lifting lugs, etc., intended...

  14. 49 CFR 178.255-11 - Tank mountings.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 49 Transportation 3 2014-10-01 2014-10-01 false Tank mountings. 178.255-11 Section 178.255-11... Portable Tanks § 178.255-11 Tank mountings. (a) Tanks shall be designed and fabricated with mountings to... requirement. (b) All tank mountings such as skids, fastenings, brackets, cradles, lifting lugs, etc., intended...

  15. 49 CFR 178.255-11 - Tank mountings.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 3 2012-10-01 2012-10-01 false Tank mountings. 178.255-11 Section 178.255-11... Portable Tanks § 178.255-11 Tank mountings. (a) Tanks shall be designed and fabricated with mountings to... requirement. (b) All tank mountings such as skids, fastenings, brackets, cradles, lifting lugs, etc., intended...

  16. 49 CFR 178.255-11 - Tank mountings.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 49 Transportation 3 2013-10-01 2013-10-01 false Tank mountings. 178.255-11 Section 178.255-11... Portable Tanks § 178.255-11 Tank mountings. (a) Tanks shall be designed and fabricated with mountings to... requirement. (b) All tank mountings such as skids, fastenings, brackets, cradles, lifting lugs, etc., intended...

  17. Metamorphic Mountain, Mount Jefferson State Park: An Environmental Education Learning Experience Designed for Grades 5-7.

    ERIC Educational Resources Information Center

    Pittman, George K., II; Hubbard, William F.; Lambert, Michael D.; Beazley, Lea J.

    Mount Jefferson State Natural Area is located in the southern Blue Ridge highlands of North Carolina and covers 489 acres, which includes peaks and upper slopes to the Mount Jefferson mountain. This document introduces students to the geology of Mount Jefferson State Park and focuses on the geologic processes and rocks and minerals of Mount…

  18. Top-Down Beta Enhances Bottom-Up Gamma

    PubMed Central

    Thompson, William H.

    2017-01-01

    Several recent studies have demonstrated that the bottom-up signaling of a visual stimulus is subserved by interareal gamma-band synchronization, whereas top-down influences are mediated by alpha-beta band synchronization. These processes may implement top-down control of stimulus processing if top-down and bottom-up mediating rhythms are coupled via cross-frequency interaction. To test this possibility, we investigated Granger-causal influences among awake macaque primary visual area V1, higher visual area V4, and parietal control area 7a during attentional task performance. Top-down 7a-to-V1 beta-band influences enhanced visually driven V1-to-V4 gamma-band influences. This enhancement was spatially specific and largest when beta-band activity preceded gamma-band activity by ∼0.1 s, suggesting a causal effect of top-down processes on bottom-up processes. We propose that this cross-frequency interaction mechanistically subserves the attentional control of stimulus selection. SIGNIFICANCE STATEMENT Contemporary research indicates that the alpha-beta frequency band underlies top-down control, whereas the gamma-band mediates bottom-up stimulus processing. This arrangement inspires an attractive hypothesis, which posits that top-down beta-band influences directly modulate bottom-up gamma band influences via cross-frequency interaction. We evaluate this hypothesis determining that beta-band top-down influences from parietal area 7a to visual area V1 are correlated with bottom-up gamma frequency influences from V1 to area V4, in a spatially specific manner, and that this correlation is maximal when top-down activity precedes bottom-up activity. These results show that for top-down processes such as spatial attention, elevated top-down beta-band influences directly enhance feedforward stimulus-induced gamma-band processing, leading to enhancement of the selected stimulus. PMID:28592697

  19. A review of test results on parabolic dish solar thermal power modules with dish-mounted Rankine engines and for production of process steam

    NASA Technical Reports Server (NTRS)

    Jaffe, Leonard D.

    1988-01-01

    This paper presents results of development testing of various solar thermal parabolic dish modules and assemblies. Most of the tests were at modules and assemblies that used a dish-mounted, organic Rankine cycle turbine for production of electric power. Some tests were also run on equipment for production of process steam or for production of electricity using dish-mounted reciprocating steam engines. These tests indicate that early modules achieve efficiencies of about 18 percent in converting sunlight to electricity (excluding the inverter but including parasitics). A number of malfunctions occurred. The performance measurements, as well as the malfunctions and other operating experience, provided information that should be of value in developing systems with improved performance and reduced maintenance.

  20. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    NASA Astrophysics Data System (ADS)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  1. Dual chamber system providing simultaneous etch and deposition on opposing substrate sides for growing low defect density epitaxial layers

    DOEpatents

    Kulkarni, Nagraj S [Knoxville, TN; Kasica, Richard J. ,

    2011-03-08

    A dual-chamber reactor can include a housing enclosing a volume having a divider therein, where the divider defines a first chamber and a second chamber. The divider can include a substrate holder that supports at least one substrate and exposes a first side of the substrate to the first chamber and a second side of the substrate to the second chamber. The first chamber can include an inlet for delivering at least one reagent to the first chamber for forming a film on the first side of the substrate, and the second chamber can include a removal device for removing material from the second side of the substrate.

  2. Top-down modulation of visual processing and knowledge after 250 ms supports object constancy of category decisions

    PubMed Central

    Schendan, Haline E.; Ganis, Giorgio

    2015-01-01

    People categorize objects more slowly when visual input is highly impoverished instead of optimal. While bottom-up models may explain a decision with optimal input, perceptual hypothesis testing (PHT) theories implicate top-down processes with impoverished input. Brain mechanisms and the time course of PHT are largely unknown. This event-related potential study used a neuroimaging paradigm that implicated prefrontal cortex in top-down modulation of occipitotemporal cortex. Subjects categorized more impoverished and less impoverished real and pseudo objects. PHT theories predict larger impoverishment effects for real than pseudo objects because top-down processes modulate knowledge only for real objects, but different PHT variants predict different timing. Consistent with parietal-prefrontal PHT variants, around 250 ms, the earliest impoverished real object interaction started on an N3 complex, which reflects interactive cortical activity for object cognition. N3 impoverishment effects localized to both prefrontal and occipitotemporal cortex for real objects only. The N3 also showed knowledge effects by 230 ms that localized to occipitotemporal cortex. Later effects reflected (a) word meaning in temporal cortex during the N400, (b) internal evaluation of prior decision and memory processes and secondary higher-order memory involving anterotemporal parts of a default mode network during posterior positivity (P600), and (c) response related activity in posterior cingulate during an anterior slow wave (SW) after 700 ms. Finally, response activity in supplementary motor area during a posterior SW after 900 ms showed impoverishment effects that correlated with RTs. Convergent evidence from studies of vision, memory, and mental imagery which reflects purely top-down inputs, indicates that the N3 reflects the critical top-down processes of PHT. A hybrid multiple-state interactive, PHT and decision theory best explains the visual constancy of object cognition. PMID:26441701

  3. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  4. Optical mounts for harsh environments

    NASA Astrophysics Data System (ADS)

    Mimovich, Mark E.; Griffee, Jonathan C.; Goodding, James C.

    2009-08-01

    Development and testing of a lightweight-kinematic optical mount with integrated passive vibration-and-shock mitigation technologies and simple / robust optical alignment functionality is presented. Traditionally, optical mounts are designed for use in laboratory environments where the thermal-mechanical environments are carefully controlled to preserve beam path conditions and background disturbances are minimized to facilitate precise optically based measurements. Today's weapon and surveillance systems, however, have optical sensor suites where static and dynamic alignment performance in the presence of harsh operating environments is required to nearly the same precision and where the system cannot afford the mass of laboratory-grade stabilized mounting systems. Jitter and alignment stability is particularly challenging for larger optics operating within moving vehicles and aircraft where high shock and significant temperature excursions occur. The design intent is to have the mount be suitable for integration into existing defense and security optical systems while also targeting new commercial and military components for improved structural dynamic and thermal distortion performance. A mount suitable for moderate-sized optics and an integrated disturbance-optical metrology system are described. The mount design has performance enhancements derived from the integration of proven aerospace mechanical vibration and shock mitigation technologies (i.e. multi-axis passive isolation and integral damping), precision alignment adjustment and lock-out functionality, high dimensional stability materials and design practices which provide benign optical surface figure errors under harsh thermal-mechanical loading. Optical jitter, alignment, and wave-front performance testing of an eight-inch-aperture optical mount based on this design approach are presented to validate predicted performance improvements over an existing commercial off-the-shelf (COTS) design.

  5. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A.

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field anglemore » dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.« less

  6. Fabrication of InAs quantum ring nanostructures on GaSb by droplet epitaxy

    NASA Astrophysics Data System (ADS)

    Dahiya, Vinita; Zamiri, Marziyeh; So, Mo Geun; Hollingshead, David A.; Kim, JongSu; Krishna, Sanjay

    2018-06-01

    In this article, we report the formation of InAs quantum ring nanostructures (QRNs) on GaSb (0 0 1) surface by droplet epitaxy (DE) mode using molecular beam epitaxy. We examined the impact of various growth conditions, including substrate temperature (Ts), As2 beam equivalent pressure (BEP) and surface stoichiometry, on the shape, density and size of the InAs QRNs. We confirmed that the InAs QRNs have better rotational symmetry at relatively high Ts and low As2 BEP. The symmetry of the QRN is due to the isotropic indium (In) out-migration along [1 1 0] and [1 -1 0], controlled via change in stoichiometry (surface As coverage) with temperature and the As2 BEP. These results indicate that we can realize InAs QRN on GaSb surface by DE process.

  7. Solar panel parallel mounting configuration

    NASA Technical Reports Server (NTRS)

    Mutschler, Jr., Edward Charles (Inventor)

    1998-01-01

    A spacecraft includes a plurality of solar panels interconnected with a power coupler and an electrically operated device to provide power to the device when the solar cells are insolated. The solar panels are subject to bending distortion when entering or leaving eclipse. Spacecraft attitude disturbances are reduced by mounting each of the solar panels to an elongated boom made from a material with a low coefficient of thermal expansion, so that the bending of one panel is not communicated to the next. The boom may be insulated to reduce its bending during changes in insolation. A particularly advantageous embodiment mounts each panel to the boom with a single mounting, which may be a hinge. The single mounting prevents transfer of bending moments from the panel to the boom.

  8. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  9. Semipolar (202̅1) III-Nitride P-Down LEDs with in situ anneal to reduce the Mg memory effect

    NASA Astrophysics Data System (ADS)

    Forman, C.; Leonard, J.; Yonkee, B.; Pynn, C.; Mates, T.; Cohen, D.; Farrell, R.; Margalith, T.; DenBaars, S.; Speck, J.; Nakamura, S.

    2017-04-01

    P-down LEDs (PDLEDs) have the potential to open up new design schemes for III-nitride LEDs compared to conventional n-down LEDs (NDLEDs). For light emitters operating above 480 nm, the PDLED design enables the epitaxial advantages of semipolar (202̅1) and gains the polarization benefits of semipolar (202̅1̅). Here, we investigated semipolar (202̅1) InGaN-based PDLEDs in terms of their photoluminescence (PL) spectra and compositional profile. Despite concerns of the Mg memory effect degrading PDLED performance due to Mg-related non-radiative recombination centers, the PL intensities were nearly identical between the NDLED and PDLEDs, which emitted at wavelengths centered near 500 nm. Secondary ion mass spectrometry revealed that the Mg doping levels in the multiple quantum well (MQW) active region were comparable for each structure, with average values of 2.9×1018 cm-3 for the NDLED and 1.8×1018 cm-3 for the PDLED. Prior to growing the active region MQW, a 700 °C in situ anneal was carried out to reduce the average Mg concentration in the PDLED MQW to 3.7×1017 cm-3. Its hydrogen concentration remained at 5×1019 cm-3 in the p-type GaN region, which suggests that hydrogen passivation occurs during the growth of subsequent epitaxial layers in ammonia.

  10. A novel series of isoreticular metal organic frameworks: realizing metastable structures by liquid phase epitaxy

    PubMed Central

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Bräse, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Müllen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wöll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 × 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++)2- carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process. PMID:23213357

  11. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  12. 22. View of Clark Fork Vehicle Bridge facing downwest side. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    22. View of Clark Fork Vehicle Bridge facing down-west side. Looking at road deck and vertical laced channel. - Clark Fork Vehicle Bridge, Spanning Clark Fork River, serves Highway 200, Clark Fork, Bonner County, ID

  13. Holding fixture for metallographic mount polishing

    DOEpatents

    Barth, Clyde H.; Cramer, Charles E.

    1997-01-01

    A fixture for holding mounted specimens for polishing, having an arm; a body attached to one end of the arm, the body having at least one flange having an opening to accommodate a mounted specimen; and a means applying pressure against the outer surface of the mounted specimen to hold the specimen in contact with the polishing surface.

  14. Mounting Thin Samples For Electrical Measurements

    NASA Technical Reports Server (NTRS)

    Matus, L. G.; Summers, R. L.

    1988-01-01

    New method for mounting thin sample for electrical measurements involves use of vacuum chuck to hold a ceramic mounting plate, which holds sample. Contacts on mounting plate establish electrical connection to sample. Used to make electrical measurements over temperature range from 77 to 1,000 K and does not introduce distortions into magnetic field during Hall measurements.

  15. Worldsid Assessment of Far Side Impact Countermeasures

    PubMed Central

    Pintar, Frank A.; Yoganandan, Narayan; Stemper, Brian D.; Bostrom, Ola; Rouhana, Stephen W.; Smith, Stuart; Sparke, Laurie; Fildes, Brian N.; Digges, Kennerly H.

    2006-01-01

    Far side impact trauma has been demonstrated as a significant portion of the total trauma in side impacts. The objective of the study was to assess the potential usefulness of countermeasures and assess the trade-offs associated with generic countermeasure design. Because the WorldSID dummy has demonstrated promise as a potential far side impact dummy, it was chosen to assess countermeasures in this mode. A unique far side impact buck was designed for a sled test system that included, as a standard configuration, a center console and outboard three-point belt system. This configuration assumed a left side driver with a right side impact. The buck allowed for additional options of generic restraints including shoulder or thorax plates or an inboard shoulder belt. The entire buck could be mounted on the sled in either a 90-degree (3-o’clock PDOF) or a 60-degree (2-o’clock PDOF) orientation. A total of 19 WorldSID tests were completed. The inboard shoulder belt configuration produced high shear forces in the lower neck (2430 N) when the belt position was placed over the mid portion of the neck. Shear forces were reduced and of opposite sign when the inboard belt position was horizontal and over the shoulder; forces were similar to the standard outboard belt configuration (830 – 1100 N). A shoulder or thorax restraint was effective in limiting the head excursion, but each caused significant displacement at the corresponding region on the dummy. A shoulder restraint resulted in shoulder displacements of 30 – 43 mm. A thorax restraint caused thorax deflections of 39 – 64 mm. Inboard restraints for far side impacts can be effective in reducing head excursion but the specific design and placement of these restraints determine their overall injury mitigating characteristics. PMID:16968638

  16. Dual-Task Processing as a Measure of Executive Function: A Comparison between Adults with Williams and Down Syndromes

    ERIC Educational Resources Information Center

    Kittler, Phyllis M.; Krinsky-McHale, Sharon J.; Devenny, Darlynne A.

    2008-01-01

    Behavioral phenotypes of individuals with Williams syndrome and individuals with Down syndrome have been contrasted in relation to short-term memory. People with Down syndrome are stronger visuospatially and those with Williams syndrome are stronger verbally. We examined short-term memory, then explored whether dual-task processing further…

  17. Intracyclic velocity variation and arm coordination assessment in swimmers with Down syndrome.

    PubMed

    Marques-Aleixo, Inês; Querido, Ana; Figueiredo, Pedro; Vilas-Boas, João Paulo; Corredeira, Rui; Daly, Daniel; Fernandes, Ricardo J

    2013-01-01

    This study examined the differences in intracycle velocity variation and arm coordination in front crawl in swimmers with Down syndrome in three breathing conditions. International swimmers with Down syndrome (N = 16) performed 3 × 20 m front crawl at 50 m race speed: without breathing, breathing to the preferred side, and breathing to the nonpreferred side. A two dimensional video movement analysis was performed using the APASystem. Breathing conditions were compared using Repeated Measures ANOVA. Swimming velocity was higher without breathing and intracyclic velocity variation was higher while breathing. Swimmers tended to a catch up arm coordination mode for both breathing conditions and a superposition mode when not breathing. These data reflect arm coordination compromising swimming performance, particularly when comparing with non disabled swimmers in literature. The physical and perhaps cognitive impairment associated with Down syndrome may result in a disadvantage in both propulsion and drag, more evident when breathing.

  18. Vibration dissipation mount for motors or the like

    DOEpatents

    Small, Thomas R.

    1987-01-01

    A vibration dissipation mount which permits the mounting of a motor, generator, or the like such that the rotatable shaft thereof passes through the mount and the mount permits the dissipation of self-induced and otherwise induced vibrations wherein the mount comprises a pair of plates having complementary concave and convex surfaces, a semi-resilient material being disposed therebetween.

  19. Top of well partially covered by boards, looking down to ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Top of well partially covered by boards, looking down to west - Neville-Kanode Farmstead, Well, East side of U.S. Highway 81, 2.5 miles south of Kansas-Nebraska state line, Munden, Republic County, KS

  20. Choice of Substrate Material for Epitaxial CdTe Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-06-14

    Epitaxial CdTe with high quality, low defect density, and high carrier concentration should in principle yield high-efficiency photovoltaic devices. However, insufficient effort has been given to explore the choice of substrate for high-efficiency epitaxial CdTe solar cells. In this paper, we use numerical simulations to investigate three crystalline substrates: silicon (Si), InSb, and CdTe each substrate material are generally discussed.

  1. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  2. Elevation and plan of east side entrance. San Bernardino Valley ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    Elevation and plan of east side entrance. San Bernardino Valley Union Junior College, Library Building. Also includes sections II and SS of entrance hall; and a stress diagram of steel truss. Howard E. Jones, Architect, San Bernardino, California. Sheet 7, job no. 315. Scale 1/2 inch to the foot. No date given on sheet (probably March or April, 1927). - San Bernardino Valley College, Library, 701 South Mount Vernon Avenue, San Bernardino, San Bernardino County, CA

  3. Controlled synthesis of organic single-crystalline nanowires via the synergy approach of the bottom-up/top-down processes.

    PubMed

    Zhuo, Ming-Peng; Zhang, Ye-Xin; Li, Zhi-Zhou; Shi, Ying-Li; Wang, Xue-Dong; Liao, Liang-Sheng

    2018-03-15

    The controlled fabrication of organic single-crystalline nanowires (OSCNWs) with a uniform diameter in the nanoscale via the bottom-up approach, which is just based on weak intermolecular interaction, is a great challenge. Herein, we utilize the synergy approach of the bottom-up and the top-down processes to fabricate OSCNWs with diameters of 120 ± 10 nm through stepwise evolution processes. Specifically, the evolution processes vary from the self-assembled organic micro-rods with a quadrangular pyramid-like end-structure bounded with {111}s and {11-1}s crystal planes to the "top-down" synthesized organic micro-rods with the flat cross-sectional {002}s plane, to the organic micro-tubes with a wall thickness of ∼115 nm, and finally to the organic nanowires. Notably, the anisotropic etching process caused by the protic solvent molecules (such as ethanol) is crucial for the evolution of the morphology throughout the whole top-down process. Therefore, our demonstration opens a new avenue for the controlled-fabrication of organic nanowires, and also contributes to the development of nanowire-based organic optoelectronics such as organic nanowire lasers.

  4. Design and test of a pumped two-phase mounting plate. [for spacecraft thermal control systems

    NASA Technical Reports Server (NTRS)

    Grote, M. G.; Swanson, T. D.

    1985-01-01

    The design, fabrication, and testing of the full-scale development unit of a pumped two-phase mounting plate (TPMP) used in advanced two-phase spacecraft thermal control systems are described. The mounting plate is tested with R-11 in the evaporator mode for total heat loads of over 3000 watts and local heat fluxes over 4 W/sq cm, and in the condenser mode with condenser loads from 60 to 400 watts and inlet qualities from 8 to 94 percent. The calculated heat-transfer coefficients are between 0.66 and 1.0 W/sq cm/C and are nearly independent of the flow rate and heat load except at very low heat loads. It is shown that the TPMP can be run with inlet conditions down to 22 C subcooling without any significant gradients in the plate and that it performs well with nonuniform heat fluxes.

  5. Inertial navigation system using three TDF gyroscopic sensors not jointly mounted on a stable platform

    NASA Technical Reports Server (NTRS)

    Stieler, B.

    1971-01-01

    An inertial navigation system is described and analyzed based on two two-degree-of-freedom Schuler-gyropendulums and one two-degree-of-freedom azimuth gyro. The three sensors, each base motion isolated about its two input axes, are mounted on a common base, strapped down to the vehicle. The up and down pointing spin vectors of the two properly tuned gyropendulums track the vertical and indicate physically their velocity with respect to inertial space. The spin vector of the azimuth gyro is pointing northerly parallel to the earth axis. The system can be made self-aligning on a stationary base. If external measurements for the north direction and the vertical are available, initial disturbance torques can be measured and easily biased out. The error analysis shows that the system is practicable with today's technology.

  6. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  7. Holding fixture for metallographic mount polishing

    DOEpatents

    Barth, C.H.; Cramer, C.E.

    1997-12-30

    A fixture is described for holding mounted specimens for polishing, having an arm; a body attached to one end of the arm, the body having at least one flange having an opening to accommodate a mounted specimen; and a means applying pressure against the outer surface of the mounted specimen to hold the specimen in contact with the polishing surface. 3 figs.

  8. Indonesia's Active Mount Agung Volcano Imaged by NASA Spacecraft

    NASA Image and Video Library

    2017-12-10

    After a new small eruption sent an ash cloud 1.24 miles (2 kilometers) into the sky on Dec. 7, 2017, Indonesia's Mount Agung volcano quieted down. This image was acquired Dec. 8 after the latest activity by the Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) instrument on NASA's Terra satellite. The image shows vegetation in red colors. The summit crater has a hot spot (yellow) as detected by ASTER's thermal infrared channels. More than 65,00 residents continue to be evacuated from the volcano's danger zone in case of a major eruption. The image covers an area of 11 by 12.3 miles (17.8 by 19.8 kilometers), and is located at 8.3 degrees south, 115.5 degrees east. https://photojournal.jpl.nasa.gov/catalog/PIA22121

  9. 3. VIEW OF INTERIOR, EAST SIDE (SIDE A) OF BUILDING ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    3. VIEW OF INTERIOR, EAST SIDE (SIDE A) OF BUILDING 883. INSTALLATION OF EQUIPMENT FOR THE MOLTEN SALT BATHS AND ROLLING MILLS PROCESSES. (4/25/57) - Rocky Flats Plant, Uranium Rolling & Forming Operations, Southeast section of plant, southeast quadrant of intersection of Central Avenue & Eighth Street, Golden, Jefferson County, CO

  10. Influence of nanoscale faceting on the tunneling properties of near broken gap InAs/AlGaSb heterojunctions grown by selective area epitaxy.

    PubMed

    Desplanque, L; Fahed, M; Han, X; Chinni, V K; Troadec, D; Chauvat, M-P; Ruterana, P; Wallart, X

    2014-11-21

    We report on the selective area molecular beam epitaxy of InAs/AlGaSb heterostructures on a GaSb (001) substrate. This method is used to realize Esaki tunnel diodes with a tunneling area down to 50 nm × 50 nm. The impact of the size reduction on the peak current density of the diode is investigated, and we show how the formation of the InAs facets can deeply affect the band-to-band tunneling properties of the heterostructure. This phenomenon is explained by the surface-dependent incorporation of Si dopant during growth.

  11. Some Processing and Dynamic-Range Issues in Side-Scan Sonar Work

    NASA Astrophysics Data System (ADS)

    Asper, V. L.; Caruthers, J. W.

    2007-05-01

    Often side-scan sonar data are collected in such a way that they afford little opportunity to do more than simply display them as images. These images are often limited in dynamic range and stored only in an 8-bit tiff format of numbers representing less than true intensity values. Furthermore, there is little prior knowledge during a survey of the best range in which to set those eight bits. This can result in clipped strong targets and/or the depth of shadows so that the bits that can be recovered from the image are not fully representative of target or bottom backscatter strengths. Several top-of-the-line sonars do have a means of logging high-bit-rate digital data (sometimes only as an option), but only dedicated specialists pay much attention to such data, if they record them at all. Most users of side-scan sonars are interested only in the images. Discussed in this paper are issues related to storing and processing of high-bit-rate digital data to preserve their integrity for future enhanced, after- the-fact use and ability to recover actual backscatter strengths. This papers discusses issues in the use high-bit- rate, digital side-scan sonar data. This work was supported by the Office of Naval Research, Code 321OA, and the Naval Oceanographic Office, Mine Warfare Program.

  12. Low-Thermal-Resistance Baseplate Mounting

    NASA Technical Reports Server (NTRS)

    Perreault, W. T.

    1984-01-01

    Low-thermal-resistance mounting achieved by preloading baseplate to slight convexity with screws threaded through beam. As mounting bolts around edge of base-place tightened, baseplate and cold plate contact first in center, with region of intimate contact spreading outward as bolts tightened.

  13. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  14. Mount Rainier: A decade volcano

    NASA Astrophysics Data System (ADS)

    Swanson, Donald A.; Malone, Stephen D.; Samora, Barbara A.

    Mount Rainier, the highest (4392 m) volcano in the Cascade Range, towers over a population of more than 2.5 million in the Seattle-Tacoma metropolitan area, and its drainage system via the Columbia River potentially affects another 500,000 residents of southwestern Washington and northwestern Oregon (Figure 1). Mount Rainier is the most hazardous volcano in the Cascades in terms of its potential for magma-water interaction and sector collapse. Major eruptions, or debris flows even without eruption, pose significant dangers and economic threats to the region. Despite such hazard and risk, Mount Rainier has received little study; such important topics as its petrologic and geochemical character, its proximal eruptive history, its susceptibility to major edifice failure, and its development over time have been barely investigated. This situation may soon change because of Mount Rainier's recent designation as a “Decade Volcano.”

  15. NANOELECTRONICS. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface.

    PubMed

    Li, Ming-Yang; Shi, Yumeng; Cheng, Chia-Chin; Lu, Li-Syuan; Lin, Yung-Chang; Tang, Hao-Lin; Tsai, Meng-Lin; Chu, Chih-Wei; Wei, Kung-Hwa; He, Jr-Hau; Chang, Wen-Hao; Suenaga, Kazu; Li, Lain-Jong

    2015-07-31

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface. Copyright © 2015, American Association for the Advancement of Science.

  16. Mount Cameroon

    NASA Image and Video Library

    2014-10-09

    NASA Terra spacecraft shows Mount Cameroon, an active volcano in Cameroon near the Gulf of Guinea. It is one of Africa largest volcanoes, rising over 4,000 meters, with more than 100 small cinder cones.

  17. The Mount Rainier Lahar Detection System

    NASA Astrophysics Data System (ADS)

    Lockhart, A. B.; Murray, T. L.

    2003-12-01

    To mitigate the risk of unheralded lahars from Mount Rainier, the U.S. Geological Survey, in cooperation with Pierce County, Washington, installed a lahar-detection system on the Puyallup and Carbon rivers that originate on Mount Rainier's western slopes. The system, installed in 1998, is designed to automatically detect the passage of lahars large enough to potentially affect populated areas downstream (approximate volume threshold 40 million cubic meters), while ignoring small lahars, earthquakes, extreme weather and floods. Along each river valley upstream, arrays of independent lahar-monitoring stations equipped with geophones and short tripwires telemeter data to a pair of redundant computer base stations located in and near Tacoma at existing public safety facilities that are staffed around the clock. Monitored data consist of ground-vibration levels, tripwire status, and transmissions at regular intervals. The base stations automatically evaluate these data to determine if a dangerous lahar is passing through the station array. The detection algorithm requires significant ground vibration to occur at those stations in the array that are above the anticipated level of inundation, while lower level `deadman' stations, inundated by the flow, experience tripwire breakage or are destroyed. Once a base station detects a lahar, it alerts staff who execute a call-down of public-safety officials and schools, initiating evacuation of areas potentially at risk. Because the system's risk-mitigation task imposes high standards of reliability on all components, it has been under test for several years. To date, the system has operated reliably and without false alarms, including during the nearby M6.8 Nisqually Earthquake on February 28, 2001. The system is being turned over to Pierce County, and activated as part of their lahar warning system.

  18. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  19. An improved loopless mounting method for cryocrystallography

    NASA Astrophysics Data System (ADS)

    Qi, Jian-Xun; Jiang, Fan

    2010-01-01

    Based on a recent loopless mounting method, a simplified loopless and bufferless crystal mounting method is developed for macromolecular crystallography. This simplified crystal mounting system is composed of the following components: a home-made glass capillary, a brass seat for holding the glass capillary, a flow regulator, and a vacuum pump for evacuation. Compared with the currently prevalent loop mounting method, this simplified method has almost the same mounting procedure and thus is compatible with the current automated crystal mounting system. The advantages of this method include higher signal-to-noise ratio, more accurate measurement, more rapid flash cooling, less x-ray absorption and thus less radiation damage to the crystal. This method can be extended to the flash-freeing of a crystal without or with soaking it in a lower concentration of cryoprotectant, thus it may be the best option for data collection in the absence of suitable cryoprotectant. Therefore, it is suggested that this mounting method should be further improved and extensively applied to cryocrystallographic experiments.

  20. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755