Sample records for ga metal buffer

  1. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  2. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  3. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    PubMed

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  4. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  5. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  6. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  7. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun

    2015-05-04

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrownmore » n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme.« less

  8. New MBE buffer for micron- and quarter-micron-gateGaAs MESFETs

    NASA Technical Reports Server (NTRS)

    1988-01-01

    A new buffer layer has been developed that eliminates backgating in GaAs MESFETs and substantially reduces short-channel effects in GaAs MESFETs with 0.27-micron-long gates. The new buffer is grown by molecular beam epitaxy (MBE) at a substrate temperature of 200 C using Ga and As sub 4 beam fluxes. The buffer is crystalline, highly resistive, optically inactive, and can be overgrown with high quality GaAs. GaAs MESFETs with a gate length of 0.27 microns that incorporate the new buffer show improved dc and RF properties in comparison with a similar MESFET with a thin undoped GaAs buffer. To demonstrate the backgating performance improvement afforded by the new buffer, MESFETs were fabricated using a number of different buffer layers and structures. A schematic cross section of the MESFET structure used in this study is shown. The measured gate length, gate width, and source-drain spacing of this device are 2,98, and 5.5 microns, respectively. An ohmic contact, isolated from the MESFET by mesa etching, served as the sidegate. The MESFETs were fabricated in MBE n-GaAs layers grown on the new buffer and also in MBE n-GaAs layers grown on buffer layers of undoped GaAs, AlGaAs, and GaAs/AlGaAs superlattices. All the buffer layers were grown by MBE and are 2 microns thick. The active layer is doped to approximately 2 x 10 to the 17th/cu cm with silicon and is 0.3 microns thick.

  9. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  10. Emission wavelength red-shift by using ;semi-bulk; InGaN buffer layer in InGaN/InGaN multiple-quantum-well

    NASA Astrophysics Data System (ADS)

    Alam, Saiful; Sundaram, Suresh; Li, Xin; El Gmili, Youssef; Elouneg-Jamroz, Miryam; Robin, Ivan Christophe; Patriarche, Gilles; Salvestrini, Jean-Paul; Voss, Paul L.; Ougazzaden, Abdallah

    2017-12-01

    We report an elongation of emission wavelength by inserting a ∼70 nm thick high quality semi-bulk (SB) InyGa1-yN buffer layer underneath the InxGa1-xN/InyGa1-yN (x > y) multi-quantum-well (MQW).While the MQW structure without the InGaN SB buffer is fully strained on the n-GaN template, the MQW structure with the buffer has ∼15% relaxation. This small relaxation along with slight compositional pulling induced well thickness increase of MQW is believed to be the reason for the red-shift of emission wavelength. In addition, the SB InGaN buffer acts as an electron reservoir and also helps to reduce the Quantum Confined Stark Effect (QCSE) and thus increase the emission intensity. In this way, by avoiding fully relaxed buffer induced material degradation, a longer emission wavelength can be achieved by just using InGaN SB buffer while keeping all other growth conditions the same as the reference structure. Thus, a reasonably thick fully strained or very little relaxed InGaN buffer, which is realized by ;semi-bulk; approach to maintain good InGaN material quality, can be beneficial for realizing LEDs, grown on top of this buffer, emitting in the blue to cyan to green regime without using excess indium (In).

  11. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  12. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  13. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  14. Leakage effects in n-GaAs MESFET with n-GaAs buffer layer

    NASA Technical Reports Server (NTRS)

    Wang, Y. C.; Bahrami, M.

    1983-01-01

    Whereas improvement of the interface between the active layer and the buffer layer has been demonstrated, the leakage effects can be important if the buffer layer resistivity is not sufficiently high and/or the buffer layer thickness is not sufficiently small. It was found that two buffer leakage currents exist from the channel under the gate to the source and from drain to the channel in addition to the buffer leakage resistance between drain and source. It is shown that for a 1 micron gate-length n-GaAs MESFET, if the buffer layer resistivity is 12 OHM-CM and the buffer layer thickness h is 2 microns, the performance of the device degrades drastically. It is suggested that h should be below 2 microns.

  15. Buffer Layer Effects on Tandem InGaAs TPV Devices

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Wehrer, Rebecca J.; Maurer, William F.

    2004-01-01

    Single junction indium gallium arsenide (InGaAs) based TPV devices have demonstrated efficiencies in excess of 20% at radiator temperatures of 1058 C. Modeling suggests that efficiency improvements in single bandgap devices should continue although they will eventually plateau. One approach for extending efficiencies beyond the single bandgap limit is to follow the technique taken in the solar cell field, namely tandem TPV cells. Tandem photovoltaic devices are traditionally composed of cells of decreasing bandgap, connected electrically and optically in series. The incident light impinges upon the highest bandgap first. This device acts as a sieve, absorbing the high-energy photons, while allowing the remainder to pass through to the underlying cell(s), and so on. Tandem devices reduce the energy lost to overexcitation as well as reducing the current density (Jsc). Reduced Jsc results in lower resistive losses and enables the use of thinner and lower doped lateral current conducting layers as well as a higher pitch grid design. Fabricating TPV tandem devices utilizing InGaAs for all of the component cells in a two cell tandem necessitates the inclusion of a buffer layer in-between the high bandgap device (In0.53 Ga0.47As - 0.74eV) and the low bandgap device (In0.66Ga0.34As - 0.63eV) to accommodate the approximately 1% lattice strain generated due to the change in InGaAs composition. To incorporate only a single buffer layer structure, we have investigated the use of the indium phosphide (InP) substrate as a superstrate. Thus the high-bandgap, lattice- matched device is deposited first, followed by the buffer structure and the low-bandgap cell. The near perfect transparency of the high bandgap (1.35eV) iron-doped InP permits the device to be oriented such that the light enters through the substrate. In this paper we examine the impact of the buffer layer on the underlying lattice-matched InGaAs device. 0.74eV InGaAs devices were produced in a variety of

  16. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I.

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffersmore » also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.« less

  17. Control of short-channel effects in InAlN/GaN high-electron mobility transistors using graded AlGaN buffer

    NASA Astrophysics Data System (ADS)

    Han, Tiecheng; Zhao, Hongdong; Peng, Xiaocan; Li, Yuhai

    2018-04-01

    A graded AlGaN buffer is designed to realize the p-type buffer by inducing polarization-doping holes. Based on the two-dimensional device simulator, the effect of the graded AlGaN buffer on the direct-current (DC) and radio-frequency (RF) performance of short-gate InAlN/GaN high-electron mobility transistors (HEMTs) are investigated, theoretically. Compared to standard HEMT, an enhancement of electron confinement and a good control of short-channel effect (SCEs) are demonstrated in the graded AlGaN buffer HEMT. Accordingly, the pinched-off behavior and the ability of gate modulation are significantly improved. And, no serious SCEs are observed in the graded AlGaN buffer HEMT with an aspect ratio (LG/tch) of about 6.7, much lower than that of the standard HEMT (LG/tch = 13). In addition, for a 70-nm gate length, a peak current gain cutoff frequency (fT) of 171 GHz and power gain cutoff frequency (fmax) of 191 GHz are obtained in the grade buffer HEMT, which are higher than those of the standard one with the same gate length.

  18. Growth temperature optimization of GaAs-based In0.83Ga0.17As on InxAl1-xAs buffers

    NASA Astrophysics Data System (ADS)

    Chen, X. Y.; Gu, Y.; Zhang, Y. G.; Ma, Y. J.; Du, B.; Zhang, J.; Ji, W. Y.; Shi, Y. H.; Zhu, Y.

    2018-04-01

    Improved quality of gas source molecular beam epitaxy grown In0.83Ga0.17As layer on GaAs substrate was achieved by adopting a two-step InxAl1-xAs metamorphic buffer at different temperatures. With a high-temperature In0.83Al0.17As template following a low-temperature composition continuously graded InxAl1-xAs (x = 0.05-0.86) buffer, better structural, optical and electrical properties of succeeding In0.83Ga0.17As were confirmed by atomic force microscopy, photoluminescence and Hall-effect measurements. Cross-sectional transmission electron microscopy revealed significant effect of the two-step temperature grown InAlAs buffer layers on the inhibition of threading dislocations due to the deposition of high density nuclei on GaAs substrate at the low growth temperature. The limited reduction for the dark current of GaAs-based In0.83Ga0.17As photodetectors on the two-step temperature grown InxAl1-xAs buffer layers was ascribed to the contribution of impurities caused by the low growth temperature of InAlAs buffers.

  19. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  20. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  1. Polarization characteristics of semipolar (112̄2) InGaN/GaN quantum well structures grown on relaxed InGaN buffer layers and comparison with experiment.

    PubMed

    Park, Seoung-Hwan; Mishra, Dhaneshwar; Eugene Pak, Y; Kang, K; Park, Chang Yong; Yoo, Seung-Hyun; Cho, Yong-Hee; Shim, Mun-Bo; Kim, Sungjin

    2014-06-16

    Partial strain relaxation effects on polarization ratio of semipolar (112̄2) InxGa1−xN/GaN quantum well (QW) structures grown on relaxed InGaN buffers were investigated using the multiband effective-mass theory. The absolute value of the polarization ratio gradually decreases with increasing In composition in InGaN buffer layer when the strain relaxation ratio (ε0y′y′−εy′y′)/ε0y′y′ along y′-axis is assumed to be linearly proportional to the difference of lattice constants between the well and the buffer layer. Also, it changes its sign for the QW structure grown on InGaN buffer layer with a relatively larger In composition (x > 0.07). These results are in good agreement with the experiment. This can be explained by the fact that, with increasing In composition in the InGaN subsrate, the spontaneous emission rate for the y′-polarization gradually increases while that for x′-polarization decreases due to the decrease in a matrix element at the band-edge (k‖ = 0).

  2. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  3. Threading Dislocations in InGaAs/GaAs (001) Buffer Layers for Metamorphic High Electron Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-07-01

    In order to evaluate various buffer layers for metamorphic devices, threading dislocation densities have been calculated for uniform composition In x Ga1- x As device layers deposited on GaAs (001) substrates with an intermediate graded buffer layer using the L MD model, where L MD is the average length of misfit dislocations. On this basis, we compare the relative effectiveness of buffer layers with linear, exponential, and S-graded compositional profiles. In the case of a 2 μm thick buffer layer linear grading results in higher threading dislocation densities in the device layer compared to either exponential or S-grading. When exponential grading is used, lower threading dislocation densities are obtained with a smaller length constant. In the S-graded case, lower threading dislocation densities result when a smaller standard deviation parameter is used. As the buffer layer thickness is decreased from 2 μm to 0.1 μm all of the above effects are diminished, and the absolute threading dislocation densities increase.

  4. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  5. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  6. Study on electrical properties of metal/GaSb junctions using metal-GaSb alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nishi, Koichi, E-mail: nishi@mosfet.t.u-tokyo.ac.jp; Yokoyama, Masafumi; Kim, Sanghyeon

    2014-01-21

    We study the metal-GaSb alloy formation, the structural properties and the electrical characteristics of the metal-alloy/GaSb diodes by employing metal materials such as Ni, Pd, Co, Ti, Al, and Ta, in order to clarify metals suitable for GaSb p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) as metal-GaSb alloy source/drain (S/D). It is found that Ni, Pd, Co, and Ti can form alloy with GaSb by rapid thermal annealing at 250, 250, 350, and 450 °C, respectively. The Ni-GaSb and Pd-GaSb alloy formation temperature of 250 °C is lower than the conventional dopant activation annealing for ion implantation, which enable us to lower the processmore » temperature. The alloy layers show lower sheet resistance (R{sub Sheet}) than that of p{sup +}-GaSb layer formed by ion implantation and activation annealing. We also study the electrical characteristics of the metal-alloy/GaSb junctions. The alloy/n-GaSb contact has large Schottky barrier height (ϕ{sub B}) for electrons, ∼0.6 eV, and low ϕ{sub B} for holes, ∼0.2 eV, which enable us to realize high on/off ratio in pMOSFETs. We have found that the Ni-GaSb/GaSb Schottky junction shows the best electrical characteristics with ideal factor (n) of 1.1 and on-current/off-current ratio (I{sub on}/I{sub off}) of ∼10{sup 4} among the metal-GaSb alloy/GaSb junctions evaluated in the present study. These electrical properties are also superior to those of a p{sup +}-n diode fabricated by Be ion implantation with activation annealing at 350 °C. As a result, the Ni-GaSb alloy can be regarded as one of the best materials to realize metal S/D in GaSb pMOSFETs.« less

  7. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  8. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  9. An AlGaN/GaN high-electron-mobility transistor with an AlN sub-buffer layer

    NASA Astrophysics Data System (ADS)

    Shealy, J. R.; Kaper, V.; Tilak, V.; Prunty, T.; Smart, J. A.; Green, B.; Eastman, L. F.

    2002-04-01

    The AlGaN/GaN high-electron-mobility transistor requires a thermally conducting, semi-insulating substrate to achieve the best possible microwave performance. The semi-insulating SiC substrate is currently the best choice for this device technology; however, fringing fields which penetrate the GaN buffer layer at pinch-off introduce significant substrate conduction at modest drain bias if channel electrons are not well confined to the nitride structure. The addition of an insulating AlN sub-buffer on the semi-insulating SiC substrate suppresses this parasitic conduction, which results in dramatic improvements in the AlGaN/GaN transistor performance. A pronounced reduction in both the gate-lag and the gate-leakage current are observed for structures with the AlN sub-buffer layer. These structures operate up to 50 V drain bias under drive, corresponding to a peak voltage of 80 V, for a 0.30 µm gate length device. The devices have achieved high-efficiency operation at 10 GHz (>70% power-added efficiency in class AB mode at 15 V drain bias) and the highest output power density observed thus far (11.2 W mm-1). Large-periphery devices (1.5 mm gate width) deliver 10 W (continuous wave) of maximum saturated output power at 10 GHz. The growth, processing, and performance of these devices are briefly reviewed.

  10. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    PubMed Central

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  11. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  12. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  13. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  14. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  15. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  16. Growth mechanisms of GaSb heteroepitaxial films on Si with an AlSb buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vajargah, S. Hosseini; Botton, G. A.; Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario L8S 4M1

    2013-09-21

    The initial growth stages of GaSb epilayers on Si substrates and the role of the AlSb buffer layer were studied by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). Heteroepitaxy of GaSb and AlSb on Si both occur by Volmer-Weber (i.e., island mode) growth. However, the AlSb and GaSb islands have distinctly different characteristics as revealed through an atomic-resolution structural study using Z-contrast of HAADF-STEM imaging. While GaSb islands are sparse and three dimensional, AlSb islands are numerous and flattened. The introduction of 3D island-forming AlSb buffer layer facilitates the nucleation of GaSb islands. The AlSb islands-assisted nucleation of GaSbmore » islands results in the formation of drastically higher quality planar film at a significantly smaller thickness of films. The interface of the AlSb and GaSb epilayers with the Si substrate was further investigated with energy dispersive X-ray spectrometry to elucidate the key role of the AlSb buffer layer in the growth of GaSb epilayers on Si substrates.« less

  17. Investigation of buffer traps in AlGaN/GaN-on-Si devices by thermally stimulated current spectroscopy and back-gating measurement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shu; Zhou, Chunhua; Jiang, Qimeng

    2014-01-06

    Thermally stimulated current (TSC) spectroscopy and high-voltage back-gating measurement are utilized to study GaN buffer traps specific to AlGaN/GaN lateral heterojunction structures grown on a low-resistivity Si substrate. Three dominating deep-level traps in GaN buffer with activation energies of ΔE{sub T1} ∼ 0.54 eV, ΔE{sub T2} ∼ 0.65 eV, and ΔE{sub T3} ∼ 0.75 eV are extracted from TSC spectroscopy in a vertical GaN-on-Si structure. High back-gate bias applied to the Si substrate could influence the drain current in an AlGaN/GaN-on-Si high-electron-mobility transistor in a way that cannot be explained with a simple field-effect model. By correlating the trap states identified in TSC with the back-gating measurement results, itmore » is proposed that the ionization/deionization of both donor and acceptor traps are responsible for the generation of buffer space charges, which impose additional modulation to the 2DEG channel.« less

  18. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  19. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  20. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  1. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  2. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  3. The effects of the porous buffer layer and doping with dysprosium on internal stresses in the GaInP:Dy/por-GaAs/GaAs(100) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V.; Gordienko, N. N.; Glotov, A. V.

    2009-08-15

    In structures with a porous buffer layer, residual internal stresses caused by a mismatch between the crystal-lattice parameters of the epitaxial GaInP alloy and the GaAs substrate are redistributed to the porous layer that acts as a buffer and is conducive to disappearance of internal stresses. Doping of the epitaxial layer with dysprosium exerts a similar effect on the internal stresses in the film-substrate structure.

  4. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  5. Reduction of Crosshatch Roughness and Threading Dislocation Density in Metamorphic GaInP Buffers and GaInAs Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    France, R. M.; Geisz, J. F.; Steiner, M. A.

    Surface crosshatch roughness typically develops during the growth of lattice-mismatched compositionally graded buffers and can limit misfit dislocation glide. In this study, the crosshatch roughness during growth of a compressive GaInP/GaAs graded buffer is reduced by increasing the phosphine partial pressure throughout the metamorphic growth. Changes in the average misfit dislocation length are qualitatively determined by characterizing the threading defect density and residual strain. The decrease of crosshatch roughness leads to an increase in the average misfit dislocation glide length, indicating that the surface roughness is limiting dislocation glide. Growth rate is also analyzed as a method to reduce surfacemore » crosshatch roughness and increase glide length, but has a more complicated relationship with glide kinetics. Using knowledge gained from these experiments, high quality inverted GaInAs 1 eV solar cells are grown on a GaInP compositionally graded buffer with reduced roughness and threading dislocation density. The open circuit voltage is only 0.38 V lower than the bandgap potential at a short circuit current density of 15 mA/cm{sup 2}, suggesting that there is very little loss due to the lattice mismatch.« less

  6. Ga metal nanoparticle-GaAs quantum molecule complexes for Terahertz generation.

    PubMed

    Bietti, Sergio; Basso Basset, Francesco; Scarpellini, David; Fedorov, Alexey; Ballabio, Andrea; Esposito, Luca; Elborg, Martin; Kuroda, Takashi; Nemcsics, Akos; Toth, Lajos; Manzoni, Cristian; Vozzi, Caterina; Sanguinetti, Stefano

    2018-06-18

    A hybrid metal-semiconductor nanosystem for the generation of THz radiation, based on the fabrication of GaAs quantum molecules-Ga metal nanoparticles complexes through a self assembly approach, is proposed. The role of the growth parameters, the substrate temperature, the Ga and As flux during the quantum dot molecule fabrication and the metal nanoparticle alignment is discussed. The tuning of the relative positioning of quantum dot molecules and metal nanoparticles is obtained through the careful control of Ga droplet nucleation sites via Ga surface diffusion. The electronic structure of a typical quantum dot molecule was evaluated on the base of the morphological characterizations performed by Atomic Force Microscopy and cross sectional Scanning Electron Microscopy, and the predicted results confirmed by micro-photoluminescence experiments, showing that the Ga metal nanoparticle-GaAs quantum molecule complexes are suitable for terahertz generation from intraband transition. . © 2018 IOP Publishing Ltd.

  7. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  8. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  9. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  10. Heteroepitaxial growth of In{sub 0.30}Ga{sub 0.70}As high-electron mobility transistor on 200 mm silicon substrate using metamorphic graded buffer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kohen, David, E-mail: david.kohen@asm.com; Nguyen, Xuan Sang; Made, Riko I

    We report on the growth of an In{sub 0.30}Ga{sub 0.70}As channel high-electron mobility transistor (HEMT) on a 200 mm silicon wafer by metal organic vapor phase epitaxy. By using a 3 μm thick buffer comprising a Ge layer, a GaAs layer and an InAlAs compositionally graded strain relaxing buffer, we achieve threading dislocation density of (1.0 ± 0.3) × 10{sup 7} cm{sup −2} with a surface roughness of 10 nm RMS. No phase separation was observed during the InAlAs compositionally graded buffer layer growth. 1.4 μm long channel length transistors are fabricated from the wafer with I{sub DS} of 70more » μA/μm and g{sub m} of above 60 μS/μm, demonstrating the high quality of the grown materials.« less

  11. Impact of varying buffer thickness generated strain and threading dislocations on the formation of plasma assisted MBE grown ultra-thin AlGaN/GaN heterostructure on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-05-15

    Plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructures on Si(111) substrate with three buffer thickness (600 nm/400 nm/200 nm) have been reported. An unique growth process has been developed that supports lower temperature epitaxy of GaN buffer which minimizes thermally generated tensile strain through appropriate nitridation and AlN initiated epitaxy for achieving high quality GaN buffer which supports such ultra-thin heterostructures in the range of 10-15Å. It is followed by investigations of role of buffer thickness on formation of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructure, in terms of stress-strain and threading dislocation (TD). Structural characterization were performedmore » by High-Resolution X-Ray Diffraction (HRXRD), room-temperature Photoluminescence (RT-PL), High Resolution Transmission Electron Microscopy (HRTEM) and Atomic Force Microscopy (AFM). Analysis revealed increasing biaxial tensile stress of 0.6918 ± 0.04, 1.1084, 1.1814 GPa in heterostructures with decreasing buffer thickness of 600, 400, 200 nm respectively which are summed up with residual tensile strain causing red-shift in RT-PL peak. Also, increasing buffer thickness drastically reduced TD density from the order 10{sup 10} cm{sup −2} to 10{sup 8} cm{sup −2}. Surface morphology through AFM leads to decrease of pits and root mean square value with increasing buffer thickness which are resulted due to reduction of combined effect of strain and TDs.« less

  12. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  13. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    PubMed

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  14. Alternative buffer layer development in Cu(In,Ga)Se2 thin film solar cells

    NASA Astrophysics Data System (ADS)

    Xin, Peipei

    Cu(In,Ga)Se2-based thin film solar cells are considered to be one of the most promising photovoltaic technologies. Cu(In,Ga)Se2 (CIGS) solar devices have the potential advantage of low-cost, fast fabrication by using semiconductor layers of only a few micrometers thick and high efficiency photovoltaics have been reported at both the cell and the module levels. CdS via chemical bath deposition (CBD) has been the most widely used buffer option to form the critical junction in CIGS-based thin film photovoltaic devices. However, the disadvantages of CdS can’t be ignored - regulations on cadmium usage are getting stricter primarily due to its toxicity and environmental impacts, and the proper handling of the large amount of toxic chemical bath waste is a massive and expensive task. This dissertation is devoted to the development of Cd-free alternative buffer layers in CIGS-based thin film solar cells. Based on the considerations of buffer layer selection criteria and extensive literature review, Zn-compound buffer materials are chosen as the primary investigation candidates. Radio frequency magnetron sputtering is the preferred buffer deposition approach since it’s a clean and more controllable technique compared to CBD, and is readily scaled to large area manufacturing. First, a comprehensive study of the ZnSe1-xOx compound prepared by reactive sputtering was completed. As the oxygen content in the reactive sputtering gas increased, ZnSe1-xOx crystallinity and bandgap decreased. It’s observed that oxygen miscibility in ZnSe was low and a secondary phase formed when the O2 / (O2 + Ar) ratio in the sputtering gas exceeded 2%. Two approaches were proposed to optimize the band alignment between the CIGS and buffer layer. One method focused on the bandgap engineering of the absorber, the other focused on the band structure modification of the buffer. As a result, improved current of the solar cell was achieved although a carrier transport barrier at the junction

  15. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    NASA Astrophysics Data System (ADS)

    Young, Erin C.; Wu, Feng; Romanov, Alexey E.; Haeger, Daniel A.; Nakamura, Shuji; Denbaars, Steven P.; Cohen, Daniel A.; Speck, James S.

    2012-10-01

    In this Letter, we report on the growth and properties of relaxed, compositionally graded AlxGa1 - xN buffer layers on freestanding semipolar (202¯1) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 106/cm2 as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  16. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  17. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  18. Compositionally graded relaxed AlGaN buffers on semipolar GaN for mid-ultraviolet emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, Erin C.; Wu Feng; Haeger, Daniel A.

    In this Letter, we report on the growth and properties of relaxed, compositionally graded Al{sub x}Ga{sub 1-x}N buffer layers on freestanding semipolar (2021) GaN substrates. Continuous and step compositional grades with Al concentrations up to x = 0.61 have been achieved, with emission wavelengths in the mid-ultraviolet region as low as 265 nm. Coherency stresses were relaxed progressively throughout the grades by misfit dislocation generation via primary (basal) slip and secondary (non-basal) slip systems. Threading dislocation densities in the final layers of the grades were less than 10{sup 6}/cm{sup 2} as confirmed by plan-view transmission electron microscopy and cathodoluminescence studies.

  19. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer.more » Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.« less

  20. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  1. Growth and characterization of an InSb infrared photoconductor on Si via an AlSb/GaSb buffer

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-05-01

    A 99.6% relaxed InSb layer is grown on a 6° offcut (1 0 0) Si substrate via an AlSb/GaSb buffer using molecular beam epitaxy (MBE). A 200 nm GaSb buffer is first grown on Si and the lattice mismatch between them is accommodated by an interfacial misfit (IMF) array consisting of uniformly distributed 90° misfit dislocations. Si delta doping is introduced during the growth of GaSb to reduce the density of threading dislocation. Subsequently, a 50 nm AlSb buffer is grown followed by a 0.8 μm InSb layer. The InSb layer exhibits a 300 K electron mobility of 22,300 cm2/Vs. An InSb photoconductor on Si is demonstrated with a photoconductive gain from 77 K to 200 K under a 700 °C maintained blackbody.

  2. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  3. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  4. Preparation and Optoelectronic Characteristics of ZnO/CuO-Cu2O Complex Inverse Heterostructure with GaP Buffer for Solar Cell Applications

    PubMed Central

    Hsu, Chih-Hung; Chen, Lung-Chien; Lin, Yi-Feng

    2013-01-01

    This study reports the optoelectronic characteristics of ZnO/GaP buffer/CuO-Cu2O complex (COC) inverse heterostructure for solar cell applications. The GaP and COC layers were used as buffer and absorber in the cell structure, respectively. An energy gap widening effect and CuO whiskers were observed as the copper (Cu) layer was exerted under heat treatment for oxidation at 500 °C for 10 min, and arose from the center of the Cu2O rods. For preparation of the 30 nm-thick GaP buffer by sputtering from GaP target, as the nitrogen gas flow rate increased from 0 to 2 sccm, the transmittance edge of the spectra demonstrated a blueshift form 2.24 to 3.25 eV. Therefore, the layer can be either GaP, GaNP, or GaN by changing the flow rate of nitrogen gas. PMID:28788341

  5. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  6. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  7. Buffer layers on biaxially textured metal substrates

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2001-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  8. Zero-internal fields in nonpolar InGaN/GaN multi-quantum wells grown by the multi-buffer layer technique.

    PubMed

    Song, Hooyoung; Kim, Jin Soak; Kim, Eun Kyu; Seo, Yong Gon; Hwang, Sung-Min

    2010-04-02

    The potential of nonpolar a-plane InGaN/GaN multi-quantum wells (MQWs), which are free from a strong piezoelectric field, was demonstrated. An a-GaN template grown on an r-plane sapphire substrate by the multi-buffer layer technique showed high structural quality with an omega full width at half maximum value along the c-axis of 418 arcsec obtained from high-resolution x-ray diffraction analysis. From barrier analysis by deep level transient spectroscopy, it appeared that a-plane InGaN/GaN MQWs can solve the efficiency droop problem as they have a lower electron capture barrier than the c-plane sample. The peak shift of the temperature-dependent photoluminescence signal for the nonpolar InGaN/GaN MQWs was well fitted by Varshni's empirical equation with zero-internal fields. A high photoluminescence efficiency of 0.27 from this sample also showed that nonpolar MQWs can be the key factor to solve the efficiency limitation in conventional c-plane GaN based light emitting diodes.

  9. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  10. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  11. Rare earth zirconium oxide buffer layers on metal substrates

    DOEpatents

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  12. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery

    NASA Astrophysics Data System (ADS)

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-05-01

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a

  13. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  14. Piezoresistive effect in metal-semiconductor-metal structures on p-type GaN

    NASA Astrophysics Data System (ADS)

    Gaska, R.; Shur, M. S.; Bykhovski, A. D.; Yang, J. W.; Khan, M. A.; Kaminski, V. V.; Soloviov, S. M.

    2000-06-01

    We report on a strong piezoresistive effect in metal-semiconductor-metal structures fabricated on p-type GaN. The maximum measured gauge factor was 260, which is nearly two times larger than for piezoresistive silicon transducers. We attribute this large sensitivity to applied strain to the combination of two mechanisms: (i) a high piezoresistance of bulk p-GaN and (ii) a strong piezoresistive effect in a Schottky contact on p-GaN. The obtained results demonstrate that GaN-based structures can be suitable for stress/pressure sensor applications.

  15. Method of depositing buffer layers on biaxially textured metal substrates

    DOEpatents

    Beach, David B.; Morrell, Jonathan S.; Paranthaman, Mariappan; Chirayil, Thomas; Specht, Eliot D.; Goyal, Amit

    2002-08-27

    A laminate article comprises a substrate and a biaxially textured (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  16. Inclined dislocation arrays in AlGaN/AlGaN quantum well structures emitting at 290 nm

    NASA Astrophysics Data System (ADS)

    Chang, T. Y.; Moram, M. A.; McAleese, C.; Kappers, M. J.; Humphreys, C. J.

    2010-12-01

    We report on the structural and optical properties of deep ultraviolet emitting AlGaN/AlGaN multiple quantum wells (MQWs) grown on (0001) sapphire by metal-organic vapor phase epitaxy using two different buffer layer structures, one containing a thin (1 μm) AlN layer combined with a GaN interlayer and the other a thick (4 μm) AlN layer. Transmission electron microscopy analysis of both structures showed inclined arrays of dislocations running through the AlGaN layers at an angle of ˜30°, originating at bunched steps at the AlN surface and terminating at bunched steps at the surface of the MQW structure. In all layers, these inclined dislocation arrays are surrounded by AlGaN with a relatively higher Ga content, consistent with plan-view cathodoluminescence maps in which the bunched surface steps are associated with longer emission wavelengths. The structure with the 4 μm-thick AlN buffer layer had a dislocation density lower by a factor of 2 (at (1.7±0.1)×109 cm-2) compared to the structure with the 1 μm thick AlN buffer layer, despite the presence of the inclined dislocation arrays.

  17. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    NASA Astrophysics Data System (ADS)

    Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.

    2016-03-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.

  18. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery.

    PubMed

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-06-07

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.

  19. Degradation mechanisms of Ti/Al/Ni/Au-based Ohmic contacts on AlGaN/GaN HEMTs

    DOE PAGES

    Hwang, Ya-Hsi; Ahn, Shihyun; Dong, Chen; ...

    2015-04-27

    We investigated the degradation mechanism of Ti/Al/Ni/Au-based Ohmic metallization on AlGaN/GaN high electron mobility transistors upon exposure to buffer oxide etchant (BOE). The major effect of BOE on the Ohmic metal was an increase of sheet resistance from 2.89 to 3.69 Ω/ₜafter 3 min BOE treatment. The alloyed Ohmic metallization consisted 3–5 μm Ni-Al alloy islands surrounded by Au-Al alloy-rings. The morphology of both the islands and ring areas became flatter after BOE etching. Lastly, we used energy dispersive x-ray analysis and Auger electron microscopy to analyze the compositions and metal distributions in the metal alloys prior to and aftermore » BOE exposure.« less

  20. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  1. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  2. The role of AlGaN buffers and channel thickness in the electronic transport properties of Al{sub x}In{sub 1–x}N/AlN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amirabbasi, M., E-mail: mo.amirabbasi@gmail.com

    We try to theoretically analyze the reported experimental data of the Al{sub x}In{sub 1–x}N/AlN/GaN heterostructures grown by MOCVD and quantitatively investigate the effects of AlGaN buffers and the GaNchannel thickness on the electrical transport properties of these systems. Also, we obtain the most important effective parameters of the temperature-dependent mobility in the range 35–300 K. Our results show that inserting a 1.1 μm thick Al{sub 0.04}Ga{sub 0.96}N buffer enhances electron mobility by decreasing the effect of phonons, the interface roughness, and dislocation and crystal defect scattering mechanisms. Also, as the channel thickness increases from 20 nm to 40 nm, themore » electron mobility increases from 2200 to 2540 cm{sup 2}/(V s) and from 870 to 1000 cm{sup 2}/(V s) at 35 and 300 K respectively, which is attributed to the reduction in the dislocation density and the strain-induced field. Finally, the reported experimental data show that inserting a 450 nm graded AlGaN layer before an Al{sub 0.04}Ga{sub 0.96}N buffer causes a decrease in the electron mobility, which is attributed to the enhancement of the lateral size of roughness, the dislocation density, and the strain-induced field in this sample.« less

  3. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  4. Effect of an InxGa1-xAs-GaAs blocking heterocathode metal contact on the GaAs TED operation

    NASA Astrophysics Data System (ADS)

    Arkusha, Yu. V.; Prokhorov, E. D.; Storozhenko, I. P.

    2004-09-01

    The frequency dependence of the generation efficiency of an mm- -nn:In:InxGaGa1-1-xAs- As-nn:GaAs-:GaAs-nn++:GaAs TED with the 2.5-mm long active region is calculated. The optimum values - which yield the diode maximum generation efficiency - for the :GaAs TED with the 2.5-mm long active region is calculated. The optimum values - which yield the diode maximum generation efficiency - for the nn:In:InxGaGa1-1-xAs cathode length, the cathode concentration of ionized impurities, and the height of the potential barrier on metal contact are determined.As cathode length, the cathode concentration of ionized impurities, and the height of the potential barrier on metal contact are determined.

  5. Chemical lift-off and direct wafer bonding of GaN/InGaN P-I-N structures grown on ZnO

    NASA Astrophysics Data System (ADS)

    Pantzas, K.; Rogers, D. J.; Bove, P.; Sandana, V. E.; Teherani, F. H.; El Gmili, Y.; Molinari, M.; Patriarche, G.; Largeau, L.; Mauguin, O.; Suresh, S.; Voss, P. L.; Razeghi, M.; Ougazzaden, A.

    2016-02-01

    p-GaN/i-InGaN/n-GaN (PIN) structures were grown epitaxially on ZnO-buffered c-sapphire substrates by metal organic vapor phase epitaxy using the industry standard ammonia precursor for nitrogen. Scanning electron microscopy revealed continuous layers with a smooth interface between GaN and ZnO and no evidence of ZnO back-etching. Energy Dispersive X-ray Spectroscopy revealed a peak indium content of just under 5 at% in the active layers. The PIN structure was lifted off the sapphire by selectively etching away the ZnO buffer in an acid and then direct bonded onto a glass substrate. Detailed high resolution transmission electron microscoy and grazing incidence X-ray diffraction studies revealed that the structural quality of the PIN structures was preserved during the transfer process.

  6. Heterointerface study of InAs/GaSb nanoridge heterostructures grown by metal organic chemical vapor deposition on V-grooved Si (0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Lai, Billy; Li, Qiang; Lau, Kei May

    2018-02-01

    InAs/GaSb nanoridge heterostructures were grown on V-grooved (0 0 1) Si by metal organic chemical vapor deposition. Combining the aspect ratio trapping process and a low temperature GaAs buffer, we demonstrated high quality GaSb nanoridge templates for InAs/GaSb heterostructure growth. Two different interfaces, a transitional GaAsSb and an InSb-like interface, were investigated when growing these heterostructures. A 500 °C growth temperature in conjunction with a GaAsSb interface was determined to produce the optimal interface, properly compensating for the tensile strain accumulated when growing InAs on GaSb. Without the need for a complicated switching sequence, this GaAsSb-like interface utilized at the optimized temperature is the initial step towards InAs/GaSb type II superlattice and other device structures integrated onto Si.

  7. Step buffer layer of Al0.25Ga0.75N/Al0.08Ga0.92N on P-InAlN gate normally-off high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Shrestha, Niraj M.; Li, Yiming; Chang, E. Y.

    2016-07-01

    Normally-off AlGaN/GaN high electron mobility transistors (HEMTs) are indispensable devices for power electronics as they can greatly simplify circuit designs in a cost-effective way. In this work, the electrical characteristics of p-type InAlN gate normally-off AlGaN/GaN HEMTs with a step buffer layer of Al0.25Ga0.75N/Al0.1Ga0.9N is studied numerically. Our device simulation shows that a p-InAlN gate with a step buffer layer allows the transistor to possess normally-off behavior with high drain current and high breakdown voltage simultaneously. The gate modulation by the p-InAlN gate and the induced holes appearing beneath the gate at the GaN/Al0.25Ga0.75N interface is because a hole appearing in the p-InAlN layer can effectively vary the threshold voltage positively. The estimated threshold voltage of the normally-off HEMTs explored is 2.5 V at a drain bias of 25 V, which is 220% higher than the conventional p-AlGaN normally-off AlGaN/GaN gate injection transistor (GIT). Concurrently, the maximum current density of the explored HEMT at a drain bias of 10 V slightly decreases by about 7% (from 240 to 223 mA mm-1). At a drain bias of 15 V, the current density reached 263 mA mm-1. The explored structure is promising owing to tunable positive threshold voltage and the maintenance of similar current density; notably, its breakdown voltage significantly increases by 36% (from 800 V, GIT, to 1086 V). The engineering findings of this study indicate that novel p-InAlN for both the gate and the step buffer layer can feature a high threshold voltage, large current density and high operating voltage for advanced AlGaN/GaN HEMT devices.

  8. Liquid metal/metal oxide frameworks with incorporated Ga2O3 for photocatalysis.

    PubMed

    Zhang, Wei; Naidu, Boddu S; Ou, Jian Zhen; O'Mullane, Anthony P; Chrimes, Adam F; Carey, Benjamin J; Wang, Yichao; Tang, Shi-Yang; Sivan, Vijay; Mitchell, Arnan; Bhargava, Suresh K; Kalantar-Zadeh, Kourosh

    2015-01-28

    Solvothermally synthesized Ga2O3 nanoparticles are incorporated into liquid metal/metal oxide (LM/MO) frameworks in order to form enhanced photocatalytic systems. The LM/MO frameworks, both with and without incorporated Ga2O3 nanoparticles, show photocatalytic activity due to a plasmonic effect where performance is related to the loading of Ga2O3 nanoparticles. Optimum photocatalytic efficiency is obtained with 1 wt % incorporation of Ga2O3 nanoparticles. This can be attributed to the sub-bandgap states of LM/MO frameworks, contributing to pseudo-ohmic contacts which reduce the free carrier injection barrier to Ga2O3.

  9. The W-W02 Oxygen Fugacity Buffer at High Pressures and Temperatures: Implications for f02 Buffering and Metal-silicate Partitioning

    NASA Technical Reports Server (NTRS)

    Shofner, G. A.; Campbell, A. J.; Danielson, L.; Righter, K.

    2013-01-01

    Oxygen fugacity (fO2) controls multivalent phase equilibria and partitioning of redox-sensitive elements, and it is important to understand this thermodynamic parameter in experimental and natural systems. The coexistence of a metal and its oxide at equilibrium constitutes an oxygen buffer which can be used to control or calculate fO2 in high pressure experiments. Application of 1-bar buffers to high pressure conditions can lead to inaccuracies in fO2 calculations because of unconstrained pressure dependencies. Extending fO2 buffers to pressures and temperatures corresponding to the Earth's deep interior requires precise determinations of the difference in volume (Delta) V) between the buffer phases. Synchrotron x-ray diffraction data were obtained using diamond anvil cells (DAC) and a multi anvil press (MAP) to measure unit cell volumes of W and WO2 at pressures and temperatures up to 70 GPa and 2300 K. These data were fitted to Birch-Murnaghan 3rd-order thermal equations of state using a thermal pressure approach; parameters for W are KT = 306 GPa, KT' = 4.06, and aKT = 0.00417 GPa K-1. Two structural phase transitions were observed for WO2 at 4 and 32 GPa with structures in P21/c, Pnma and C2/c space groups. Equations of state were fitted for these phases over their respective pressure ranges yielding the parameters KT = 190, 213, 300 GPa, KT' = 4.24, 5.17, 4 (fixed), and aKT = 0.00506, 0.00419, 0.00467 GPa K-1 for the P21/c, Pnma and C2/c phases, respectively. The W-WO2 buffer (WWO) was extended to high pressure by inverting the W and WO2 equations of state to obtain phase volumes at discrete pressures (1-bar to 100 GPa, 1 GPa increments) along isotherms (300 to 3000K, 100 K increments). The slope of the absolute fO2 of the WWO buffer is positive with increasing temperature up to approximately 70 GPa and is negative above this pressure. The slope is positive along isotherms from 1000 to 3000K with increasing pressure up to at least 100 GPa. The WWO buffer is at

  10. Low defect InGaAs quantum well selectively grown by metal organic chemical vapor deposition on Si(100) 300 mm wafers for next generation non planar devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cipro, R.; Gorbenko, V.; Univ. Grenoble Alpes, F-38000, France CEA-LETI, MINATEC Campus, F-38054 Grenoble

    2014-06-30

    Metal organic chemical vapor deposition of GaAs, InGaAs, and AlGaAs on nominal 300 mm Si(100) at temperatures below 550 °C was studied using the selective aspect ratio trapping method. We clearly show that growing directly GaAs on a flat Si surface in a SiO{sub 2} cavity with an aspect ratio as low as 1.3 is efficient to completely annihilate the anti-phase boundary domains. InGaAs quantum wells were grown on a GaAs buffer and exhibit room temperature micro-photoluminescence. Cathodoluminescence reveals the presence of dark spots which could be associated with the presence of emerging dislocation in a direction parallel to the cavity. Themore » InGaAs layers obtained with no antiphase boundaries are perfect candidates for being integrated as channels in n-type metal oxide semiconductor field effect transistor (MOSFET), while the low temperatures used allow the co-integration of p-type MOSFET.« less

  11. An over 18%-efficiency completely buffer-free Cu(In,Ga)Se2 solar cell

    NASA Astrophysics Data System (ADS)

    Ishizuka, Shogo; Nishinaga, Jiro; Koida, Takashi; Shibata, Hajime

    2018-07-01

    In this letter, an independently certified photovoltaic efficiency of 18.4% demonstrated from a completely buffer-layer-free Cu(In,Ga)Se2 (CIGS) solar cell is reported. A Si-doped CIGS thin film was used as the photoabsorber layer and a conductive B-doped ZnO (BZO) front electrode layer was directly deposited on the CIGS layer. Metastable acceptor activation by heat-light soaking treatment was performed to maximize the efficiency. The results presented here are expected to serve as a benchmark for simplified-structure CIGS devices as well as a reference for discussions on the role of buffer layers used in conventional CIGS solar cells.

  12. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  13. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  14. In0.15Ga0.85N visible-light metal-semiconductor-metal photodetector with GaN interlayers deposited by pulsed NH3

    NASA Astrophysics Data System (ADS)

    Wang, Hongxia; Zhang, Xiaohan; Wang, Hailong; Lv, Zesheng; Li, Yongxian; Li, Bin; Yan, Huan; Qiu, Xinjia; Jiang, Hao

    2018-05-01

    InGaN visible-light metal-semiconductor-metal photodetectors with GaN interlayers deposited by pulsed NH3 were fabricated and characterized. By periodically inserting the GaN thin interlayers, the surface morphology of InGaN active layer is improved and the phase separation is suppressed. At 5 V bias, the dark current reduced from 7.0 × 10-11 A to 7.0 × 10-13 A by inserting the interlayers. A peak responsivity of 85.0 mA/W was measured at 420 nm and 5 V bias, corresponding to an external quantum efficiency of 25.1%. The insertion of GaN interlayers also lead to a sharper spectral response cutoff.

  15. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Investigation of the microstructure of metallic droplets on Ga(AsBi)/GaAs

    NASA Astrophysics Data System (ADS)

    Sterzer, E.; Knaub, N.; Ludewig, P.; Straubinger, R.; Beyer, A.; Volz, K.

    2014-12-01

    Low Bi content GaAs is a promising material for new optical devices with less heat production. The growth of such devices by metal organic vapor phase epitaxy faces several challenges. This paper summarizes results of the formation of metallic droplets during the epitaxial growth of Ga(AsBi) using all-liquid group III and V precursors. The samples that are grown, investigated by atomic force microscopy and scanning electron microscopy, show a different metal droplet distribution over the surface depending on the growth temperature and the V/III ratio of the precursors. Investigations with energy dispersive X-ray analysis and selective etching prove the appearance of phase separated Ga-Bi and pure Bi droplets at growth temperatures between 375 °C and 425 °C, which is explainable by the phase diagram of Ga-Bi. Since the pure Bi droplets show a preferred orientation on the surface after cool-down, transmission electron microscopy measurements were done by using the dark field imaging mode in addition to electron diffraction and high resolution imaging. These experiments show the single crystalline structure of the Bi droplets. The comparison of experimental diffraction patterns with image simulation shows a preferred alignment of Bi {10-1} lattice planes parallel to GaAs {202} lattice planes with the formation of a coincidence lattice. Thus it is possible to derive a model of how the Bi droplets evolve on the GaAs surface.

  17. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  18. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  19. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  20. Effects of grazing management and buffer strips on metal runoff from pastures fertilized with poultry litter

    USDA-ARS?s Scientific Manuscript database

    Metal runoff from fields fertilized with poultry litter may pose a threat to aquatic systems. Buffer strips have been added to fields to reduce nutrients and solids runoff. However, scant information exists on the effects of buffer strips combined with grazing management strategies on metal runoff f...

  1. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  2. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  3. Direct charge carrier injection into Ga2O3 thin films using an In2O3 cathode buffer layer: their optical, electrical and surface state properties

    NASA Astrophysics Data System (ADS)

    Cui, W.; Zhao, X. L.; An, Y. H.; Guo, D. Y.; Qing, X. Y.; Wu, Z. P.; Li, P. G.; Li, L. H.; Cui, C.; Tang, W. H.

    2017-04-01

    Conductive Ga2O3 thin films with an In2O3 buffer layer have been prepared on c-plane sapphire substrates using a laser molecular beam epitaxy technique. The effects of the In2O3 buffer layer on the structure and optical, electrical and surface state properties of the Ga2O3 films have been studied. The change in conductivity of the thin films is attributed to different thicknesses of the In2O3 buffer layer, which determine the concentration of charge carriers injected into the upper Ga2O3 layer from the interface of the bilayer thin films. In addition, the increase in flat band voltage shift and capacitance values as the In2O3 buffer layer thickens are attributed to the increase in surface state density, which also contributes to the rapid shrinkage of the optical band gap of the Ga2O3. With transparency to visible light, high n-type conduction and the ability to tune the optical band gap and surface state density, we propose that Ga2O3/In2O3 bilayer thin film is an ideal n-type semiconductor for fabrication of transparent power devices, solar cell electrodes and gas sensors.

  4. Magnetometory Measurement of AlGaN/GaN 2DEG

    NASA Astrophysics Data System (ADS)

    Tsubaki, K.; Maeda, N.; Saitoh, T.; Kobayashi, N.

    2004-03-01

    AlGaN/GaN heterostructure devices have been attracting much attention because of their potential for high-performance microwave applications. Therefore, the electronic properties of a 2DEG in AlGaN/GaN heterostructures have recently been discussed. In this paper, we performed the magnetometory measurement of AlGaN/GaN 2DEG at low temperature. The AlGaN/GaN heterostructures were grown by low-pressure metal-organic chemical vapour phase epitaxy on (0001) SiC substrate using AlN buffers. The electron mobility and electron concentration at 4.2 K are 9,540 cm^2/Vs and 6.6 × 10^12 cm-2, respectively. When the temperature is lower than 4.5 K the hysteresis of magnetometric data is observed near zero magnetic field. At the temperature larger than 4.5 K, the hysteresis of magnetometric data disappears and the slope of magnetometric data with respect to magnetic field becomes lower as obeying Currie-Weiss law. In general the hysteresis and Currie-Weiss law behavior in magnetometric data imply the possibility of the ferromagnetism, but the conformation of the ferromagnetism of AlGaN/GaN heterostructure is still difficult and the detailed physical mechanism is still unclear.

  5. Ligand-enhanced electrokinetic remediation of metal-contaminated marine sediments with high acid buffering capacity.

    PubMed

    Masi, Matteo; Iannelli, Renato; Losito, Gabriella

    2016-06-01

    The suitability of electrokinetic remediation for removing heavy metals from dredged marine sediments with high acid buffering capacity was investigated. Laboratory-scale electrokinetic remediation experiments were carried out by applying two different voltage gradients to the sediment (0.5 and 0.8 V/cm) while circulating water or two different chelating agents at the electrode compartments. Tap water, 0.1 M citric acid and 0.1 M ethylenediaminetetraacetic acid (EDTA) solutions were used respectively. The investigated metals were Zn, Pb, V, Ni and Cu. In the unenhanced experiment, the acid front could not propagate due to the high acid buffering capacity of the sediments; the production of OH(-) ions at the cathode resulted in a high-pH environment causing the precipitation of CaCO3 and metal hydroxides. The use of citric acid prevented the formation of precipitates, but solubilisation and mobilisation of metal species were not sufficiently achieved. Metal removal was relevant when EDTA was used as the conditioning agent, and the electric potential was raised up to 0.8 V/cm. EDTA led to the formation of negatively charged complexes with metals which migrated towards the anode compartment by electromigration. This result shows that metal removal from sediments with high acid buffering capacity may be achieved by enhancing the electrokinetic process by EDTA addition when the acidification of the medium is not economically and/or environmentally sustainable.

  6. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  7. Charge movement in a GaN-based hetero-structure field effect transistor structure with carbon doped buffer under applied substrate bias

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pooth, Alexander, E-mail: a.pooth@bristol.ac.uk; IQE; Uren, Michael J.

    2015-12-07

    Charge trapping and transport in the carbon doped GaN buffer of a GaN-based hetero-structure field effect transistor (HFET) has been investigated under both positive and negative substrate bias. Clear evidence of redistribution of charges in the carbon doped region by thermally generated holes is seen, with electron injection and capture observed during positive bias. Excellent agreement is found with simulations. It is shown that these effects are intrinsic to the carbon doped GaN and need to be controlled to provide reliable and efficient GaN-based power HFETs.

  8. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  9. Impurity distribution and microstructure of Ga-doped ZnO films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kvit, A. V.; Yankovich, A. B.; Avrutin, V.; Liu, H.; Izyumskaya, N.; Özgür, Ü.; Morkoç, H.; Voyles, P. M.

    2012-12-01

    We report microstructural characterization of heavily Ga-doped ZnO (GZO) thin films on GaN and sapphire by aberration-corrected scanning transmission electron microscopy. Growth under oxygen-rich and metal-rich growth conditions leads to changes in the GZO polarity and different extended defects. For GZO layers on sapphire, the primary extended defects are voids, inversion domain boundaries, and low-angle grain boundaries. Ga doping of ZnO grown under metal-rich conditions causes a switch from pure oxygen polarity to mixed oxygen and zinc polarity in small domains. Electron energy loss spectroscopy and energy dispersive spectroscopy spectrum imaging show that Ga is homogeneous, but other residual impurities tend to accumulate at the GZO surface and at extended defects. GZO grown on GaN on c-plane sapphire has Zn polarity and no voids. There are misfit dislocations at the interfaces between GZO and an undoped ZnO buffer layer and at the buffer/GaN interface. Low-angle grain boundaries are the only threading microstructural defects. The potential effects of different extended defects and impurity distributions on free carrier scattering are discussed.

  10. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  11. Effects of Grazing Management and Buffer Strips on Metal Runoff from Pastures Fertilized with Poultry Litter.

    PubMed

    Pilon, C; Moore, P A; Pote, D H; Martin, J W; DeLaune, P B

    2017-03-01

    Metal runoff from fields fertilized with poultry litter may pose a threat to aquatic systems. Buffer strips located adjacent to fields may reduce nutrients and solids in runoff. However, scant information exists on the long-term effects of buffer strips combined with grazing management on metal runoff from pastures. The objective of this study was to assess the 12-yr impact of grazing management and buffer strips on metal runoff from pastures receiving poultry litter. The research was conducted using 15 watersheds (25 m wide and 57 m long) with five treatments: hayed (H), continuously grazed (CG), rotationally grazed (R), rotationally grazed with a buffer strip (RB), and rotationally grazed with a fenced riparian buffer strip (RBR). Poultry litter was applied annually in spring at 5.6 Mg ha. Runoff samples were collected after every rainfall event. Aluminum (Al) and iron (Fe) concentrations were strongly and positively correlated with total suspended solids, indicating soil erosion was the primary source. Soluble Al and Fe were not related to total Al and Fe. However, there was a strong positive correlation between soluble and total copper (Cu) concentrations. The majority of total Cu and zinc was in water-soluble form. The CG treatment had the highest metal concentrations and loads of all treatments. The RBR and H treatments resulted in lower concentrations of total Al, Cu, Fe, potassium, manganese, and total organic carbon in the runoff. Rotational grazing with a fenced riparian buffer and converting pastures to hayfields appear to be effective management systems for decreasing concentrations and loads of metals in surface runoff from pastures fertilized with poultry litter. Copyright © by the American Society of Agronomy, Crop Science Society of America, and Soil Science Society of America, Inc.

  12. Manipulating Conduction in Metal Oxide Semiconductors: Mechanism Investigation and Conductance Tuning in Doped Fe2O3 Hematite and Metal/Ga2O3/Metal Heterostructure

    NASA Astrophysics Data System (ADS)

    Zhao, Bo

    This study aims at understanding the fundamental mechanisms of conduction in several metal oxide semiconductors, namely alpha-Fe2O 3 and beta-Ga2O3, and how it could be tuned to desired values/states to enable a wide range of application. In the first effort, by adding Ti dopant, we successfully turned Fe2O3 from insulating to conductive by fabricated compositionally and structurally well-defined epitaxial alpha-(TixFe1-x)2 O3(0001) films for x ≤ 0.09. All films were grown by oxygen plasma assisted molecular beam epitaxy on Al2O3(0001) sapphire substrate with a buffer layer of Cr2O3 to relax the strain from lattice mismatch. Van der Pauw resistivity and Hall effect measurements reveal carrier concentrations between 1019 and 1020 cm-3 at room temperature and mobilities in the range of 0.1 to 0.6 cm2/V˙s. Such low mobility, unlike conventional band-conduction semiconductor, was attributed to hopping mechanism due to strong electron-phonon interaction in the lattice. More interestingly, conduction mechanism transitions from small-polaron hopping at higher temperatures to variable range hopping at lower temperatures with a transition temperature between 180 to 140 K. Consequently, by adding Ti dopant, conductive Fe 2O3 hematite thin films were achieved with a well-understood conducting mechanism that could guide further device application such as spin transistor and water splitting. In the case of Ga2O3, while having a band gap as high as 5 eV, they are usually conductive for commercially available samples due to unintentional Si doping. However, we discovered the conductance could be repeatedly switched between high resistance state and low resistance state when made into metal/Ga2O3 /metal heterostructure. However, to obtain well controlled switching process with consistent switching voltages and resistances, understanding switching mechanism is the key. In this study, we fabricated resistive switching devices utilizing a Ni/Ga2O3/Ir heterostructure. Bipolar

  13. Leaching with Penicillium simplicissimum: Influence of metals and buffers on proton extrusion and citric acid production

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Franz, A.; Burgstaller, W.; Schinner, F.

    1991-03-01

    In the presence of insoluble metal oxides (industrial filter dust, zinc oxide, synthetic mixture of metal oxides), Penicillium simplicissimum developed the ability to excrete considerable amounts of citric acid (>100 mM). Parallel with the increase of citric acid concentration in the culture broth, zinc was solubilized from zinc oxide. The adsorption of filter dust onto the mycelium (the pellets formed were less than 1 mm in diameter) was required for not only the citric acid excretion but also the leaching of zinc. When the filter dust was replaced with a synthetic mixture of metal oxides or with zinc oxide inmore » combination with trace elements, levels of adsorption and citric acid production were observed to be similar to those in experiments where industrial filter dust was used. The two most important properties of the filter dust were its heavy-metal content and its buffering capacity. These properties were simulated by adding heavy metals in soluble form (as chlorides, sulfates, or nitrates) or soluble buffers to the medium. Both heavy metals and buffers were not able to induce a citric acid efflux. As with citric acid production by Aspergillus niger, the addition of manganese lowered citric acid excretion (by 40% with metal oxide-induced citric acid efflux and by 100% with urea-induced citric acid efflux). Copper antagonized the effect of manganese. The mechanism for the bulk of citric acid excretion by P. simplicissimum, however, seemed to be different from that described for citric acid accumulation by A. niger. Because of the inefficiency of metals in solubilized form and of soluble buffers to induce a strong citric acid efflux, adsorption of an insoluble metal compound (zinc oxide) turned out to be essential.« less

  14. Comparison of electrical properties and deep traps in p-AlxGa1-xN grown by molecular beam epitaxy and metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.

    2009-10-01

    The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.

  15. Heterogeneous Integration of Epitaxial Ge on Si using AlAs/GaAs Buffer Architecture: Suitability for Low-power Fin Field-Effect Transistors

    PubMed Central

    Hudait, Mantu K.; Clavel, Michael; Goley, Patrick; Jain, Nikhil; Zhu, Yan

    2014-01-01

    Germanium-based materials and device architectures have recently appeared as exciting material systems for future low-power nanoscale transistors and photonic devices. Heterogeneous integration of germanium (Ge)-based materials on silicon (Si) using large bandgap buffer architectures could enable the monolithic integration of electronics and photonics. In this paper, we report on the heterogeneous integration of device-quality epitaxial Ge on Si using composite AlAs/GaAs large bandgap buffer, grown by molecular beam epitaxy that is suitable for fabricating low-power fin field-effect transistors required for continuing transistor miniaturization. The superior structural quality of the integrated Ge on Si using AlAs/GaAs was demonstrated using high-resolution x-ray diffraction analysis. High-resolution transmission electron microscopy confirmed relaxed Ge with high crystalline quality and a sharp Ge/AlAs heterointerface. X-ray photoelectron spectroscopy demonstrated a large valence band offset at the Ge/AlAs interface, as compared to Ge/GaAs heterostructure, which is a prerequisite for superior carrier confinement. The temperature-dependent electrical transport properties of the n-type Ge layer demonstrated a Hall mobility of 370 cm2/Vs at 290 K and 457 cm2/Vs at 90 K, which suggests epitaxial Ge grown on Si using an AlAs/GaAs buffer architecture would be a promising candidate for next-generation high-performance and energy-efficient fin field-effect transistor applications. PMID:25376723

  16. Sol-gel deposition of buffer layers on biaxially textured metal substances

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  17. Structural and optical properties of semi-polar (11-22) InGaN/GaN green light-emitting diode structure

    NASA Astrophysics Data System (ADS)

    Zhao, Guijuan; Wang, Lianshan; Li, Huijie; Meng, Yulin; Li, Fangzheng; Yang, Shaoyan; Wang, Zhanguo

    2018-01-01

    Semi-polar (11-22) InGaN multiple quantum well (MQW) green light-emitting diode (LED) structures have been realized by metal-organic chemical vapor deposition on an m-plane sapphire substrate. By introducing double GaN buffer layers, we improve the crystal quality of semi-polar (11-22) GaN significantly. The vertical alignment of the diffraction peaks in the (11-22) X-ray reciprocal space mapping indicates the fully strained MQW on the GaN layer. The photoluminescence spectra of the LED structure show stronger emission intensity along the [1-100] InGaN/GaN direction. The electroluminescence emission of the LED structure is very broad with peaks around 550 nm and 510 nm at the 100 mA current injection for samples A and B, respectively, and exhibits a significant blue-shift with increasing drive current.

  18. Cu(In,Ga)Se2 solar cells with In2S3 buffer layer deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Kim, SeongYeon; Rana, Tanka R.; Kim, JunHo; Yun, JaeHo

    2017-12-01

    We report on physical vapor deposition of indium sulfide (In2S3) buffer layers and its application to Cu(In,Ga)Se2 (CIGSe) thin film solar cell. The Indium sulfide buffer layers were evaporated onto CIGSe at various substrate temperatures from room temperature (RT) to 350 °C. The effect of deposition temperature of buffer layers on the solar cell device performance were investigated by analyzing temperature dependent current-voltage ( J- V- T), external quantum efficiency (EQE) and Raman spectroscopy. The fabricated device showed the highest power conversion efficiency of 6.56% at substrate temperature of 250 °C, which is due to the decreased interface recombination. However, the roll-over in J- V curves was observed for solar cell device having buffer deposited at substrate temperature larger than 250 °C. From the measurement results, the interface defect and roll-over related degradation were found to have limitation on the performance of solar cell device.

  19. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  20. Modified secondary lithium metal batteries with the polyaniline-carbon nanotube composite buffer layer.

    PubMed

    Zhang, Ding; Yin, Yanli; Liu, Changhong; Fan, Shoushan

    2015-01-07

    A modified secondary lithium metal battery inserted with a polyaniline-carbon nanotube nanoporous composite buffer layer was fabricated. This unique and simple design of battery has the great potential to decrease the safety risk of the secondary Li metal battery in cycles of recharging processes and improve its cycle life in the future.

  1. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  2. Theoretical and experimental studies of electric field distribution in N-polar GaN/AlGaN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gladysiewicz, M., E-mail: marta.gladysiewicz@pwr.edu.pl; Janicki, L.; Kudrawiec, R.

    2015-12-28

    Electric field distribution in N-polar GaN(channel)/AlGaN/GaN(buffer) heterostructures was studied theoretically by solving Schrodinger and Poisson equations in a self-consistent manner for various boundary conditions and comparing results of these calculations with experimental data, i.e., measurements of electric field in GaN(channel) and AlGaN layers by electromodulation spectroscopy. A very good agreement between theoretical calculations and experimental data has been found for the Fermi-level located at ∼0.3 eV below the conduction band at N-polar GaN surface. With this surface boundary condition, the electric field distribution and two dimensional electron gas concentration are determined for GaN(channel)/AlGaN/GaN(buffer) heterostructures of various thicknesses of GaN(channel) and AlGaNmore » layers.« less

  3. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  4. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    NASA Astrophysics Data System (ADS)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  5. ZnO/Cu(InGa)Se.sub.2 solar cells prepared by vapor phase Zn doping

    DOEpatents

    Ramanathan, Kannan; Hasoon, Falah S.; Asher, Sarah E.; Dolan, James; Keane, James C.

    2007-02-20

    A process for making a thin film ZnO/Cu(InGa)Se.sub.2 solar cell without depositing a buffer layer and by Zn doping from a vapor phase, comprising: depositing Cu(InGa)Se.sub.2 layer on a metal back contact deposited on a glass substrate; heating the Cu(InGa)Se.sub.2 layer on the metal back contact on the glass substrate to a temperature range between about 100.degree. C. to about 250.degree. C.; subjecting the heated layer of Cu(InGa)Se.sub.2 to an evaporant species from a Zn compound; and sputter depositing ZnO on the Zn compound evaporant species treated layer of Cu(InGa)Se.sub.2.

  6. Ohmic contacts to p-GaN Using Au/Ni-Mg-O Metallization

    NASA Astrophysics Data System (ADS)

    Liday, Jozef; Vogrinčič, Peter; Hotový, Ivan; Bonanni, Alberta; Sitter, Helmut; Lalinský, Tibor; Vanko, Gabriel; Řeháček, Vlastimil; Breza, Juraj; Ecke, Gernot

    2010-11-01

    Electrical characteristics and elemental depth profiles of ohmic contacts to p-GaN using Au/Ni-Mg-Ox metallization have been investigated. The objective was to examine the possibilities of increasing the charge carrier concentration in the surface region of GaN by adding Mg, thus of a p-type dopant into the Au/NiOx metallization structure. For this purpose, a Ni-Mg-Ox layer with a low concentration of Mg was deposited on p-GaN by dc reactive magnetron sputtering. The top Au layer was deposited in a similar way. The fabricated contact structures were annealed in N2. When the Ni-Mg layer in the Au/Ni-Mg-Ox/p-GaN structure was deposited in an atmosphere with a low concentration of oxygen (0.2 at%), the structure exhibited a low resistance ohmic nature. The contact resistance was lower than in the case of a Au/Ni-Ox/p-GaN structure without the Mg dopant in the metallic layer. An increase in the concentration of oxygen in the working atmosphere resulted in higher values of the contact resistance of the Au/Ni-Mg-Ox/p-GaN structure. In our opinion the ohmic nature of the contact structure is related to the existence of a metal/p-NiO/p-GaN scheme. The measured values of the contact resistance in the Au/Ni-Mg-Ox/p-GaN structure in comparison with the Au/Ni-Ox/p-GaN structure are caused by an increased charge carrier concentration in the surface region of p-GaN, which is a consequence of Mg diffusion from the Ni-Mg-Ox layer.

  7. Magnetometory of AlGaN/GaN heterostructure wafers

    NASA Astrophysics Data System (ADS)

    Tsubaki, K.; Maeda, N.; Saitoh, T.; Kobayashi, N.

    2005-06-01

    AlGaN/GaN heterostructure wafers are becoming a key technology for next generation cellar-phone telecommunication system because of their potential for high-performance microwave applications. Therefore, the electronic properties of a 2DEG in AlGaN/GaN heterostructures have recently been discussed. In this paper, we performed the extraordinary Hall effect measurement and the SQUID magnetometory of AlGaN/GaN heterostructure wafer at low temperature. The AlGaN/GaN heterostructures were grown by low-pressure metal-organic chemical vapour phase epitaxy on (0001) SiC substrate using AlN buffers. The electron mobility and electron concentration at 4.2 K are 9,540cm2/V s and 6.6 × 1012cm-2, respectively. In the extraordinary Hall effect measurement of AlGaN/GaN heterostructures, the hysteresis of Hall resistance appeared below 4.5 K and disappeared above 4.5 K. On the other hand, the hysteresis of magnetometric data obtained by SQUID magnetometory appears near zero magnetic field when the temperature is lower than 4.5 K. At the temperature larger than 4.5 K, the hysteresis of magnetometric data disappears. And the slopes of magnetometric data with respect to magnetic field become lower as obeying Currie-Weiss law and the Curie temperature TC is 4.5 K. Agreement of TC measured by the extraordinary Hall effect and the SQUID magnetometory implies the ferromagnetism at the AlGaN/GaN heterojunction. However, the conformation of the ferromagnetism of AlGaN/GaN heterostructure is still difficult and the detailed physical mechanism is still unclear.

  8. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  9. Development of Ultra-Low Noise, High Sensitivity Planar Metal Grating Coupled AlGaAs/GaAs Multiquantum Well IR Detectors for Focal Plane Array Staring IR Sensor Systems

    DTIC Science & Technology

    1992-05-01

    Development of Ultra-Low Noise , High Sensitivity Planar Metal Grating Coupled AlGaAs/GaAs Multiquantum Well IR Detectors for Focal Plane Array...February 1 - April 30, 1992 Project Title: Development of low- noise high-detectivity planar metal grating coupled III-V multiquantum-well/superlattice...low- noise and high-detectivity planar metal grating coupled bound-to- miniband (BTM) GaAs/AlGaAs and step-bound-to-miniband (SBTM) InGaAs/AlGaAs /GaAs

  10. Electronic and magnetic properties of transition metal decorated monolayer GaS

    NASA Astrophysics Data System (ADS)

    Lin, Heng-Fu; Liu, Li-Min; Zhao, Jijun

    2018-07-01

    Inducing controllable magnetism in two dimensional non-magnetic materials is very important for realizing dilute magnetic semiconductor. Using density functional theory, we have systematically investigated the effect of surface adsorption of various 3d transition metal (TM) atoms (Sc-Cu) on the electronic and magnetic properties of the monolayer GaS as representative of group-IIIA metal-monochalcogenide. We find that all adatoms favor the top site on the Ga atom. All the TM atoms, except for the Cr and Mn, can bond strongly to the GaS monolayer with sizable binding energies. Moreover, the TM decorated GaS monolayers exhibit interesting magnetic properties, which arise from the strong spin-dependent hybridization of the TM 3d orbitals with S 3p and Ga 4s orbitals. After examining the magnetic interaction between two same types of TM atoms, we find that most of them exhibit antiferromagnetic coupling, while Fe and Co atoms can form long-range ferromagnetism. Furthermore, we find that the electronic properties of metal decorated systems strongly rely on the type of TM adatom and the adsorption concentration. In particular, the spin-polarized semiconducting state can be realized in Fe doped system for a large range of doping concentrations. These findings indicate that the TM decorated GaS monolayers have potential device applications in next-generation electronics and spintronics.

  11. Ab initio study of Ga-GaN system: Transition from adsorbed metal atoms to a metal–semiconductor junction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Witczak, Przemysław; Kempisty, Pawel; Strak, Pawel

    2015-11-15

    Ab initio studies of a GaN(0001)-Ga system with various thicknesses of a metallic Ga layer were undertaken. The studied systems extend from a GaN(0001) surface with a fractional coverage of gallium atoms to a Ga-GaN metal–semiconductor (m–s) contact. Electronic properties of the system are simulated using density functional theory calculations for different doping of the bulk semiconductor. It is shown that during transition from a bare GaN(0001) surface to a m–s heterostructure, the Fermi level stays pinned at a Ga-broken bond highly dispersive surface state to Ga–Ga states at the m–s interface. Adsorption of gallium leads to an energy gainmore » of about 4 eV for a clean GaN(0001) surface and the energy decreases to 3.2 eV for a thickly Ga-covered surface. The transition to the m–s interface is observed. For a thick Ga overlayer such interface corresponds to a Schottky contact with a barrier equal to 0.9 and 0.6 eV for n- and p-type, respectively. Bond polarization-related dipole layer occurring due to an electron transfer to the metal leads to a potential energy jump of 1.5 eV, independent on the semiconductor doping. Additionally high electron density in the Ga–Ga bond region leads to an energy barrier about 1.2 eV high and 4 Å wide. This feature may adversely affect the conductivity of the n-type m–s system.« less

  12. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  13. Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen W.; Mazumder, Baishakhi; Fireman, Micha N.; Kyle, Erin C. H.; Mishra, Umesh K.; Speck, James S.

    2015-05-01

    When grown at a high temperature (820 °C) by ammonia-based molecular beam epitaxy (NH3-MBE), the AlN layers of metal-polar AlGaN/AlN/GaN heterostructures had a high GaN mole fraction (∼0.15), as identified by atom probe tomography in a previous study (Mazumder et al 2013 Appl. Phys. Lett. 102 111603). In the study presented here, growth at low temperature (<740 °C) by NH3-MBE yielded metal-polar AlN layers that were essentially pure at the alloy level. The improved purity of the AlN layers grown at low temperature was correlated to a dramatic increase in the sheet density of the two-dimensional electron gas (2DEG) at the AlN/GaN heterointerface. Through application of an In surfactant, metal-polar AlN(3.5 nm)/GaN and AlGaN/AlN(2.5 nm)/GaN heterostructures grown at low temperature yielded low 2DEG sheet resistances of 177 and 285 Ω/□, respectively.

  14. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    NASA Astrophysics Data System (ADS)

    Geng, Xuewen; Duan, Barrett K.; Grismer, Dane A.; Zhao, Liancheng; Bohn, Paul W.

    2013-06-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal-semiconductor interface.

  15. InGaN laser diode with metal-free laser ridge using n+-GaN contact layers

    NASA Astrophysics Data System (ADS)

    Malinverni, Marco; Tardy, Camille; Rossetti, Marco; Castiglia, Antonino; Duelk, Marcus; Vélez, Christian; Martin, Denis; Grandjean, Nicolas

    2016-06-01

    We report on InGaN edge emitting laser diodes with a top metal electrode located beside the laser ridge. Current spreading over the ridge is achieved via a highly doped n+-type GaN layer deposited on top of the structure. The low sheet resistance of the n+-GaN layer ensures excellent lateral current spreading, while carrier injection is confined all along the ridge thanks to current tunneling at the interface between the n+-GaN top layer and the p++-GaN layer. Continuous-wave lasing at 400 nm with an output power of 100 mW is demonstrated on uncoated facet devices with a threshold current density of 2.4 kA·cm-2.

  16. Ohmic contacts to n-GaN formed by ion-implanted Si into p-GaN

    NASA Astrophysics Data System (ADS)

    Bao, Xichang; Xu, Jintong; Zhang, Wenjing; Wang, Ling; Chu, Kaihui; Li, Chao; Li, Xiangyang

    2009-07-01

    In this paper, we report the ohmic contact to n-GaN fabricated by implanting silicon into Mg-doped GaN using an alloy of Ti/Al/Ti/Au metallization. The used materials were grown on (001) sapphire substrates by metal-organic chemical-vapor deposition (MOCVD). The layer structure was comprised of a GaN buffer layer and followed by a 2 μm thickness Mg-doped GaN (Na=5×1017cm-3) and then double silicon implantation was performed in order to convert p-type GaN into n-type GaN films. The as-implanted samples were then thermal annealed at 1150 °C for 5 min in N2 ambient. The carrier concentration and Hall mobility were 3.13×1018 cm3 and 112 cm2/ (VÂ.s) measured by Hall method. Multilayer electrode of Ti (50 nm)/Al (50 nm)/Ti (30 nm)/Au (30 nm) was deposited on n-GaN using an electron-beam evaporation and contacts were formed by a N2 annealing technique ranging from 600 to 900 °C. After annealing lower than 700 °C, the contacts exhibited a rectifying behavior and became ohmic contact only after high temperature processes (>=700 °C). Specific contact resistance was as low as 9.58×10-4 ΩÂ.cm2 after annealing at 800 °C for 60 seconds. While annealing temperature is higher than 800 °C, the specific contact resistance becomes worse. This phenomenon is caused by the surface morphology degradation.

  17. Limiting scattering processes in high-mobility InSb quantum wells grown on GaSb buffer systems

    NASA Astrophysics Data System (ADS)

    Lehner, Ch. A.; Tschirky, T.; Ihn, T.; Dietsche, W.; Keller, J.; Fält, S.; Wegscheider, W.

    2018-05-01

    We present molecular beam epitaxial grown single- and double-side δ -doped InAlSb/InSb quantum wells with varying distances down to 50 nm to the surface on GaSb metamorphic buffers. We analyze the surface morphology as well as the impact of the crystalline quality on the electron transport. Comparing growth on GaSb and GaAs substrates indicates that the structural integrity of our InSb quantum wells is solely determined by the growth conditions at the GaSb/InAlSb transition and the InAlSb barrier growth. The two-dimensional electron gas samples show high mobilities of up to 349 000 cm2/Vs at cryogenic temperatures and 58 000 cm2/Vs at room temperature. With the calculated Dingle ratio and a transport lifetime model, ionized impurities predominantly remote from the quantum well are identified as the dominant source of scattering events. The analysis of the well-pronounced Shubnikov-de Haas oscillations reveals a high spin-orbit coupling with an effective g -factor of -38.4 in our samples. Along with the smooth surfaces and long mean free paths demonstrated, our InSb quantum wells are increasingly competitive for nanoscale implementations of Majorana mode devices.

  18. Improvement of Surge Protection by Using an AlGaN/GaN-Based Metal-Semiconductor-Metal Two-Dimensional Electron Gas Varactor

    NASA Astrophysics Data System (ADS)

    Ferng, Yi-Cherng; Chang, Liann-Be; Das, Atanu; Lin, Ching-Chi; Cheng, Chun-Yu; Kuei, Ping-Yu; Chow, Lee

    2012-12-01

    In this paper, a varactor with metal-semiconductor-metal diodes on top of the (NH4)2S/P2S5-treated AlGaN/GaN two-dimensional electron gas epitaxial structure (MSM-2DEG) is proposed to the surge protection for the first time. The sulfur-treated MSM-2DEG varactor properties, including current-voltage (I-V), capacitance-voltage (C-V), and frequency response of the proposed surge protection circuit, are presented. To verify its capability of surge protection, we replace the metal oxide varistor (MOV) and resistor (R) in a state-of-the-art surge protection circuit with the sulfur-treated MSM-2DEG varactor under the application conditions of system-level surge tests. The measured results show that the proposed surge protection circuit, consisted of a gas discharge arrester (GDA) and a sulfur-treated MSM-2DEG varactor, can suppress an electromagnetic pulse (EMP) voltage of 4000 to 360 V, a reduction of 91%, whereas suppression is to 1780 V, a reduction of 55%, when using only a GDA.

  19. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    NASA Astrophysics Data System (ADS)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  20. Impact of open-core threading dislocations on the performance of AlGaN metal-semiconductor-metal photodetectors

    NASA Astrophysics Data System (ADS)

    Walde, S.; Brendel, M.; Zeimer, U.; Brunner, F.; Hagedorn, S.; Weyers, M.

    2018-04-01

    The influence of open-core threading dislocations on the bias-dependent external quantum efficiency (EQE) of bottom-illuminated Al0.5Ga0.5N/AlN metal-semiconductor-metal (MSM) photodetectors (PDs) is presented. These defects originate at the Al0.5Ga0.5N/AlN interface and terminate on the Al0.5Ga0.5N surface as hexagonal prisms. They work as electrically active paths bypassing the Al0.5Ga0.5N absorber layer and therefore alter the behavior of the MSM PDs under bias voltage. This effect is included in the model of carrier collection in the MSM PDs showing a good agreement with the experimental data. While such dislocations usually limit the device performance, the MSM PDs benefit by high EQE at a reduced bias voltage while maintaining a low dark current.

  1. GaN metal-oxide-semiconductor field-effect transistors on AlGaN/GaN heterostructure with recessed gate

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Ao, Jin-Ping; Wang, Pangpang; Jiang, Ying; Li, Liuan; Kawaharada, Kazuya; Liu, Yang

    2015-04-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure with a recess gate were fabricated and characterized. The device showed good pinch-off characteristics and a maximum field-effect mobility of 145.2 cm2·V-1·s-1. The effects of etching gas of Cl2 and SiCl4 were investigated in the gate recess process. SiCl4-etched devices showed higher channel mobility and lower threshold voltage. Atomic force microscope measurement was done to investigate the etching profile with different etching protection mask. Compared with photoresist, SiO2-masked sample showed lower surface roughness and better profile with stepper sidewall and weaker trenching effect resulting in higher channel mobility in the MOSFET.

  2. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  3. Polarization-Engineered Ga-Face GaN-Based Heterostructures for Normally-Off Heterostructure Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Kim, Hyeongnam; Nath, Digbijoy; Rajan, Siddharth; Lu, Wu

    2013-01-01

    Polarization-engineered Ga-face GaN-based heterostructures with a GaN cap layer and an AlGaN/ p-GaN back barrier have been designed for normally-off field-effect transistors (FETs). The simulation results show that an unintentionally doped GaN cap and p-GaN layer in the buffer primarily deplete electrons in the channel and the Al0.2Ga0.8N back barrier helps to pinch off the channel. Experimentally, we have demonstrated a normally-off GaN-based field-effect transistor on the designed GaN cap/Al0.3Ga0.7N/GaN channel/Al0.2Ga0.8N/ p-GaN/GaN heterostructure. A positive threshold voltage of 0.2 V and maximum transconductance of 2.6 mS/mm were achieved for 80- μm-long gate devices. The device fabrication process does not require a dry etching process for gate recessing, while highly selective etching of the GaN cap against a very thin Al0.3GaN0.7N top barrier has to be performed to create a two-dimensional electron gas for both the ohmic and access regions. A self-aligned, selective etch of the GaN cap in the access region is introduced, using the gate metal as an etch mask. The absence of gate recess etching is promising for uniform and repeatable threshold voltage control in normally-off AlGaN/GaN heterostructure FETs for power switching applications.

  4. Transition metal oxide as anode interface buffer for impedance spectroscopy

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Tang, Chao; Wang, Xu-Liang; Zhai, Wen-Juan; Liu, Rui-Lan; Rong, Zhou; Pang, Zong-Qiang; Jiang, Bing; Fan, Qu-Li; Huang, Wei

    2015-12-01

    Impedance spectroscopy is a strong method in electric measurement, which also shows powerful function in research of carrier dynamics in organic semiconductors when suitable mathematical physical models are used. Apart from this, another requirement is that the contact interface between the electrode and materials should at least be quasi-ohmic contact. So in this report, three different transitional metal oxides, V2O5, MoO3 and WO3 were used as hole injection buffer for interface of ITO/NPB. Through the impedance spectroscopy and PSO algorithm, the carrier mobilities and I-V characteristics of the NPB in different devices were measured. Then the data curves were compared with the single layer device without the interface layer in order to investigate the influence of transitional metal oxides on the carrier mobility. The careful research showed that when the work function (WF) of the buffer material was just between the work function of anode and the HOMO of the organic material, such interface material could work as a good bridge for carrier injection. Under such condition, the carrier mobility measured through impedance spectroscopy should be close to the intrinsic value. Considering that the HOMO (or LUMO) of most organic semiconductors did not match with the work function of the electrode, this report also provides a method for wide application of impedance spectroscopy to the research of carrier dynamics.

  5. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  6. Growth of high-quality InGaN/GaN LED structures on (1 1 1) Si substrates with internal quantum efficiency exceeding 50%

    NASA Astrophysics Data System (ADS)

    Lee, JaeWon; Tak, Youngjo; Kim, Jun-Youn; Hong, Hyun-Gi; Chae, Suhee; Min, Bokki; Jeong, Hyungsu; Yoo, Jinwoo; Kim, Jong-Ryeol; Park, Youngsoo

    2011-01-01

    GaN-based light-emitting-diodes (LEDs) on (1 1 1) Si substrates with internal quantum efficiency (IQE) exceeding 50% have been successfully grown by metal organic vapor phase epitaxy (MOVPE). 3.5 μm thick crack-free GaN epitaxial layers were grown on the Si substrates by the re-growth method on patterned templates. Series of step-graded Al xGa 1- xN epitaxial layers were used as the buffer layers to compensate thermal tensile stresses produced during the post-growth cooling process as well as to reduce the density of threading dislocations (TDs) generated due to the lattice mismatches between III-nitride layers and the silicon substrates. The light-emitting region consisted of 1.8 μm thick n-GaN, 3 periods of InGaN/GaN superlattice, InGaN/GaN multiple quantum wells (MQWs) designed for a peak wavelength of about 455 nm, an electron blocking layer (EBL), and p-GaN. The full-widths at half-maximum (FWHM) of (0 0 0 2) and (1 0 -1 2) ω-rocking curves of the GaN epitaxial layers were 410 and 560 arcsec, respectively. Cross-sectional transmission electron microscopy (TEM) investigation revealed that the propagation of the threading dislocations was mostly limited to the interface between the last Al xGa 1- xN buffer and n-GaN layers. The density of the threading dislocations induced pits of n-GaN, as estimated by atomic force microscopy (AFM), was about 5.5×10 8 cm -2. Temperature dependent photoluminescence (PL) measurements with a relative intensity integration method were carried out to estimate the internal quantum efficiency (IQE) of the light-emitting structures grown on Si, which reached up to 55%.

  7. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  8. Doped LZO buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  9. Simultaneous dual-functioning InGaN/GaN multiple-quantum-well diode for transferrable optoelectronics

    NASA Astrophysics Data System (ADS)

    Shi, Zheng; Yuan, Jialei; Zhang, Shuai; Liu, Yuhuai; Wang, Yongjin

    2017-10-01

    We propose a wafer-level procedure for the fabrication of 1.5-mm-diameter dual functioning InGaN/GaN multiple-quantum-well (MQW) diodes on a GaN-on-silicon platform for transferrable optoelectronics. Nitride semiconductor materials are grown on (111) silicon substrates with intermediate Al-composition step-graded buffer layers, and membrane-type MQW-diode architectures are obtained by a combination of silicon removal and III-nitride film backside thinning. Suspended MQW-diodes are directly transferred from silicon to foreign substrates such as metal, glass and polyethylene terephthalate by mechanically breaking the support beams. The transferred MQW-diodes display strong electroluminescence under current injection and photodetection under light irradiation. Interestingly, they demonstrate a simultaneous light-emitting light-detecting function, endowing the 1.5-mm-diameter MQW-diode with the capability of producing transferrable optoelectronics for adjustable displays, wearable optical sensors, multifunctional energy harvesting, flexible light communication and monolithic photonic circuit.

  10. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    PubMed

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Perpendicularly magnetized (001)-textured D0{sub 22} MnGa films grown on an (Mg{sub 0.2}Ti{sub 0.8})O buffer with thermally oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hwachol; Sukegawa, Hiroaki, E-mail: sukegawa.hiroaki@nims.go.jp; Liu, Jun

    2015-10-28

    We report the growth of (001)-textured polycrystalline D0{sub 22} MnGa films with perpendicular magnetic anisotropy (PMA) on thermally oxidized Si substrates using an (Mg{sub 0.2}Ti{sub 0.8})O (MTO) buffer layer. The ordered D0{sub 22} MnGa film grown at the optimum substrate temperature of 530 °C on the MTO buffer layer shows PMA with magnetization of 80 kA/m, PMA energy density of 0.28 MJ/m{sup 3}, and coercivity of 2.3 T. The scanning transmission electron microscope analysis confirms the formation of a highly (001)-textured structure and the elementally sharp interfaces between the MTO layer and the MnGa layer. The achieved D0{sub 22} MnGa PMA films on anmore » amorphous substrate will provide the possible pathway of integration of a Mn-based PMA film into Si-based substrates.« less

  12. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  13. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  14. Strong ferromagnetic proximity polarization in ferromagnetic metal MnGa/n-type GaAs quantum well junction

    NASA Astrophysics Data System (ADS)

    Ji, Xiaochen; Shen, Chao; Wu, Yuanjun; Lu, Jun; Zhao, Jianhua; Zheng, Houzhi

    2017-11-01

    By biasing a ferromagnetic metal MnGa/10 nm-thick, n-type GaAs quantum well (QW) junction from negative to positive, it is found that its spin dynamics at zero magnetic field is composed of two components with opposite signs. One is excited by a circularly polarized pump beam. The other is induced by ferromagnetic proximity polarization (FPP), which is continuously enhanced as the bias increases towards the positive direction. The time-resolved Kerr rotations have also been measured at a magnetic field of 0.9 Tesla. A phase reversion of Larmor precession is observed as the bias passes through  +0.5 V. Following simple quantum mechanics, we become aware of the fact that the transmission and reflection rates of electrons at the interface of MnGa/n-type GaAs QW are enhanced by a factor of ν , which is the attempting frequency of electron onto a ferromagnet/semiconductor interface. That gives a reasonable explanation why the FPP effect in our MnGa/n-type GaAs QW junction is greatly enhanced as biasing it into forward direction.

  15. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    NASA Astrophysics Data System (ADS)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  16. Study of the influence of strained superlattices introduced into a metamorphic buffer on the electrophysical properties and the atomic structure of InAlAs/InGaAs MHEMT heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s_s_e_r_p@mail.ru; Vasil'evskii, I. S.

    The results of studying the influence of strained superlattices introduced into a metamorphic buffer on the electrophysical properties and atomic crystal structure of In{sub 0.70}Al{sub 0.30}As/In{sub 0.76}Ga{sub 0.24}As/In{sub 0.70}Al{sub 0.30}As metamorphic high-electron-mobility transistor (MHEMT) nanoheterostructures on GaAs substrates are presented. Two types of MHEMT structures are grown by molecular beam epitaxy, namely, one with a linear increase in x in the In{sub x}Al{sub 1-x}As metamorphic buffer, and the second with two mismatched superlattices introduced inside the metamorphic buffer. The electrophysical and structural parameters of the grown samples are studied by the van der Pauw method, transmission electron microscopy (including scanningmore » and high-resolution microscopy), atomic-force microscopy, and energy dispersive X-ray analysis. It is revealed that the introduction of superlattices into a metamorphic buffer substantially improves the electrophysical and structural characteristics of MHEMT structures.« less

  17. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  18. Polarized edge emission from GaN-based light-emitting diodes sandwiched by dielectric/metal hybrid reflectors

    NASA Astrophysics Data System (ADS)

    Yan, L. J.; Sheu, J. K.; Huang, F. W.; Lee, M. L.

    2010-12-01

    Edge-emitting c-plane GaN/sapphire-based light-emitting diodes (LEDs) sandwiched by two dielectric/metal hybrid reflectors on both sapphire and GaN surfaces were studied to determine their light emission polarization. The hybrid reflectors comprised dielectric multiple thin films and a metal layer. The metal layers of Au or Ag used in this study were designed to enhance the polarization ratio from S-polarization (transverse electric wave, TE) to P-polarization (transverse magnetic wave, TM). The two sets of optimized dielectric multi thin films served as matching layers for wide-angle incident light on both sapphire and GaN surfaces. To determine which reflector scheme would achieve a higher polarization ratio, simulations of the reflectance at the hybrid reflectors on sapphire (or GaN) interface were performed before the fabrication of experimental LEDs. Compared with conventional c-plane InGaN/GaN/sapphire LEDs without dielectric/metal hybrid reflectors, the experimental LEDs exhibited higher polarization ratio (ITE-max/ITM-max) with r=2.174 (˜3.37 dB) at a wavelength of 460 nm. In contrast, the original polarized light (without dielectric/metal hybrid reflectors) was partially contributed (r=1.398) by C-HH or C-LH (C band to the heavy-hole sub-band or C band to the crystal-field split-off sub-band) transitions along the a-plane or m-plane direction.

  19. Assessment of spatial distribution of soil heavy metals using ANN-GA, MSLR and satellite imagery.

    PubMed

    Naderi, Arman; Delavar, Mohammad Amir; Kaboudin, Babak; Askari, Mohammad Sadegh

    2017-05-01

    This study aims to assess and compare heavy metal distribution models developed using stepwise multiple linear regression (MSLR) and neural network-genetic algorithm model (ANN-GA) based on satellite imagery. The source identification of heavy metals was also explored using local Moran index. Soil samples (n = 300) were collected based on a grid and pH, organic matter, clay, iron oxide contents cadmium (Cd), lead (Pb) and zinc (Zn) concentrations were determined for each sample. Visible/near-infrared reflectance (VNIR) within the electromagnetic ranges of satellite imagery was applied to estimate heavy metal concentrations in the soil using MSLR and ANN-GA models. The models were evaluated and ANN-GA model demonstrated higher accuracy, and the autocorrelation results showed higher significant clusters of heavy metals around the industrial zone. The higher concentration of Cd, Pb and Zn was noted under industrial lands and irrigation farming in comparison to barren and dryland farming. Accumulation of industrial wastes in roads and streams was identified as main sources of pollution, and the concentration of soil heavy metals was reduced by increasing the distance from these sources. In comparison to MLSR, ANN-GA provided a more accurate indirect assessment of heavy metal concentrations in highly polluted soils. The clustering analysis provided reliable information about the spatial distribution of soil heavy metals and their sources.

  20. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  1. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  2. Planar regions of GaAs (001) prepared by Ga droplet motion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Changxi, E-mail: changxi.zheng@monash.edu; Tang, Wen-Xin; Jesson, David E., E-mail: jessonDE@cardiff.ac.uk

    2016-07-15

    The authors describe a simple method for obtaining planar regions of GaAs (001) suitable for surface science studies. The technique, which requires no buffer layer growth, atomic hydrogen source, or the introduction of As flux, employs controllable Ga droplet motion to create planar trail regions during Langmuir evaporation. Low-energy electron microscopy/diffraction techniques are applied to monitor the droplet motion and characterize the morphology and the surface reconstruction. It is found that the planar regions exhibit atomic flatness at the level of a high-quality buffer layer.

  3. Measurement and simulation of top- and bottom-illuminated solar-blind AlGaN metal-semiconductor-metal photodetectors with high external quantum efficiencies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, Moritz, E-mail: moritz.brendel@fbh-berlin.de; Helbling, Markus; Knigge, Andrea

    2015-12-28

    A comprehensive study on top- and bottom-illuminated Al{sub 0.5}Ga{sub 0.5}N/AlN metal-semiconductor-metal (MSM) photodetectors having different AlGaN absorber layer thickness is presented. The measured external quantum efficiency (EQE) shows pronounced threshold and saturation behavior as a function of applied bias voltage up to 50 V reaching about 50% for 0.1 μm and 67% for 0.5 μm thick absorber layers under bottom illumination. All experimental findings are in very good accordance with two-dimensional drift-diffusion modeling results. By taking into account macroscopic polarization effects in the hexagonal metal-polar +c-plane AlGaN/AlN heterostructures, new insights into the general device functionality of AlGaN-based MSM photodetectors are obtained. The observedmore » threshold/saturation behavior is caused by a bias-dependent extraction of photoexcited holes from the Al{sub 0.5}Ga{sub 0.5}N/AlN interface. While present under bottom illumination for any AlGaN layer thickness, under top illumination this mechanism influences the EQE-bias characteristics only for thin layers.« less

  4. AlGaN/GaN HEMTs regrown by MBE on epi-ready semi-insulating GaN-on-sapphire with inhibited interface contamination

    NASA Astrophysics Data System (ADS)

    Cordier, Y.; Azize, M.; Baron, N.; Chenot, S.; Tottereau, O.; Massies, J.

    2007-11-01

    In this work, we show that, by carefully designing the subsurface Fe doping profile in SI-GaN templates grown by MOVPE and by optimizing the MBE regrowth conditions, a highly resistive GaN buffer can be achieved on these epi-ready GaN-on-sapphire templates without any addition of acceptors during the regrowth. As a result, high-quality high electron mobility transistors can be fabricated. Furthermore, we report on the excellent properties of two-dimensional electron gas and device performances with electron mobility greater than 2000 cm 2/V s at room temperature and off-state buffer leakage currents as low as 5 μA/mm at 100 V.

  5. Determination of the nitrogen vacancy as a shallow compensating center in GaN doped with divalent metals.

    PubMed

    Buckeridge, J; Catlow, C R A; Scanlon, D O; Keal, T W; Sherwood, P; Miskufova, M; Walsh, A; Woodley, S M; Sokol, A A

    2015-01-09

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p-type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  6. Determination of the Nitrogen Vacancy as a Shallow Compensating Center in GaN Doped with Divalent Metals

    NASA Astrophysics Data System (ADS)

    Buckeridge, J.; Catlow, C. R. A.; Scanlon, D. O.; Keal, T. W.; Sherwood, P.; Miskufova, M.; Walsh, A.; Woodley, S. M.; Sokol, A. A.

    2015-01-01

    We report accurate energetics of defects introduced in GaN on doping with divalent metals, focusing on the technologically important case of Mg doping, using a model that takes into consideration both the effect of hole localization and dipolar polarization of the host material, and includes a well-defined reference level. Defect formation and ionization energies show that divalent dopants are counterbalanced in GaN by nitrogen vacancies and not by holes, which explains both the difficulty in achieving p -type conductivity in GaN and the associated major spectroscopic features, including the ubiquitous 3.46 eV photoluminescence line, a characteristic of all lightly divalent-metal-doped GaN materials that has also been shown to occur in pure GaN samples. Our results give a comprehensive explanation for the observed behavior of GaN doped with low concentrations of divalent metals in good agreement with relevant experiment.

  7. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  8. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE PAGES

    Varley, J. B.; Lordi, V.

    2014-08-08

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  9. Reflection Properties of Metallic Gratings on ZnO Films over GaAs Substrates

    NASA Technical Reports Server (NTRS)

    Hickernell, Fred S.; Kim, Yoonkee; Hunt, William D.

    1994-01-01

    A potential application for piezoelectric film deposited on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Metallic gratings are basic elements required for the construction of such devices, and analyzing the reflectivity and the velocity change due to metallic gratings is often a critical design parameter. In this article, Datta and Hunsinger technique is extended to the case of a multilayered structure, and the developed technique is applied to analyze shorted and open gratings on ZnO films sputtered over (001)-cut (110)-propagating GaAs substrates. The analysis shows that zero reflectivity of shorted gratings can be obtained by a combination of the ZnO film and the metal thickness and the metalization ratio of the grating. Experiments are performed on shorted and an open gratings (with the center frequency of about 180 MHz) for three different metal thicknesses over ZnO films which are 0.8 and 2.6 micrometers thick. From the experiments, zero reflectivity at the resonant frequency of the grating is observed for a reasonable thickness (h/Alpha = 0.5%) of aluminum metalization. The velocity shift between the shorted and the open grating is also measured to be 0.18 MHz and 0.25 MHz for 0.8 and 1.6 micrometers respectively. The measured data show relatively good agreement with theoretical predictions.

  10. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  11. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  12. Labview virtual instruments for calcium buffer calculations.

    PubMed

    Reitz, Frederick B; Pollack, Gerald H

    2003-01-01

    Labview VIs based upon the calculator programs of Fabiato and Fabiato (J. Physiol. Paris 75 (1979) 463) are presented. The VIs comprise the necessary computations for the accurate preparation of multiple-metal buffers, for the back-calculation of buffer composition given known free metal concentrations and stability constants used, for the determination of free concentrations from a given buffer composition, and for the determination of apparent stability constants from absolute constants. As implemented, the VIs can concurrently account for up to three divalent metals, two monovalent metals and four ligands thereof, and the modular design of the VIs facilitates further extension of their capacity. As Labview VIs are inherently graphical, these VIs may serve as useful templates for those wishing to adapt this software to other platforms.

  13. Strain and electric field induced metallization in the GaX (X = N, P, As & Sb) monolayer

    NASA Astrophysics Data System (ADS)

    Bahuguna, Bhagwati Prasad; Saini, L. K.; Sharma, Rajesh O.; Tiwari, Brajesh

    2018-05-01

    We investigate the strain and electric field dependent electronic properties of two dimensional Ga-based group III-V monolayer from the first-principles approach within density functional theory. The energy bandgap of GaX monolayer increases upto the certain value of compressive strain and then decreases. On the other hand, the energy bandgap of GaX monolayer is monotonically decreased with increasing tensile strain and become metallic at the higher value. Furthermore, the perpendicular electric field decreases the energy band gap of unstrained GaX monolayer and shows semiconductor to metal transition. These results suggest that the nature of energy bands and value of energy bandgap in GaX monolayer can be tuned by the biaxial mechanical strain or perpendicular electrical field. Additionally, we have also studied the optical response of unstrained GaX monolayer in term of optical conductivity. These findings may provide valuable information to develop the Ga-based optoelectronic devices and further the understanding of the GaX monolayer.

  14. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  15. Characterization of N-polar AlN in GaN/AlN/(Al,Ga)N heterostructures grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Haoran; Mazumder, Baishakhi; Bonef, Bastien; Keller, Stacia; Wienecke, Steven; Speck, James S.; Denbaars, Steven P.; Mishra, Umesh K.

    2017-11-01

    In GaN/(Al,Ga)N high-electron-mobility transistors (HEMT), AlN interlayer between GaN channel and AlGaN barrier suppresses alloy scattering and significantly improves the electron mobility of the two-dimensional electron gas. While high concentrations of gallium were previously observed in Al-polar AlN interlayers grown by metal-organic chemical vapor deposition, the N-polar AlN (Al x Ga1-x N) films examined by atom probe tomography in this study exhibited aluminum compositions (x) equal to or higher than 95% over a wide range of growth conditions. The also investigated AlN interlayer in a N-polar GaN/AlN/AlGaN/ S.I. GaN HEMT structure possessed a similarly high x content.

  16. Ridge InGaAs/InP multi-quantum-well selective growth in nanoscale trenches on Si (001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, S.; Zhou, X.; Li, M.

    Metal organic chemical vapor deposition of InGaAs/InP multi-quantum-well in nanoscale V-grooved trenches on Si (001) substrate was studied using the aspect ratio trapping method. A high quality GaAs/InP buffer layer with two convex (111) B facets was selectively grown to promote the highly uniform, single-crystal ridge InP/InGaAs multi-quantum-well structure growth. Material quality was confirmed by transmission electron microscopy and room temperature micro-photoluminescence measurements. This approach shows great promise for the fabrication of photonics devices and nanolasers on Si substrate.

  17. Photovoltaic Performance and Interface Behaviors of Cu(In,Ga)Se2 Solar Cells with a Sputtered-Zn(O,S) Buffer Layer by High-Temperature Annealing.

    PubMed

    Wi, Jae-Hyung; Kim, Tae Gun; Kim, Jeong Won; Lee, Woo-Jung; Cho, Dae-Hyung; Han, Won Seok; Chung, Yong-Duck

    2015-08-12

    We selected a sputtered-Zn(O,S) film as a buffer material and fabricated a Cu(In,Ga)Se2 (CIGS) solar cell for use in monolithic tandem solar cells. A thermally stable buffer layer was required because it should withstand heat treatment during processing of top cell. Postannealing treatment was performed on a CIGS solar cell in vacuum at temperatures from 300-500 °C to examine its thermal stability. Serious device degradation particularly in VOC was observed, which was due to the diffusion of thermally activated constituent elements. The elements In and Ga tend to out-diffuse to the top surface of the CIGS, while Zn diffuses into the interface of Zn(O,S)/CIGS. Such rearrangement of atomic fractions modifies the local energy band gap and band alignment at the interface. The notch-shape induced at the interface after postannealing could function as an electrical trap during electron transport, which would result in the reduction of solar cell efficiency.

  18. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies

    NASA Astrophysics Data System (ADS)

    Filatova, E. O.; Baraban, A. P.; Konashuk, A. S.; Konyushenko, M. A.; Selivanov, A. A.; Sokolov, A. A.; Schaefers, F.; Drozd, V. E.

    2014-11-01

    The effect of a transparent conductive oxide (TCO) buffer layer on the insulator matrix and on the resistive switching process in the metal/TiO2/TCO/metal assembly was studied depending on the material of the TCO (ITO-(In2O3)0.9(SnO2)0.1 or SnO2 or ZnO). For the first time electro-physical studies and near edge x-ray absorption fine structure (NEXAFS) studies were carried out jointly and at the same point of the sample, providing direct experimental evidence that the switching process strongly influences the lowest unoccupied bands and the local atomic structure of the TiO2 layers. It was established that a TCO layer in a metal/TiO2/TCO/metal assembly is an additional source of oxygen vacancies for the TiO2 film. The RL (RH) states are achieved presumably with the formation (rupture) of the electrically conductive path of oxygen vacancies. Inserting an Al2O3 thin layer between the TiO2 and TCO layers to some extent restricts the processes of migration of the oxygen ions and vacancies, and does not allow the anti-clockwise bipolar resistive switching in a Au/TiO2/Al2O3/ITO/Au assembly. The greatest value of the ratio RH/RL is observed for the assembly with a SnO2 buffer layer that will provide the maximum set of intermediate states (recording analog data) and increase the density of information recording in this case.

  19. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  20. Reduced dislocation density in Ga xIn 1–xP compositionally graded buffer layers through engineered glide plane switch

    DOE PAGES

    Schulte, Kevin L.; France, Ryan M.; McMahon, William E.; ...

    2016-11-17

    In this work we develop control over dislocation glide dynamics in Ga xIn 1-xP compositionally graded buffer layers (CGBs) through control of CuPt ordering on the group-III sublattice. The ordered structure is metastable in the bulk, so any glissile dislocation that disrupts the ordered pattern will release stored energy, and experience an increased glide force. Here we show how this connection between atomic ordering and dislocation glide force can be exploited to control the threading dislocation density (TDD) in Ga xIn 1-xP CGBs. When ordered Ga xIn 1-xP is graded from the GaAs lattice constant to InP, the order parametermore » ..eta.. decreases as x decreases, and dislocation glide switches from one set of glide planes to the other. This glide plane switch (GPS) is accompanied by the nucleation of dislocations on the new glide plane, which typically leads to increased TDD. We develop control of the GPS position within a Ga xIn 1-xP CGB through manipulation of deposition temperature, surfactant concentration, and strain-grading rate. We demonstrate a two-stage Ga xIn 1-xP CGB from GaAs to InP with sufficiently low TDD for high performance devices, such as the 4-junction inverted metamorphic multi-junction solar cell, achieved through careful control the GPS position. Here, experimental results are analyzed within the context of a model that considers the force balance on dislocations on the two competing glide planes as a function of the degree of ordering.« less

  1. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  2. Self-Aligned ALD AlOx T-gate Insulator for Gate Leakage Current Suppression in SiNx-Passivated AlGaN/GaN HEMTs

    DTIC Science & Technology

    2010-01-01

    Heterostructure epitaxial material growth was performed by RF plasma-assisted molecular - beam epitaxy (MBE) on a 2-in. semi- insulating 4H SiC wafer. From... beam epitaxy of beryllium-doped GaN buffer layers for AlGaN/GaN HEMTs . J Cryst Growth 2003;251:481–6. [25] Storm DF, Katzer DS, Binari SC, Glaser ER...Shanabrook BV, Roussos JA. Reduction of buffer layer conduction near plasma-assisted molecular - beam epitaxy grown GaN/AlN interfaces by beryllium

  3. Photovoltaic effect of ferroelectric Pb(Zr0.52,Ti0.48)O3 deposited on SrTiO3 buffered n-GaAs by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhou, Yunxia; Zhu, Jun; Liu, Xingpeng; Wu, Zhipeng

    Ferroelectric Pb(Zr0.52,Ti0.48)O3(PZT) thin film was grown on n-type GaAs (001) substrate with SrTiO3 (STO) buffer layer by laser molecular beam epitaxy (L-MBE). The epitaxial process of the STO was in situ monitored by reflection high-energy electron diffraction (RHEED). The crystallographical growth orientation relationship was revealed to be (002) 〈100〉 PZT//(002) 〈100〉 STO//(001) 〈110〉 GaAs by RHEED and X-ray diffraction (XRD). It was found that a small lattice mismatch between PZT and GaAs with a 45∘ in-plane rotation relationship can be formed by inserting of a buffer layer STO. Besides, the enhanced electrical properties of the heterostructure were obtained with the short-circuit photocurrent increased to 52mA/cm2 and the better power conversation efficiency increased by 20% under AM1.5G (100mW/cm2) illumination. The work could provide a way for the application of this kind of heterostructure with high photocurrent response in optoelectronic thin film devices.

  4. Potassium-induced surface modification of Cu(In,Ga)Se2 thin films for high-efficiency solar cells.

    PubMed

    Chirilă, Adrian; Reinhard, Patrick; Pianezzi, Fabian; Bloesch, Patrick; Uhl, Alexander R; Fella, Carolin; Kranz, Lukas; Keller, Debora; Gretener, Christina; Hagendorfer, Harald; Jaeger, Dominik; Erni, Rolf; Nishiwaki, Shiro; Buecheler, Stephan; Tiwari, Ayodhya N

    2013-12-01

    Thin-film photovoltaic devices based on chalcopyrite Cu(In,Ga)Se2 (CIGS) absorber layers show excellent light-to-power conversion efficiencies exceeding 20%. This high performance level requires a small amount of alkaline metals incorporated into the CIGS layer, naturally provided by soda lime glass substrates used for processing of champion devices. The use of flexible substrates requires distinct incorporation of the alkaline metals, and so far mainly Na was believed to be the most favourable element, whereas other alkaline metals have resulted in significantly inferior device performance. Here we present a new sequential post-deposition treatment of the CIGS layer with sodium and potassium fluoride that enables fabrication of flexible photovoltaic devices with a remarkable conversion efficiency due to modified interface properties and mitigation of optical losses in the CdS buffer layer. The described treatment leads to a significant depletion of Cu and Ga concentrations in the CIGS near-surface region and enables a significant thickness reduction of the CdS buffer layer without the commonly observed losses in photovoltaic parameters. Ion exchange processes, well known in other research areas, are proposed as underlying mechanisms responsible for the changes in chemical composition of the deposited CIGS layer and interface properties of the heterojunction.

  5. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  6. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4} devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se){sub 2} (CIGS) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  7. Correlation of proton irradiation induced threshold voltage shifts to deep level traps in AlGaN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Zhang, Z.; Cardwell, D.; Sasikumar, A.; Kyle, E. C. H.; Chen, J.; Zhang, E. X.; Fleetwood, D. M.; Schrimpf, R. D.; Speck, J. S.; Arehart, A. R.; Ringel, S. A.

    2016-04-01

    The impact of proton irradiation on the threshold voltage (VT) of AlGaN/GaN heterostructures is systematically investigated to enhance the understanding of a primary component of the degradation of irradiated high electron mobility transistors. The value of VT was found to increase monotonically as a function of 1.8 MeV proton fluence in a sub-linear manner reaching 0.63 V at a fluence of 1 × 1014 cm-2. Silvaco Atlas simulations of VT shifts caused by GaN buffer traps using experimentally measured introduction rates, and energy levels closely match the experimental results. Different buffer designs lead to different VT dependences on proton irradiation, confirming that deep, acceptor-like defects in the GaN buffer are primarily responsible for the observed VT shifts. The proton irradiation induced VT shifts are found to depend on the barrier thickness in a linear fashion; thus, scaling the barrier thickness could be an effective way to reduce such degradation.

  8. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  9. Half-metallicity at the (110) interface between a full Heusler alloy and GaAs

    NASA Astrophysics Data System (ADS)

    Nagao, Kazutaka; Miura, Yoshio; Shirai, Masafumi

    2006-03-01

    The electronic properties of Co2CrAl/GaAs interfaces are investigated by using first-principles calculations with density functional theory. It is found that spin polarization tends to remain relatively high at the (110) interface and reaches almost unity for a specific (110) interfacial structure. Furthermore, the nearly-half-metallic interface turns out to be the most stable of the (110) interfacial structures studied here. Spin polarization calculated only from the sp -projected density of states is also examined in order to eliminate the effects stemming from the localized d components. The analysis shows that the high spin polarization at the (110) interface owes little to the localized d component and, therefore, is expected to be fairly relevant to transport properties. Co2CrSi/GaAs , Co2MnSi/GaAs , and Co2MnGe/GaAs heterostructures are also investigated, and similar half-metal-like behavior at (110) interface is observed for all of them.

  10. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  11. Metal-insulator transition in AlxGa1-xAs/GaAs heterostructures with large spacer width

    NASA Astrophysics Data System (ADS)

    Gold, A.

    1991-10-01

    Analytical results are presented for the mobility of a two-dimensional electron gas in a heterostructure with a thick spacer layer α. Due to multiple-scattering effects a metal-insulator transition occurs at a critical electron density Nc=N1/2i/(4π1/2α) (Ni is the impurity density). The transport mean free path l(t) (calculated in Born approximation) at the metal-insulator transition is l(t)c=2α. A localization criterion in terms of the renormalized single-particle mean free path l(sr) is presented: kFcl(sr)c=(1/2)1/2 (kFc is the Fermi wave number at the critical density). I compare the theoretical results with recent experimental results found in AlxGa1-xAs/GaAs heterostructures with large spacer width: 1200<α<2800 Å. Remote impurity doping and homogeneous background doping are considered. The only fitting parameter used for the theoretical results is the background doping density NB=6×1013 cm-3. My theory is in fair agreement with the experimental results.

  12. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  13. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  14. First principles calculations of point defect diffusion in CdS buffer layers: Implications for Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4}-based thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    2016-01-14

    We investigate point defects in CdS buffer layers that may arise from intermixing with Cu(In,Ga)Se{sub 2} (CIGSe) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTSSe) absorber layers in thin-film photovoltaics (PV). Using hybrid functional calculations, we characterize the migration barriers of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities and assess the activation energies necessary for their diffusion into the bulk of the buffer. We find that Cu, In, and Ga are the most mobile defects in CIGS-derived impurities, with diffusion expected to proceed into the buffer via interstitial-hopping and cadmium vacancy-assisted mechanisms at temperatures ∼400 °C. Cu is predicted to stronglymore » favor migration paths within the basal plane of the wurtzite CdS lattice, which may facilitate defect clustering and ultimately the formation of Cu-rich interfacial phases as observed by energy dispersive x-ray spectroscopic elemental maps in real PV devices. Se, Zn, and Sn defects are found to exhibit much larger activation energies and are not expected to diffuse within the CdS bulk at temperatures compatible with typical PV processing temperatures. Lastly, we find that Na interstitials are expected to exhibit slightly lower activation energies than K interstitials despite having a larger migration barrier. Still, we find both alkali species are expected to diffuse via an interstitially mediated mechanism at slightly higher temperatures than enable In, Ga, and Cu diffusion in the bulk. Our results indicate that processing temperatures in excess of ∼400 °C will lead to more interfacial intermixing with CdS buffer layers in CIGSe devices, and less so for CZTSSe absorbers where only Cu is expected to significantly diffuse into the buffer.« less

  15. Catalytic Activation of Mg-Doped GaN by Hydrogen Desorption Using Different Metal Thin Layers

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Wang, Junxi; Liu, Naixin; Lu, Hongxi; Zeng, Yiping; Wang, Guohong; Li, Jinmin

    2010-10-01

    The annealing of Mg-doped GaN with Pt and Mo layers has been found to effectively improve the hole concentration of such material by more than 2 times as high as those in the same material without metal. Compared with the Ni and Mo catalysts, Pt showed good activation effect for hydrogen desorption and ohmic contact to the Ni/Au electrode. Despite the weak hydrogen desorption, Mo did not diffuse into the GaN epilayer in the annealing process, thus suppressing the carrier compensation phenomenon with respect to Ni and Pt depositions, which resulted in the high activation of Mg acceptors. For the GaN activated with the Ni, Pt, and Mo layers, the blue emission became dominant, followed by a clear peak redshift and the degradation of photoluminescence signal when compared with that of GaN without metal.

  16. Evaluation of metal/indium-tin-oxide for transparent low-resistance contacts to p-type GaN.

    PubMed

    Hou, Wenting; Stark, Christoph; You, Shi; Zhao, Liang; Detchprohm, Theeradetch; Wetzel, Christian

    2012-08-10

    In search of a better transparent contact to p-GaN, we analyze various metal/indium-tin-oxide (ITO) (Ag/ITO, AgCu/ITO, Ni/ITO, and NiZn/ITO) contact schemes and compare to Ni/Au, NiZn/Ag, and ITO. The metal layer boosts conductivity while the ITO thickness can be adjusted to constructive transmission interference on GaN that exceeds extraction from bare GaN. We find a best compromise for an Ag/ITO (3 nm/67 nm) ohmic contact with a relative transmittance of 97% of the bare GaN near 530 nm and a specific contact resistance of 0.03 Ω·cm2. The contact proves suitable for green light-emitting diodes in epi-up geometry.

  17. Plasma assisted molecular beam epitaxy growth and effect of varying buffer thickness on the formation of ultra-thin In{sub 0.17}Al{sub 0.83}N/GaN heterostructure on Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-02-23

    This work reports on the detailed plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin In{sub 0.17}Al{sub 0.83}N/GaN heterostructures on Si(111) substrate with three different buffer thickness (600 nm, 400 nm, and 200 nm). Growth through critical optimization of growth conditions is followed by the investigation of impact of varying buffer thickness on the formation of ultra-thin 1.5 nm, In{sub 0.17}Al{sub 0.83}N–1.25 nm, GaN–1.5 nm, In{sub 0.17}Al{sub 0.83}N heterostructure, in terms of threading dislocation (TD) density. Analysis reveals a drastic reduction of TD density from the order 10{sup 10 }cm{sup −2} to 10{sup 8 }cm{sup −2} with increasing buffer thickness resulting smooth ultra-thin active region for thick buffer structure.more » Increasing strain with decreasing buffer thickness is studied through reciprocal space mapping analysis. Surface morphology through atomic force microscopy analysis also supports our study by observing an increase of pits and root mean square value (0.89 nm, 1.2 nm, and 1.45 nm) with decreasing buffer thickness which are resulted due to the internal strain and TDs.« less

  18. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  19. Botulinum toxin detection using AlGaN /GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Wang, Yu-Lin; Chu, B. H.; Chen, K. H.; Chang, C. Y.; Lele, T. P.; Tseng, Y.; Pearton, S. J.; Ramage, J.; Hooten, D.; Dabiran, A.; Chow, P. P.; Ren, F.

    2008-12-01

    Antibody-functionalized, Au-gated AlGaN /GaN high electron mobility transistors (HEMTs) were used to detect botulinum toxin. The antibody was anchored to the gate area through immobilized thioglycolic acid. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when the target toxin in a buffer was added to the antibody-immobilized surface. We could detect a range of concentrations from 1to10ng/ml. These results clearly demonstrate the promise of field-deployable electronic biological sensors based on AlGaN /GaN HEMTs for botulinum toxin detection.

  20. A highly manufacturable 0.2 {mu}m AlGaAs/InGaAs PHEMT fabricated using the single-layer integrated-metal FET (SLIMFET) process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Havasy, C.K.; Quach, T.K.; Bozada, C.A.

    1995-12-31

    This work is the development of a single-layer integrated-metal field effect transistor (SLIMFET) process for a high performance 0.2 {mu}m AlGaAs/InGaAs pseudomorphic high electron mobility transistor (PHEMT). This process is compatible with MMIC fabrication and minimizes process variations, cycle time, and cost. This process uses non-alloyed ohmic contacts, a selective gate-recess etching process, and a single gate/source/drain metal deposition step to form both Schottky and ohmic contacts at the same time.

  1. Metal oxide, Group V-VI chalcogenides and GaN/AlGaN photodetectors

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Rezaul

    In this work, a simple, low-cost and catalyst free one-step solution processing of onedimensional Sb2S3 nanostructures on polyimide substrates was done. This structure demonstrated its potential application as a photoconductor in the UV and visible regime. Using-field emission scanning electron microscopy (SEM), grazing incidence X-Ray diffraction, Raman spectra and transmission electron microscopy measurements, it was shown that the Sb 2S3 films have high crystallinity, uniform morphology and nearstoichiometric composition. Further, using tauc plot, it was found that the films have a direct bandgap of 1.67 eV. MSM photodetectors, fabricated using these films showed a clear photo response in both UV as well as visible wavelength. These devices showed UV on/off ratio as high as 160 under the light intensity of 30 mW/cm2 and a small rise time and fall time of 44 ms 28 ms respectively. The effect of geometry of metal pad and bonding wire orientation of a multi-channel FET on the coupling of THz radiation was studied. The spatial variation images were taken by raster scan with the resolution of 0.07 mm steps in both x and y directions. An effective gate bias, where the effect of noise is minimum and photoresponse is maximum, was used for imaging. By applying VGS =-2.8V and VDS =380mV, the images were taken for all different combinations of activated bonding wires and metal pads. It was observed that, effect of bonding wire orientation is negligible for the large source pad as the radiation is coupled basically between drain and gate pad. Effect of drain bonding wire on coupling depends on the maximum width or diameter of metal pad and the incoming wavelength. In this work, Position of activated Drain pad and orientation of respective bonding wire defined the image tilting angle. Voltage drop across the shorting metal between drain pads, also played a role in increasing the asymmetry by selectively exciting a certain portion of FET Channels more than the other portion

  2. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  3. Fabrication, testing and reliability modeling of copper/titanium-metallized GaAs MESFETs and HEMTs for low-noise applications

    NASA Astrophysics Data System (ADS)

    Feng, Ting

    Today, GaAs based field effect transistors (FETs) have been used in a broad range of high-speed electronic military and commercial applications. However, their reliability still needs to be improved. Particularly the hydrogen induced degradation is a large remaining issue in the reliability of GaAs FETs, because hydrogen can easily be incorporated into devices during the crystal growth and virtually every device processing step. The main objective of this research work is to develop a new gate metallization system in order to reduce the hydrogen induced degradation from the gate region for GaAs based MESFETs and HEMTs. Cu/Ti gate metallization has been introduced into the GaAs MESFETs and HEMTs in our work in order to solve the hydrogen problem. The purpose of the use of copper is to tie up the hydrogen atoms and prevent hydrogen penetration into the device active region as well as to keep a low gate resistance for low noise applications. In this work, the fabrication technology of GaAs MESFETs and AlGaAs/GaAs HEMTs with Cu/Ti metallized gates have been successfully developed and the fabricated Cu/Ti FETs have shown comparable DC performance with similar Au-based GaAs FETs. The Cu/Ti FETs were subjected to temperature accelerated testing at NOT under 5% hydrogen forming gas and the experimental results show the hydrogen induced degradation has been reduced for the Cu/Ti FETs compared to commonly used AuPtTi based GaAs FETs. A long-term reliability testing for Cu/Ti FETs has also been carried out at 200°C and up to 1000hours and testing results show Cu/Ti FETs performed with adequate reliability. The failure modes were found to consist of a decrease in drain saturation current and pinch-off voltage and an increase in source ohmic contact resistance. Material characterization tools including Rutherford backscattering spectroscopy and a back etching technique were used in Cu/Ti GaAs FETs, and pronounced gate metal copper in-diffusion and intermixing compounds at the

  4. Realization of improved metallization-Ti/Al/Ti/W/Au ohmic contacts to n-GaN for high temperature application

    NASA Astrophysics Data System (ADS)

    Motayed, A.; Davydov, A. V.; Boettinger, W. J.; Josell, D.; Shapiro, A. J.; Levin, I.; Zheleva, T.; Harris, G. L.

    2005-05-01

    Tungsten metal layer was used for the first time as an effective diffusion barrier for the standard Ti/Al/Ti/Au ohmic metallization scheme to obtain thermally stable ohmic contact suitable for high temperature applications. Comparative studies were performed on three distinct metallization schemes: 1) standard GaN/Ti/Al/Ti/Au, 2) GaN/Ti/Al/W/Au, and 3) GaN/Ti/Al/Ti/W/Au. For the GaN with doping level of 5 × 1017 cm-3, the lowest specific contact resistance for the Ti/Al/Ti/W/Au metallization scheme annealed in argon at 750 °C for 30 sec was 5 × 10-6 .cm2, which is comparable to the standard Ti/Al/Ti/Au scheme. X-ray diffractions (XRD), auger electron spectroscopy (AES) depth profiling, field-emission scanning electron microscopy (FE-SEM), atomic force microscopy (AFM), and cross-sectional transmission electron microscopy (TEM) revealed that the Ti/Al/Ti/W/Au metallization has superior morphology and microstructural properties compared to standard Ti/Al/Ti/Au metallizations. Remarkably, this metallization was able to withstand thermal aging at 500 °C for 50 hrs with only marginal morphological and electrical deterioration. These studies revealed that the utilization of a compound diffusion barrier stack, as in the Ti/Al/Ti/W/Au metallization, yields electrically, structurally, and morphologically superior metallizations with exceptional thermal stability.

  5. Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD

    NASA Astrophysics Data System (ADS)

    O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.

    2018-04-01

    High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.

  6. Fabrication of full-color GaN-based light-emitting diodes on nearly lattice-matched flexible metal foils.

    PubMed

    Kim, Hyeryun; Ohta, Jitsuo; Ueno, Kohei; Kobayashi, Atsushi; Morita, Mari; Tokumoto, Yuki; Fujioka, Hiroshi

    2017-05-18

    GaN-based light-emitting diodes (LEDs) have been widely accepted as highly efficient solid-state light sources capable of replacing conventional incandescent and fluorescent lamps. However, their applications are limited to small devices because their fabrication process is expensive as it involves epitaxial growth of GaN by metal-organic chemical vapor deposition (MOCVD) on single crystalline sapphire wafers. If a low-cost epitaxial growth process such as sputtering on a metal foil can be used, it will be possible to fabricate large-area and flexible GaN-based light-emitting displays. Here we report preparation of GaN films on nearly lattice-matched flexible Hf foils using pulsed sputtering deposition (PSD) and demonstrate feasibility of fabricating full-color GaN-based LEDs. It was found that introduction of low-temperature (LT) grown layers suppressed the interfacial reaction between GaN and Hf, allowing the growth of high-quality GaN films on Hf foils. We fabricated blue, green, and red LEDs on Hf foils and confirmed their normal operation. The present results indicate that GaN films on Hf foils have potential applications in fabrication of future large-area flexible GaN-based optoelectronics.

  7. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  8. Fabrication of a terahertz quantum-cascade laser with a double metal waveguide based on multilayer GaAs/AlGaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khabibullin, R. A., E-mail: khabibullin@isvch.ru; Shchavruk, N. V.; Pavlov, A. Yu.

    2016-10-15

    The Postgrowth processing of GaAs/AlGaAs multilayer heterostructures for terahertz quantumcascade lasers (QCLs) are studied. This procedure includes the thermocompression bonding of In–Au multilayer heterostructures with a doped n{sup +}-GaAs substrate, mechanical grinding, and selective wet etching of the substrate, and dry etching of QCL ridge mesastripes through a Ti/Au metallization mask 50 and 100 μm wide. Reactive-ion-etching modes with an inductively coupled plasma source in a BCl{sub 3}/Ar gas mixture are selected to obtain vertical walls of the QCL ridge mesastripes with minimum Ti/Au mask sputtering.

  9. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    NASA Astrophysics Data System (ADS)

    Yokoyama, Masafumi; Asakura, Yuji; Yokoyama, Haruki; Takenaka, Mitsuru; Takagi, Shinichi

    2014-06-01

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al2O3/GaSb MOS interface properties. The Al2O3/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (Dit) of ˜4.5 × 1013 cm-2 eV-1. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al2O3/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  10. Spin dependent transport properties of Mn-Ga/MgO/Mn-Ga magnetic tunnel junctions with metal(Mg, Co, Cr) insertion layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang, S. H.; Tao, L. L.; Liu, D. P., E-mail: dpliu@iphy.ac.cn

    We report a first principles theoretical investigation of spin polarized quantum transport in Mn{sub 2}Ga/MgO/Mn{sub 2}Ga and Mn{sub 3}Ga/MgO/Mn{sub 3}Ga magnetic tunneling junctions (MTJs) with the consideration of metal(Mg, Co, Cr) insertion layer effect. By changing the concentration of Mn, our calculation shows a considerable disparity in transport properties: A tunneling magnetoresistance (TMR) ratio of 852% was obtained for Mn{sub 2}Ga-based MTJs, however, only a 5% TMR ratio for Mn{sub 3}Ga-based MTJs. In addition, the influence of insertion layer has been considered in our calculation. We found the Co insertion layer can increase the TMR of Mn{sub 2}Ga-based MTJ tomore » 904%; however, the Cr insertion layer can decrease the TMR by 668%; A negative TMR ratio can be obtained with Mg insertion layer. Our work gives a comprehensive understanding of the influence of different insertion layer in Mn-Ga based MTJs. It is proved that, due to the transmission can be modulated by the interfacial electronic structure of insertion, the magnetoresistance ratio of Mn{sub 2}Ga/MgO/Mn{sub 2}Ga MTJ can be improved by inserting Co layer.« less

  11. Improvement of electrical and optical properties of p-GaN Ohmic metals under ultraviolet light irradiation annealing processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chae, S.W.; Yoon, S.K.; Kwak, J.S.

    2006-05-15

    We report the improvement of electrical and optical properties of p-GaN Ohmic metals, ZnNi(10 nm)/Au(10 nm), by ultraviolet (UV) light irradiation. After UV light irradiation, the specific contact resistance of p-GaN decreased slightly from 2.99x10{sup -4} to 2.54x10{sup -4} {omega} cm{sup 2}, while the transmittance of the contact layer increased form 75% to 85% at a wavelength of 460 nm. In addition, the forward voltage of InGaN/GaN light-emitting diode chip at 20 mA decreased from 3.55 to 3.45 V, and the output power increased form 18 to 25 mW by UV light irradiation. The low resistance and high transmittance ofmore » the p-GaN Ohmic metals are attributed to the reduced Shottky barrier by the formation of gallium oxide and the increased oxidation of p-Ohmic metals, respectively, due to ozone generated form oxygen during UV light irradiation.« less

  12. Metal Preferences and Metallation*

    PubMed Central

    Foster, Andrew W.; Osman, Deenah; Robinson, Nigel J.

    2014-01-01

    The metal binding preferences of most metalloproteins do not match their metal requirements. Thus, metallation of an estimated 30% of metalloenzymes is aided by metal delivery systems, with ∼25% acquiring preassembled metal cofactors. The remaining ∼70% are presumed to compete for metals from buffered metal pools. Metallation is further aided by maintaining the relative concentrations of these pools as an inverse function of the stabilities of the respective metal complexes. For example, magnesium enzymes always prefer to bind zinc, and these metals dominate the metalloenzymes without metal delivery systems. Therefore, the buffered concentration of zinc is held at least a million-fold below magnesium inside most cells. PMID:25160626

  13. Performance improvement of GaN-based metal-semiconductor-metal photodiodes grown on Si(111) substrate by thermal cycle annealing process

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin

    2014-01-01

    A simple thermal cycle annealing (TCA) process was used to improve the quality of GaN grown on a Si substrate. The X-ray diffraction (XRD) and etch pit density (EPD) results revealed that using more process cycles, the defect density cannot be further reduced. However, the performance of GaN-based metal-semiconductor-metal (MSM) photodiodes (PDs) prepared on Si substrates showed significant improvement. With a two-cycle TCA process, it is found that the dark current of the device was only 1.46 × 10-11 A, and the photo-to-dark-current contrast ratio was about 1.33 × 105 at 5 V. Also, the UV/visible rejection ratios can reach as high as 1077.

  14. Optimization design on breakdown voltage of AlGaN/GaN high-electron mobility transistor

    NASA Astrophysics Data System (ADS)

    Yang, Liu; Changchun, Chai; Chunlei, Shi; Qingyang, Fan; Yuqian, Liu

    2016-12-01

    Simulations are carried out to explore the possibility of achieving high breakdown voltage of GaN HEMT (high-electron mobility transistor). GaN cap layers with gradual increase in the doping concentration from 2 × 1016 to 5 × 1019 cm-3 of N-type and P-type cap are investigated, respectively. Simulation results show that HEMT with P-doped GaN cap layer shows more potential to achieve higher breakdown voltage than N-doped GaN cap layer under the same doping concentration. This is because the ionized net negative space charges in P-GaN cap layer could modulate the surface electric field which makes more contribution to RESURF effect. Furthermore, a novel GaN/AlGaN/GaN HEMT with P-doped GaN buried layer in GaN buffer between gate and drain electrode is proposed. It shows enhanced performance. The breakdown voltage of the proposed structure is 640 V which is increased by 12% in comparison to UID (un-intentionally doped) GaN/AlGaN/GaN HEMT. We calculated and analyzed the distribution of electrons' density. It is found that the depleted region is wider and electric field maximum value is induced at the left edge of buried layer. So the novel structure with P-doped GaN buried layer embedded in GaN buffer has the better improving characteristics of the power devices. Project supported by the National Basic Research Program of China (No. 2014CB339900) and the Open Fund of Key Laboratory of Complex Electromagnetic Environment Science and Technology, China Academy of Engineering Physics (No. 2015-0214.XY.K).

  15. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  16. Titanium induced polarity inversion in ordered (In,Ga)N/GaN nanocolumns.

    PubMed

    Kong, X; Li, H; Albert, S; Bengoechea-Encabo, A; Sanchez-Garcia, M A; Calleja, E; Draxl, C; Trampert, A

    2016-02-12

    We report on the formation of polarity inversion in ordered (In,Ga)N/GaN nanocolumns grown on a Ti-masked GaN-buffered sapphire substrate by plasma assisted molecular beam epitaxy. High-resolution transmission electron microscopy and electron energy-loss spectroscopy reveal a stacking fault-like planar defect at the homoepitaxial GaN interface due to Ti incorporation, triggering the generation of N-polar domains in Ga-polar nanocolumns. Density functional theory calculations are applied to clarify the atomic configurations of a Ti monolayer occupation on the GaN (0002) plane and to prove the inversion effect. The polarity inversion leads to an enhanced indium incorporation in the subsequent (In,Ga)N segment of the nanocolumn. This study provides a deeper understanding of the effects of Ti mask in the well-controlled selective area growth of (In,Ga)N/GaN nanocolumns.

  17. Engineering of electric field distribution in GaN(cap)/AlGaN/GaN heterostructures: theoretical and experimental studies

    NASA Astrophysics Data System (ADS)

    Gladysiewicz, M.; Janicki, L.; Misiewicz, J.; Sobanska, M.; Klosek, K.; Zytkiewicz, Z. R.; Kudrawiec, R.

    2016-09-01

    Polarization engineering of GaN-based heterostructures opens a way to develop advanced transistor heterostructures, although measurement of the electric field in such heterostructures is not a simple task. In this work, contactless electroreflectance (CER) spectroscopy has been applied to measure the electric field in GaN-based heterostructures. For a set of GaN(d  =  0, 5, 15, and 30 nm)/AlGaN(20 nm)/GaN(buffer) heterostructures a decrease of electric field in the GaN(cap) layer from 0.66 MV cm-1 to 0.27 MV cm-1 and an increase of the electric field in the AlGaN layer from 0.57 MV cm-1 to 0.99 MV cm-1 have been observed with the increase in the GaN(cap) thickness from 5-30 nm. For a set of GaN(20 nm)/AlGaN(d  =  10, 20, 30, and 40 nm)/GaN(buffer) heterostructures a decrease of the electric field in the AlGaN layer from 1.77 MV cm-1 to 0.64 MV cm-1 and an increase of the electric field in the GaN layer from 0.57 MV cm-1 to 0.99 MV cm-1 were observed with the increase in the AlGaN thickness from 10-40 nm. To determine the distribution of the electric field in these heterostructures the Schrödinger and Poisson equations are solved in a self-consistent manner and matched with experimental data. It is shown that the built-in electric field in the GaN(cap) and AlGaN layers obtained from measurements does not reach values of electric field resulting only from polarization effects. The measured electric fields are smaller due to a screening of polarization effects by free carriers, which are inhomogeneously distributed across the heterostructure and accumulate at interfaces. The results clearly demonstrate that CER measurements supported by theoretical calculations are able to determine the electric field distribution in GaN-based heterostructures quantitatively, which is very important for polarization engineering in this material system.

  18. Temperature dependent electrical characterisation of Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J.; Roul, Basanta

    2015-09-15

    This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolutionmore » X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.« less

  19. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  20. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  1. Simulation of real I-V characteristics of metal/GaN/AlGaN heterostructure based on the 12-EXT model of trap-assisted tunnelling

    NASA Astrophysics Data System (ADS)

    Racko, Juraj; Benko, Peter; Mikolášek, Miroslav; Granzner, Ralf; Kittler, Mario; Schwierz, Frank; Harmatha, Ladislav; Breza, Juraj

    2017-02-01

    The contribution employs electrical simulation to assess the effect of the distribution of aluminium in the metal/GaN/AlGaN heterostructure on the leakage current. The heterostructure is characterized by a high density of traps causing an increase of the leakage current consisting of the thermionic emission component and of a non-negligible contribution of trap-assisted tunnelling. The leakage current is highly sensitive to the bending of the potential barrier Ec in the subsurface region of the GaN/AlGaN structure. The band bending is strongly affected by the sheet bound charge at the first GaN/AlGaN/GaN interface due to spontaneous and piezoelectric polarization. The overall charge depends on the concentration of Al, the distribution of Al at the first heterointerface having a strong effect on the formation of the potential barrier.

  2. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  3. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M.

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reducedmore » channel thermal conductivity must be considered.« less

  4. Structural evaluation of InAsP/InGaAsP strained-layer superlattices with dislocations as grown by metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakashima, Kiichi; Sugiura, Hideo

    1997-08-01

    The relaxation process in InAsP/InGaAsP strained-layer superlattices (SLSs) with interfacial misfit dislocations has been investigated systematically by transmission electron microscopy (TEM) and x-ray analyses. The TEM analysis reveals that dislocations locate a little inside the buffer layer near the interface between the buffer and first well layer in the SLS. The x-ray analysis of (400) azimuthal angle dependence indicates the buffer layer has a large macroscopic tilt. Using a curve fitting analysis of various (hkl) x-ray profiles and reciprocal lattice mapping measurements, residual strain was determined quantitatively, i.e., Δa∥ and Δa⊥, in the SLS and buffer layer. These results reveal that the dislocations mainly cause lattice distortion of the buffer layer rather than relaxation of the SLS layer. The most remarkable result is that the change of a∥ is not equal to that of a⊥ in the buffer layer. This phenomenon strongly suggests that microplastic domains are generated in the buffer layer.

  5. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situmore » ALD process to avoid the high-temperature-induced degradations.« less

  6. Correlation of proton irradiation induced threshold voltage shifts to deep level traps in AlGaN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Z.; Cardwell, D.; Sasikumar, A.

    2016-04-28

    The impact of proton irradiation on the threshold voltage (V{sub T}) of AlGaN/GaN heterostructures is systematically investigated to enhance the understanding of a primary component of the degradation of irradiated high electron mobility transistors. The value of V{sub T} was found to increase monotonically as a function of 1.8 MeV proton fluence in a sub-linear manner reaching 0.63 V at a fluence of 1 × 10{sup 14} cm{sup −2}. Silvaco Atlas simulations of V{sub T} shifts caused by GaN buffer traps using experimentally measured introduction rates, and energy levels closely match the experimental results. Different buffer designs lead to different V{sub T} dependences on protonmore » irradiation, confirming that deep, acceptor-like defects in the GaN buffer are primarily responsible for the observed V{sub T} shifts. The proton irradiation induced V{sub T} shifts are found to depend on the barrier thickness in a linear fashion; thus, scaling the barrier thickness could be an effective way to reduce such degradation.« less

  7. Thermodynamic properties of La-Ga-Al and U-Ga-Al alloys and the separation factor of U/La couple in the molten salt-liquid metal system

    NASA Astrophysics Data System (ADS)

    Novoselova, A.; Smolenski, V.; Volkovich, V. A.; Ivanov, A. B.; Osipenko, A.; Griffiths, T. R.

    2015-11-01

    The electrochemical behaviour of lanthanum and uranium was studied in fused 3LiCl-2KCl eutectic and Ga-Al eutectic liquid metal alloy between 723 and 823 K. Electrode potentials were recorded vs. Cl-/Cl2 reference electrode and the temperature dependencies of the apparent standard potentials of La-(Ga-Al) and U-(Ga-Al) alloys were determined. Lanthanum and uranium activity coefficients and U/La couple separation factor were calculated. Partial excess free Gibbs energy, partial enthalpy of mixing and partial excess entropy of La-(Ga-Al) and U-(Ga-Al) alloys were estimated.

  8. FIFO Buffer for Asynchronous Data Streams

    NASA Technical Reports Server (NTRS)

    Bascle, K. P.

    1985-01-01

    Variable-rate, asynchronous data signals from up to four measuring instruments or other sources combined in first-in/first-out (FIFO) buffer for transmission on single channel. Constructed in complementary metal-oxide-semiconductor (CMOS) logic, buffer consumes low power (only 125 mW at 5V) and conforms to aerospace standards of reliability and maintainability.

  9. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    NASA Astrophysics Data System (ADS)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  10. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  11. Synthesis of Gold Nanoparticles with Buffer-Dependent Variations of Size and Morphology in Biological Buffers.

    PubMed

    Ahmed, Syed Rahin; Oh, Sangjin; Baba, Rina; Zhou, Hongjian; Hwang, Sungu; Lee, Jaebeom; Park, Enoch Y

    2016-12-01

    The demand for biologically compatible and stable noble metal nanoparticles (NPs) has increased in recent years due to their inert nature and unique optical properties. In this article, we present 11 different synthetic methods for obtaining gold nanoparticles (Au NPs) through the use of common biological buffers. The results demonstrate that the sizes, shapes, and monodispersity of the NPs could be varied depending on the type of buffer used, as these buffers acted as both a reducing agent and a stabilizer in each synthesis. Theoretical simulations and electrochemical experiments were performed to understand the buffer-dependent variations of size and morphology exhibited by these Au NPs, which revealed that surface interactions and the electrostatic energy on the (111) surface of Au were the determining factors. The long-term stability of the synthesized NPs in buffer solution was also investigated. Most NPs synthesized using buffers showed a uniquely wide range of pH stability and excellent cell viability without the need for further modifications.

  12. High-efficiency inverted metamorphic 1.7/1.1 eV GaInAsP/GaInAs dual-junction solar cells

    NASA Astrophysics Data System (ADS)

    Jain, Nikhil; Schulte, Kevin L.; Geisz, John F.; Friedman, Daniel J.; France, Ryan M.; Perl, Emmett E.; Norman, Andrew G.; Guthrey, Harvey L.; Steiner, Myles A.

    2018-01-01

    Photovoltaic conversion efficiencies of 32.6 ± 1.4% under the AM1.5 G173 global spectrum, and 35.5% ± 1.2% at 38-suns concentration under the direct spectrum, are demonstrated for a monolithic, dual-junction 1.7/1.1 eV solar cell. The tandem cell consists of a 1.7 eV GaInAsP top-junction grown lattice-matched to a GaAs substrate, followed by a metamorphic 1.1 eV GaInAs junction grown on a transparent, compositionally graded metamorphic AlGaInAs buffer. This bandgap combination is much closer to the dual-junction optimum and offers headroom for absolute 3% improvement in efficiency, in comparison to the incumbent lattice-matched GaInP/GaAs (˜1.86/1.41 eV) solar cells. The challenge of growing a high-quality 1.7 eV GaInAsP solar cell is the propensity for phase separation in the GaInAsP alloy. The challenge of lattice-mismatched GaInAs solar cell growth is that it requires minimizing the residual dislocation density during the growth of a transparent compositionally graded buffer to enable efficient metamorphic tandem cell integration. Transmission electron microscopy reveals relatively weak composition fluctuation present in the 1.7 eV GaInAsP alloy, attained through growth control. The threading dislocation density of the GaInAs junction is ˜1 × 106 cm-2, as determined from cathodoluminescence measurements, highlighting the quality of the graded buffer. These material advances have enabled the performance of both junctions to reach over 80% of their Shockley-Queisser limiting efficiencies, with both the subcells demonstrating a bandgap-voltage offset, WOC (=Eg/q-VOC), of ˜0.39 V.

  13. High-efficiency inverted metamorphic 1.7/1.1 eV GaInAsP/GaInAs dual-junction solar cells

    DOE PAGES

    Jain, Nikhil; Schulte, Kevin L.; Geisz, John F.; ...

    2018-01-29

    Photovoltaic conversion efficiencies of 32.6 +/- 1.4% under the AM1.5 G173 global spectrum, and 35.5 +/- 1.2% at 38-suns concentration under the direct spectrum, are demonstrated for a monolithic, dual-junction 1.7/1.1 eV solar cell. The tandem cell consists of a 1.7 eV GaInAsP top-junction grown lattice-matched to a GaAs substrate, followed by a metamorphic 1.1 eV GaInAs junction grown on a transparent, compositionally graded metamorphic AlGaInAs buffer. This bandgap combination is much closer to the dual-junction optimum and offers headroom for absolute 3% improvement in efficiency, in comparison to the incumbent lattice-matched GaInP/GaAs (~1.86/1.41 eV) solar cells. The challenge ofmore » growing a high-quality 1.7 eV GaInAsP solar cell is the propensity for phase separation in the GaInAsP alloy. The challenge of lattice-mismatched GaInAs solar cell growth is that it requires minimizing the residual dislocation density during the growth of a transparent compositionally graded buffer to enable efficient metamorphic tandem cell integration. Transmission electron microscopy reveals relatively weak composition fluctuation present in the 1.7 eV GaInAsP alloy, attained through growth control. The threading dislocation density of the GaInAs junction is ~1 x 10^6 cm-2, as determined from cathodoluminescence measurements, highlighting the quality of the graded buffer. These material advances have enabled the performance of both junctions to reach over 80% of their Shockley-Queisser limiting efficiencies, with both the subcells demonstrating a bandgap-voltage offset, WOC (=Eg/q-VOC), of ~0.39 V.« less

  14. High-efficiency inverted metamorphic 1.7/1.1 eV GaInAsP/GaInAs dual-junction solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Nikhil; Schulte, Kevin L.; Geisz, John F.

    Photovoltaic conversion efficiencies of 32.6 +/- 1.4% under the AM1.5 G173 global spectrum, and 35.5 +/- 1.2% at 38-suns concentration under the direct spectrum, are demonstrated for a monolithic, dual-junction 1.7/1.1 eV solar cell. The tandem cell consists of a 1.7 eV GaInAsP top-junction grown lattice-matched to a GaAs substrate, followed by a metamorphic 1.1 eV GaInAs junction grown on a transparent, compositionally graded metamorphic AlGaInAs buffer. This bandgap combination is much closer to the dual-junction optimum and offers headroom for absolute 3% improvement in efficiency, in comparison to the incumbent lattice-matched GaInP/GaAs (~1.86/1.41 eV) solar cells. The challenge ofmore » growing a high-quality 1.7 eV GaInAsP solar cell is the propensity for phase separation in the GaInAsP alloy. The challenge of lattice-mismatched GaInAs solar cell growth is that it requires minimizing the residual dislocation density during the growth of a transparent compositionally graded buffer to enable efficient metamorphic tandem cell integration. Transmission electron microscopy reveals relatively weak composition fluctuation present in the 1.7 eV GaInAsP alloy, attained through growth control. The threading dislocation density of the GaInAs junction is ~1 x 10^6 cm-2, as determined from cathodoluminescence measurements, highlighting the quality of the graded buffer. These material advances have enabled the performance of both junctions to reach over 80% of their Shockley-Queisser limiting efficiencies, with both the subcells demonstrating a bandgap-voltage offset, WOC (=Eg/q-VOC), of ~0.39 V.« less

  15. Growth and characterization of AlGaN/GaN/AlGaN double-heterojunction high-electron-mobility transistors on 100-mm Si(111) using ammonia-molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@ntu.edu.sg; Yiding, Lin

    2015-01-14

    To improve the confinement of two-dimensional electron gas (2DEG) in AlGaN/GaN high electron mobility transistor (HEMT) heterostructures, AlGaN/GaN/AlGaN double heterojunction HEMT (DH-HEMT) heterostructures were grown using ammonia-MBE on 100-mm Si substrate. Prior to the growth, single heterojunction HEMT (SH-HEMT) and DH-HEMT heterostructures were simulated using Poisson-Schrödinger equations. From simulations, an AlGaN buffer with “Al” mole fraction of 10% in the DH-HEMT was identified to result in both higher 2DEG concentration (∼10{sup 13 }cm{sup −2}) and improved 2DEG confinement in the channel. Hence, this composition was considered for the growth of the buffer in the DH-HEMT heterostructure. Hall measurements showed a roommore » temperature 2DEG mobility of 1510 cm{sup 2}/V.s and a sheet carrier concentration (n{sub s}) of 0.97 × 10{sup 13 }cm{sup −2} for the DH-HEMT structure, while they are 1310 cm{sup 2}/V.s and 1.09 × 10{sup 13 }cm{sup −2}, respectively, for the SH-HEMT. Capacitance-voltage measurements confirmed the improvement in the confinement of 2DEG in the DH-HEMT heterostructure, which helped in the enhancement of its room temperature mobility. DH-HEMT showed 3 times higher buffer break-down voltage compared to SH-HEMT, while both devices showed almost similar drain current density. Small signal RF measurements on the DH-HEMT showed a unity current-gain cut-off frequency (f{sub T}) and maximum oscillation frequency (f{sub max}) of 22 and 25 GHz, respectively. Thus, overall, DH-HEMT heterostructure was found to be advantageous due to its higher buffer break-down voltages compared to SH-HEMT heterostructure.« less

  16. Growth and characterization of highly tensile strained Ge{sub 1−x}Sn{sub x} formed on relaxed In{sub y}Ga{sub 1−y}P buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Wei; D'Costa, Vijay Richard; Dong, Yuan

    2016-03-28

    Ge{sub 0.94}Sn{sub 0.06} films with high tensile strain were grown on strain-relaxed In{sub y}Ga{sub 1−y}P virtual substrates using solid-source molecular beam epitaxy. The in-plane tensile strain in the Ge{sub 0.94}Sn{sub 0.06} film was varied by changing the In mole fraction in In{sub x}Ga{sub 1−x}P buffer layer. The tensile strained Ge{sub 0.94}Sn{sub 0.06} films were investigated by transmission electron microscopy, x-ray diffraction, and Raman spectroscopy. An in-plane tensile strain of up to 1% in the Ge{sub 0.94}Sn{sub 0.06} was measured, which is much higher than that achieved using other buffer systems. Controlled thermal anneal experiment demonstrated that the strain was notmore » relaxed for temperatures up to 500 °C. The band alignment of the tensile strained Ge{sub 0.94}Sn{sub 0.06} on In{sub 0.77}Ga{sub 0.23}P was obtained by high resolution x-ray photoelectron spectroscopy. The Ge{sub 0.94}Sn{sub 0.06}/In{sub 0.77}Ga{sub 0.23}P interface was found to be of the type I band alignment, with a valence band offset of 0.31 ± 0.12 eV and a conduction band offset of 0.74 ± 0.12 eV.« less

  17. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    NASA Astrophysics Data System (ADS)

    Gao, Tao; Xu, Ruimin; Kong, Yuechan; Zhou, Jianjun; Kong, Cen; Dong, Xun; Chen, Tangsheng

    2015-06-01

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr0.52Ti0.48)-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (gm-Vg) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectric constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.

  18. Doped Y.sub.2O.sub.3 buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2007-08-21

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the metallic substrate, the biaxially textured buffer layer comprising Y.sub.2O.sub.3 and a dopant for blocking cation diffusion through the Y.sub.2O.sub.3, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  19. Comprehensive study of the electronic and optical behavior of highly degenerate p-type Mg-doped GaN and AlGaN

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Fabien, Chloe A. M.; Merola, Joseph J.; Clinton, Evan A.; Doolittle, W. Alan; Wang, Shuo; Fischer, Alec M.; Ponce, Fernando A.

    2015-01-01

    The bulk and 2-dimensional (2D) electrical transport properties of heavily Mg-doped p-type GaN films grown on AlN buffer layers by Metal Modulated Epitaxy are explored. Distinctions are made between three primary p-type conduction mechanisms: traditional valence band conduction, impurity band conduction, and 2D conduction within a 2D hole gas at a hetero-interface. The bulk and 2D contributions to the overall carrier transport are identified and the relative contributions are found to vary strongly with growth conditions. Films grown with III/V ratio less than 1.5 exhibit high hole concentrations exceeding 2 × 1019 cm-3 with effective acceptor activation energies of 51 meV. Films with III/V ratios greater than 1.5 exhibit lower overall hole concentrations and significant contributions from 2D transport at the hetero-interface. Films grown with III/V ratio of 1.2 and Mg concentrations exceeding 2 × 1020 cm-3 show no detectable inversion domains or Mg precipitation. Highly Mg-doped p-GaN and p-AlGaN with Al fractions up to 27% similarly exhibit hole concentrations exceeding 2 × 1019 cm-3. The p-GaN and p-Al0.11Ga0.89N films show broad ultraviolet (UV) photoluminescence peaks, which intercept the valence band, supporting the presence of a Mg acceptor band. Finally, a multi-quantum-well light-emitting diode (LED) and p-i-n diode are grown, both of which demonstrate rectifying behavior with turn-on voltages of 3-3.5 V and series resistances of 6-10 Ω without the need for any post-metallization annealing. The LED exhibits violet-blue luminescence at 425 nm, while the p-i-n diode shows UV luminescence at 381 nm, and both devices still show substantial light emission even when submerged in liquid nitrogen at 77 K.

  20. Impact of GaN cap on charges in Al₂O₃/(GaN/)AlGaN/GaN metal-oxide-semiconductor heterostructures analyzed by means of capacitance measurements and simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ťapajna, M., E-mail: milan.tapajna@savba.sk; Jurkovič, M.; Válik, L.

    2014-09-14

    Oxide/semiconductor interface trap density (D{sub it}) and net charge of Al₂O₃/(GaN)/AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor (MOS-HEMT) structures with and without GaN cap were comparatively analyzed using comprehensive capacitance measurements and simulations. D{sub it} distribution was determined in full band gap of the barrier using combination of three complementary capacitance techniques. A remarkably higher D{sub it} (∼5–8 × 10¹²eV⁻¹ cm⁻²) was found at trap energies ranging from E C-0.5 to 1 eV for structure with GaN cap compared to that (D{sub it} ∼ 2–3 × 10¹²eV⁻¹ cm⁻²) where the GaN cap was selectively etched away. D{sub it} distributions were then used for simulation of capacitance-voltage characteristics. A good agreement betweenmore » experimental and simulated capacitance-voltage characteristics affected by interface traps suggests (i) that very high D{sub it} (>10¹³eV⁻¹ cm⁻²) close to the barrier conduction band edge hampers accumulation of free electron in the barrier layer and (ii) the higher D{sub it} centered about E C-0.6 eV can solely account for the increased C-V hysteresis observed for MOS-HEMT structure with GaN cap. Analysis of the threshold voltage dependence on Al₂O₃ thickness for both MOS-HEMT structures suggests that (i) positive charge, which compensates the surface polarization, is not necessarily formed during the growth of III-N heterostructure, and (ii) its density is similar to the total surface polarization charge of the GaN/AlGaN barrier, rather than surface polarization of the top GaN layer only. Some constraints for the positive surface compensating charge are discussed.« less

  1. Rectification and Photoconduction Mapping of Axial Metal-Semiconductor Interfaces Embedded in GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, Marta; Piazza, Vincenzo; Rubini, Silvia; Roddaro, Stefano

    2015-10-01

    Semiconductor nanowires have emerged as an important enabling technology and are today used in many advanced device architectures, with an impact both for what concerns fundamental science and in view of future applications. One of the key challenges in the development of nanowire-based devices is the fabrication of reliable nanoscale contacts. Recent developments in the creation of metal-semiconductor junctions by thermal annealing of metallic electrodes offer promising perspectives. Here, we analyze the optoelectronic properties of nano-Schottky barriers obtained thanks to the controlled formation of metallic AuGa regions in GaAs nanowire. The junctions display a rectifying behavior and their transport characteristics are analyzed to extract the average ideality factor and barrier height in the current architecture. The presence, location, and properties of the Schottky junctions are cross-correlated with spatially resolved photocurrent measurements. Broadband light emission is reported in the reverse breakdown regime; this observation, combined with the absence of electroluminescence at forward bias, is consistent with the device unipolar nature.

  2. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  3. A comparative study of the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based solar cells with an indium sulfide buffer layer, partly submitted to wet chemical treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hönes, C., E-mail: christian.hoenes@de.bosch.com; Laboratory for Photovoltaics, University of Luxembourg, 41 rue du Brill, L-4422 Belvaux; Hackenberg, J.

    2015-03-07

    Indium sulfide thin films deposited via thermal evaporation from compound source material have been successfully utilized as a cadmium free buffer layer for Cu(In,Ga)Se{sub 2} based solar cells. However, high efficiencies are only reached after an additional annealing step. In this work, the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based indium sulfide buffered solar cells is compared to the annealing behavior of similar cells, which were submitted to wet chemical treatments partly containing cadmium ions. Upon annealing a significant improvement of the initial solar cell characteristics is observed for the untreated cell and is related to the increase of activation energymore » for the carrier recombination process and a decrease of the ideality factor within the one diode model. It is shown here that this improvement can also be achieved by wet treatments of the absorber prior to buffer layer deposition. Upon annealing these treated cells still gain in collection length but lose open circuit voltage, which is explained here within a model including a highly p-doped absorber surface layer and supported by simulations showing that a decrease in doping density of such a surface layer would lead to the observed effects.« less

  4. Investigation of 'surface donors' in Al2O3/AlGaN/GaN metal-oxide-semiconductor heterostructures: Correlation of electrical, structural, and chemical properties

    NASA Astrophysics Data System (ADS)

    Ťapajna, M.; Stoklas, R.; Gregušová, D.; Gucmann, F.; Hušeková, K.; Haščík, Š.; Fröhlich, K.; Tóth, L.; Pécz, B.; Brunner, F.; Kuzmík, J.

    2017-12-01

    III-N surface polarization compensating charge referred here to as 'surface donors' (SD) was analyzed in Al2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) heterojunctions using scaled oxide films grown by metal-organic chemical vapor deposition at 600 °C. We systematically investigated impact of HCl pre-treatment prior to oxide deposition and post-deposition annealing (PDA) at 700 °C. SD density was reduced down to 1.9 × 1013 cm-2 by skipping HCl pre-treatment step as compared to 3.3 × 1013 cm-2 for structures with HCl pre-treatment followed by PDA. The nature and origin of SD was then analyzed based on the correlation between electrical, micro-structural, and chemical properties of the Al2O3/GaN interfaces with different SD density (NSD). From the comparison between distributions of interface traps of MOS heterojunction with different NSD, it is demonstrated that SD cannot be attributed to interface trapped charge. Instead, variation in the integrity of the GaOx interlayer confirmed by X-ray photoelectron spectroscopy is well correlated with NSD, indicating SD may be formed by border traps at the Al2O3/GaOx interface.

  5. Self-organization of palladium nanoislands on GaN and AlxGa1-xN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Stafiniak, Andrzej; Szymański, Tomasz; Paszkiewicz, Regina

    2017-12-01

    We report on systematic study on the dewetting process of thin Pd layer and self-organized Pd nano-islands on SiO2, GaN and AlxGa1-xN/GaN heterostructures with various Al content. The influence of factors such as the thickness of metal layer, type of top layer of AlGaN/GaN heterostructures, temperature and time of annealing process on the dimensions, shapes and density of Pd islands was analyzed. Comparing the behavior of self-organization of Pd islands on Al0.25Ga0.75N/GaN and SiO2 we can conclude that solid-state dewetting process on SiO2 occures much faster than on Al0.25Ga0.75N. For substrates with SiO2 this process requires less energy and can arise for thicker layer. On the Al0.25Ga0.75N surface the islands take more crystalline shape which is probably due to surface reconstruction of Pd-Ga alloy thin layer on interface. For thin metal layer the coalescence of islands into larger islands similar to Ostwald ripening mechanism was observed. Greater surface roughness of AlxGa1-xN/GaN heterostructures with higher Al content causes an increase of surface density of islands and the reduction of their sizes which improves the roundness. In case of GaN and AlxGa1-xN layers with Al content lower than 20%, the surface degradation caused by annealing process was observed. Probably, this is due to the decomposition of layers with gallium droplet formation on catalytic metal islands.

  6. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    NASA Astrophysics Data System (ADS)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  7. A Comparative Study of AlGaN and InGaN Back-Barriers in Ultrathin-Barrier AlN/GaN Heterostructures

    NASA Astrophysics Data System (ADS)

    All Abbas, J. M.; Atmaca, G.; Narin, P.; Kutlu, E.; Sarikavak-Lisesivdin, B.; Lisesivdin, S. B.

    2017-08-01

    Investigations of the effects of back-barrier introduction on the two-dimensional electron gas (2DEG) of ultrathin-barrier AlN/GaN heterostructures with AlGaN and InGaN back-barriers are carried out using self-consistent solutions of 1-dimensional Schrödinger-Poisson equations. Inserted AlGaN and InGaN back-barriers are used to provide a good 2DEG confinement thanks to raising the conduction band edge of GaN buffer with respect to GaN channel layer. Therefore, in this paper the influence of these back-barrier layers on sheet carrier density, 2DEG confinement, and mobility are systematically and comparatively investigated. As a result of calculations, although sheet carrier density is found to decrease with InGaN back-barrier layer, it is not changed with AlGaN back-barrier layer for suggested optimise heterostructures. Obtained results can give some insights for further experimental studies.

  8. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Tao; Science and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing Electronic Devices Institute, Nanjing 210016; Xu, Ruimin

    2015-06-15

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr{sub 0.52}Ti{sub 0.48})-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (g{sub m}-V{sub g}) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectricmore » constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.« less

  9. Buffer layers for REBCO films for use in superconducting devices

    DOEpatents

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  10. Purification and labeling strategies for (68)Ga from (68)Ge/ (68)Ga generator eluate.

    PubMed

    Mueller, Dirk; Klette, Ingo; Baum, Richard P

    2013-01-01

    For successful labeling, (68)Ge/(68)Ga generator eluate has to be concentrated (from 10 mL or more to less than 1 mL) and to be purified of metallic impurities, especially Fe(III), and (68)Ge breakthrough. Anionic, cationic and fractional elution methods are well known. We describe two new methods: (1) a combined cationic-anionic purification and (2) an easy-to-use and reliable cationic purification with NaCl solution. Using the first method, (68)Ga from 10 mL generator eluate was collected on a SCX cartridge, then eluted with 1.0 mL 5.5 M HCl directly on an anion exchanger (30 mg AG1X8). After drying with a stream of helium, (68)Ga was eluted with 0.4 mL water into the reaction vial. We provide as an example labeling of BPAMD. Using the second method, (68)Ga from 10 mL generator eluate was collected on a SCX cartridge, then eluted with a hydrochloric solution of sodium chloride (0.5 mL 5 M NaCl, 12.5 μL 5.5 M HCl) into the reaction vial, containing 40 μg DOTATOC and 0.5 mL 1 M ammonium acetate buffer pH 4.5. After heating for 7 min at 90°C, the reaction was finished. Radiochemical purity was higher than 95% without further purification. No (68)Ge breakthrough was found in the final product.

  11. Properties of unrelaxed InAs{sub 1-X}Sb{sub X} alloys grown on compositionally graded buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenky, G.; Donetsky, D.; Kipshidze, G.

    Unrelaxed InAs{sub 1-x}Sb{sub x} layers with lattice constants up to 2.1% larger than that of GaSb substrates were grown by molecular beam epitaxy on GaInSb and AlGaInSb compositionally graded buffer layers. The topmost section of the buffers was unrelaxed but strained. The in-plane lattice constant of the top buffer layer was grown to be equal to the lattice constant of unrelaxed and unstrained InAs{sub 1-x}Sb{sub x} with given X. The InAs{sub 0.56}Sb{sub 0.44} layers demonstrate photoluminescence peak at 9.4 {mu}m at 150 K. The minority carrier lifetime measured at 77 K for InAs{sub 0.8}Sb{sub 0.2} was {tau} = 250 ns.

  12. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    PubMed

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  13. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  14. Enhancement of indium incorporation to InGaN MQWs on AlN/GaN periodic multilayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Hafiz, Shopan; Das, Saikat; Izyumskaya, Natalia; Özgür, Ümit; Morkoç, Hadis; Avrutin, Vitaliy

    2016-02-01

    The effect of compressive strain in buffer layer on strain relaxation and indium incorporation in InGaN multi-quantum wells (MQWs) is studied for two sets of samples grown side by side on both relaxed GaN layers and strained 10-pairs of AlN/GaN periodic multilayers. The 14-nm AlN layers were utilized in both multilayers, while GaN thickness was 4.5 and 2.5 nm in the first and the second set, respectively. The obtained results for the InGaN active layers on relaxed GaN and AlN/GaN periodic multilayers indicate enhanced indium incorporation for more relaxed InGaN active layers providing a variety of emission colors from purple to green.

  15. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  16. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  17. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    NASA Astrophysics Data System (ADS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  18. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  19. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  20. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  1. Electrically excited hot-electron dominated fluorescent emitters using individual Ga-doped ZnO microwires via metal quasiparticle film decoration.

    PubMed

    Liu, Yang; Jiang, Mingming; Zhang, Zhenzhong; Li, Binghui; Zhao, Haifeng; Shan, Chongxin; Shen, Dezhen

    2018-03-28

    The generation of hot electrons from metal nanostructures through plasmon decay provided a direct interfacial charge transfer mechanism, which no longer suffers from the barrier height restrictions observed for metal/semiconductor interfaces. Metal plasmon-mediated energy conversion with higher efficiency has been proposed as a promising alternative to construct novel optoelectronic devices, such as photodetectors, photovoltaic and photocatalytic devices, etc. However, the realization of the electrically-driven generation of hot electrons, and the application in light-emitting devices remain big challenges. Here, hybrid architectures comprising individual Ga-doped ZnO (ZnO:Ga) microwires via metal quasiparticle film decoration were fabricated. The hottest spots could be formed towards the center of the wires, and the quasiparticle films were converted into physically isolated nanoparticles by applying a bias onto the wires. Thus, the hot electrons became spatially localized towards the hottest regions, leading to a release of energy in the form of emitting photons. By adjusting the sputtering times and appropriate alloys, such as Au and Ag, wavelength-tunable emissions could be achieved. To exploit the EL emission characteristics, metal plasmons could be used as active elements to mediate the generation of hot electrons from metal nanostructures, which are located in the light-emitting regions, followed by injection into ZnO:Ga microwire-channels; thus, the production of plasmon decay-induced hot-electrons could function as an efficient approach to dominate emission wavelengths. Therefore, by introducing metal nanostructure decoration, individual ZnO:Ga microwires can be used to construct wavelength-tunable fluorescent emitters. The hybrid architectures of metal-ZnO micro/nanostructures offer a fantastic candidate to broaden the potential applications of semiconducting optoelectronic devices, such as photovoltaic devices, photodetectors, optoelectronic sensors, etc.

  2. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate

    PubMed Central

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-01-01

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm2 at the reverse bias of −1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface. PMID:25205042

  3. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate.

    PubMed

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-09-10

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n(+)-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm(2) at the reverse bias of -1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface.

  4. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  5. P-Channel InGaN/GaN heterostructure metal-oxide-semiconductor field effect transistor based on polarization-induced two-dimensional hole gas

    PubMed Central

    Zhang, Kexiong; Sumiya, Masatomo; Liao, Meiyong; Koide, Yasuo; Sang, Liwen

    2016-01-01

    The concept of p-channel InGaN/GaN heterostructure field effect transistor (FET) using a two-dimensional hole gas (2DHG) induced by polarization effect is demonstrated. The existence of 2DHG near the lower interface of InGaN/GaN heterostructure is verified by theoretical simulation and capacitance-voltage profiling. The metal-oxide-semiconductor FET (MOSFET) with Al2O3 gate dielectric shows a drain-source current density of 0.51 mA/mm at the gate voltage of −2 V and drain bias of −15 V, an ON/OFF ratio of two orders of magnitude and effective hole mobility of 10 cm2/Vs at room temperature. The normal operation of MOSFET without freeze-out at 8 K further proves that the p-channel behavior is originated from the polarization-induced 2DHG. PMID:27021054

  6. FIBER AND INTEGRATED OPTICS: Investigation of a fiber-optic polarizer with a metal film and a dielectric buffer layer

    NASA Astrophysics Data System (ADS)

    Gelikonov, V. M.; Gusovskiĭ, D. D.; Konoplev, Yu N.; Leonov, V. I.; Mamaev, Yu A.; Turkin, A. A.

    1990-01-01

    A model of a plane-layer waveguide is used in a theoretical analysis of the attenuation coefficients of the TM0 and TE0 waves in a fiber-optic polarizer with a metal film and two dielectric buffer layers, one of which is the residual part of the fiber cladding. A report is given of the construction and experimental investigation of polarizers with a buffer layer of magnesium fluoride and an aluminum film operating at wavelengths of 0.63 and 0.81 μm and characterized by extinction coefficients of at least 53 and 46 dB, respectively, and by losses not exceeding 0.5 dB.

  7. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    PubMed

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  8. Half-metallic ferromagnetism in {Ti}2 {IrZ} (Z = B, Al, Ga, and In) Heusler alloys: A density functional study

    NASA Astrophysics Data System (ADS)

    Sadeghi, K. H.; Ahmadian, F.

    2018-02-01

    The first-principle density functional theory (DFT) calculations were employed to investigate the electronic structures, magnetic properties and half-metallicity of {Ti}2 {IrZ} (Z = B, Al, Ga, and In) Heusler alloys with {AlCu}2 {Mn}- and {CuHg}2 {Ti}-type structures within local density approximation and generalised gradient approximation for the exchange correlation potential. It was found that {CuHg}2 {Ti}-type structure in ferromagnetic state was energetically more favourable than {AlCu}2 {Mn}-type structure in all compounds except {Ti}2 {IrB} which was stable in {AlCu}2 {Mn}-type structure in non-magnetic state. {Ti}2 {IrZ} (Z = B, Al, Ga, and In) alloys in {CuHg}2 {Ti}-type structure were half-metallic ferromagnets at their equilibrium lattice constants. Half-metallic band gaps were respectively equal to 0.87, 0.79, 0.75, and 0.73 eV for {Ti}2 {IrB}, {Ti}2 {IrAl}, {Ti}2 {IrGa}, and {Ti}2 {IrIn}. The origin of half-metallicity was discussed for {Ti}2 {IrGa} using the energy band structure. The total magnetic moments of {Ti}2 {IrZ} (Z = B, Al, Ga, and In) compounds in {CuHg}2 {Ti}-type structure were obtained as 2μ B per formula unit, which were in agreement with Slater-Pauling rule (M_{tot} =Z_{tot}-18). All the four compounds were half-metals in a wide range of lattice constants indicating that they may be suitable and promising materials for future spintronic applications.

  9. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    PubMed

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  10. Highly Transparent Compositionally Graded Buffers for New Metamorphic Multijunction Solar Cell Designs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; France, Ryan M.; Geisz, John F.

    The development of compositionally graded buffer layers (CGBs) with enhanced transparency would enable novel five and six junction solar cells, with efficiencies approaching 50% under high concentration. Here, we demonstrate highly transparent grades between the GaAs and InP lattice constants on both A- and B-miscut GaAs substrates, employing Al xGayIn 1-x-yAs and highly Se-doped Burstein-Moss (BM) shifted Ga xIn 1-xP. Transparency to >810 and >890 nm wavelengths is demonstrated with BM-shifted Ga xIn 1-xP on B-miscut substrates and Al xGayIn 1-x-yAs/Ga xIn 1-xP(Se) combined grades on A-miscut substrates, respectively. 0.74 eV GaInAs solar cells grown on these transparent CGBs exhibitmore » Woc = 0.41 V at mA/ cm 2, performance comparable with the state-of-the-art Ga xIn 1-xP grade employed in the four-junction-inverted metamorphic multijunction (IMM) cell. A GaAs/0.74cV GaInAs tandem cell was grown with a transparent BM-shifted Ga xIn 1-xP CGB to verify the CGB performance in a multijunction device structure. Quantum efficiency measurements indicate that the CGB is completely transparent to photons below the GaAs bandedge, validating its use in 4-6 junction IMM devices with a single-graded buffer. Furthermore, this tandem represents a highly efficient two-junction band gap combination, achieving 29.6% ± 1.2% efficiency under the AM1.5 global spectrum, demonstrating how the additional transparency enables new device structures.« less

  11. Highly Transparent Compositionally Graded Buffers for New Metamorphic Multijunction Solar Cell Designs

    DOE PAGES

    Schulte, Kevin L.; France, Ryan M.; Geisz, John F.

    2016-11-11

    The development of compositionally graded buffer layers (CGBs) with enhanced transparency would enable novel five and six junction solar cells, with efficiencies approaching 50% under high concentration. Here, we demonstrate highly transparent grades between the GaAs and InP lattice constants on both A- and B-miscut GaAs substrates, employing Al xGayIn 1-x-yAs and highly Se-doped Burstein-Moss (BM) shifted Ga xIn 1-xP. Transparency to >810 and >890 nm wavelengths is demonstrated with BM-shifted Ga xIn 1-xP on B-miscut substrates and Al xGayIn 1-x-yAs/Ga xIn 1-xP(Se) combined grades on A-miscut substrates, respectively. 0.74 eV GaInAs solar cells grown on these transparent CGBs exhibitmore » Woc = 0.41 V at mA/ cm 2, performance comparable with the state-of-the-art Ga xIn 1-xP grade employed in the four-junction-inverted metamorphic multijunction (IMM) cell. A GaAs/0.74cV GaInAs tandem cell was grown with a transparent BM-shifted Ga xIn 1-xP CGB to verify the CGB performance in a multijunction device structure. Quantum efficiency measurements indicate that the CGB is completely transparent to photons below the GaAs bandedge, validating its use in 4-6 junction IMM devices with a single-graded buffer. Furthermore, this tandem represents a highly efficient two-junction band gap combination, achieving 29.6% ± 1.2% efficiency under the AM1.5 global spectrum, demonstrating how the additional transparency enables new device structures.« less

  12. Electrical characteristics and thermal stability of HfO{sub 2} metal-oxide-semiconductor capacitors fabricated on clean reconstructed GaSb surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyata, Noriyuki, E-mail: nori.miyata@aist.go.jp; Mori, Takahiro; Yasuda, Tetsuji

    2014-06-09

    HfO{sub 2}/GaSb interfaces fabricated by high-vacuum HfO{sub 2} deposition on clean reconstructed GaSb surfaces were examined to explore a thermally stable GaSb metal-oxide-semiconductor structure with low interface-state density (D{sub it}). Interface Sb-O bonds were electrically and thermally unstable, and post-metallization annealing at temperatures higher than 200 °C was required to stabilize the HfO{sub 2}/GaSb interfaces. However, the annealing led to large D{sub it} in the upper-half band gap. We propose that the decomposition products that are associated with elemental Sb atoms act as interface states, since a clear correlation between the D{sub it} and the Sb coverage on the initial GaSbmore » surfaces was observed.« less

  13. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate

    PubMed Central

    2013-01-01

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques. PMID:23448090

  14. Fabrication and properties of ZnO/GaN heterostructure nanocolumnar thin film on Si (111) substrate.

    PubMed

    Wei, Xianqi; Zhao, Ranran; Shao, Minghui; Xu, Xijin; Huang, Jinzhao

    2013-02-28

    Zinc oxide thin films have been obtained on bare and GaN buffer layer decorated Si (111) substrates by pulsed laser deposition (PLD), respectively. GaN buffer layer was achieved by a two-step method. The structure, surface morphology, composition, and optical properties of these thin films were investigated by X-ray diffraction, field emission scanning electron microscopy, infrared absorption spectra, and photoluminiscence (PL) spectra, respectively. Scanning electron microscopy images indicate that the flower-like grains were presented on the surface of ZnO thin films grown on GaN/Si (111) substrate, while the ZnO thin films grown on Si (111) substrate show the morphology of inclination column. PL spectrum reveals that the ultraviolet emission efficiency of ZnO thin film on GaN buffer layer is high, and the defect emission of ZnO thin film derived from Zni and Vo is low. The results demonstrate that the existence of GaN buffer layer can greatly improve the ZnO thin film on the Si (111) substrate by PLD techniques.

  15. General control of transition-metal-doped GaN nanowire growth: toward understanding the mechanism of dopant incorporation.

    PubMed

    Stamplecoskie, Kevin G; Ju, Ling; Farvid, Shokouh S; Radovanovic, Pavle V

    2008-09-01

    We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.

  16. Transition metal doping of GaSe implemented with low temperature liquid phase growth

    NASA Astrophysics Data System (ADS)

    Lei, Nuo; Sato, Youhei; Tanabe, Tadao; Maeda, Kensaku; Oyama, Yutaka

    2017-02-01

    Our group works on improving the conversion efficiencies of terahertz (THz) wave generation using GaSe crystals. The operating principle is based on difference frequency generation (DFG) which has the advantages such as high output power, a single tunable frequency, and room temperature operation. In this study, GaSe crystals were grown by the temperature difference method under controlled vapor pressure (TDM-CVP). It is a liquid phase growth method with temperature 300 °C lower than that of the Bridgman method. Using this method, the point defects concentration is decreased and the polytype can be controlled. The transition metal Ti was used to dope the GaSe in order to suppress free carrier absorption in the low frequency THz region. As a result, a deep acceptor level of 38 meV was confirmed as being formed in GaSe with 1.4 at% Ti doping. Compared with undoped GaSe, a decrease in carrier concentration ( 1014 cm-3) at room temperature was also confirmed. THz wave transmittance measurements reveal the tendency for the absorption coefficient to increase as the amount of dopant is increased. It is expected that there is an optimum amount of dopant.

  17. Origins of interlayer formation and misfit dislocation displacement in the vicinity of InAs/GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, S.; Kim, S. J.; Pan, X. Q.

    We have examined the origins of interlayer formation and misfit dislocation (MD) displacement in the vicinity of InAs/GaAs quantum dots (QDs). For QDs formed by the Stranski-Krastanov mode, regularly spaced MDs nucleate at the interface between the QD and the GaAs buffer layer. In the droplet epitaxy case, both In island formation and In-induced “nano-drilling” of the GaAs buffer layer are observed during In deposition. Upon annealing under As flux, the In islands are converted to InAs QDs, with an InGaAs interlayer at the QD/buffer interface. Meanwhile, MDs nucleate at the QD/interlayer interface.

  18. Complexation of buffer constituents with neutral complexation agents: part I. Impact on common buffer properties.

    PubMed

    Riesová, Martina; Svobodová, Jana; Tošner, Zdeněk; Beneš, Martin; Tesařová, Eva; Gaš, Bohuslav

    2013-09-17

    The complexation of buffer constituents with the complexation agent present in the solution can very significantly influence the buffer properties, such as pH, ionic strength, or conductivity. These parameters are often crucial for selection of the separation conditions in capillary electrophoresis or high-pressure liquid chromatography (HPLC) and can significantly affect results of separation, particularly for capillary electrophoresis as shown in Part II of this paper series (Beneš, M.; Riesová, M.; Svobodová, J.; Tesařová, E.; Dubský, P.; Gaš, B. Anal. Chem. 2013, DOI: 10.1021/ac401381d). In this paper, the impact of complexation of buffer constituents with a neutral complexation agent is demonstrated theoretically as well as experimentally for the model buffer system composed of benzoic acid/LiOH or common buffers (e.g., CHES/LiOH, TAPS/LiOH, Tricine/LiOH, MOPS/LiOH, MES/LiOH, and acetic acid/LiOH). Cyclodextrins as common chiral selectors were used as model complexation agents. We were not only able to demonstrate substantial changes of pH but also to predict the general complexation characteristics of selected compounds. Because of the zwitterion character of the common buffer constituents, their charged forms complex stronger with cyclodextrins than the neutral ones do. This was fully proven by NMR measurements. Additionally complexation constants of both forms of selected compounds were determined by NMR and affinity capillary electrophoresis with a very good agreement of obtained values. These data were advantageously used for the theoretical descriptions of variations in pH, depending on the composition and concentration of the buffer. Theoretical predictions were shown to be a useful tool for deriving some general rules and laws for complexing systems.

  19. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  20. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-10-05

    An article including a substrate, at least one intermediate layer upon the surface of the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the at least one intermediate layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected I.sub.c 's of over 200 Amperes across a sample 1 cm wide.

  1. Buffer architecture for biaxially textured structures and method of fabricating same

    DOEpatents

    Norton, David P.; Park, Chan; Goyal, Amit

    2004-04-06

    The invention relates to an article with an improved buffer layer architecture comprising a substrate having a metal surface, and an epitaxial buffer layer on the surface of the substrate. The epitaxial buffer layer comprises at least one of the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of ZrO.sub.2 and/or HfO.sub.2. The article can also include a superconducting layer deposited on the epitaxial buffer layer. The article can also include an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article comprises providing a substrate with a metal surface, depositing on the metal surface an epitaxial buffer layer comprising at least one material selected from the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of at least one of ZrO.sub.2 and HfO.sub.2. The epitaxial layer depositing step occurs in a vacuum with a background pressure of no more than 1.times.10.sup.-5 Torr. The method can further comprise depositing a superconducting layer on the epitaxial layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  2. Improving the ohmic properties of contacts to P-GaN by adding p-type dopants into the metallization layer

    NASA Astrophysics Data System (ADS)

    Liday, Jozef; Vogrinčič, Peter; Vincze, Andrej; Breza, Juraj; Hotový, Ivan

    2012-12-01

    The work investigates an increase of the density of free charge carriers in the sub-surface region of p-GaN by adding p-type dopants into the Ni-O layer of an Au/Ni-O metallization structure. We have examined electrical properties and concentration depth profiles of contact structures Au/Ni-Mg-O/p-GaN and Au/Ni-Zn-O/p-GaN, thus with magnesium and zinc as p-type dopants. The metallization layers were deposited on p-GaN by DC reactive magnetron sputtering in an atmosphere with a low concentration of oxygen (0.2 at%). The contacts were annealed in N2 . We have found that the structures containing magnesium or zinc exhibit lower values of contact resistivity in comparison with otherwise identical contacts without Mg or Zn dopants. In our opinion, the lower values of contact resistivity of the structures containing of Mg or Zn are caused by an increased density of holes in the sub-surface region of p-GaN due to diffusion of Mg or Zn from the deposited doped contact layers.

  3. GaN-based vertical-cavity surface-emitting lasers with tunnel junction contacts grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2018-06-01

    We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.

  4. Effects of Ga substitution on the structural and magnetic properties of half metallic Fe2MnSi Heusler compound

    NASA Astrophysics Data System (ADS)

    Pedro, S. S.; Caraballo Vivas, R. J.; Andrade, V. M.; Cruz, C.; Paixão, L. S.; Contreras, C.; Costa-Soares, T.; Caldeira, L.; Coelho, A. A.; Carvalho, A. Magnus G.; Rocco, D. L.; Reis, M. S.

    2015-01-01

    The so-called half-metallic magnets have been proposed as good candidates for spintronic applications due to the feature of exhibiting a hundred percent spin polarization at the Fermi level. Such materials follow the Slater-Pauling rule, which relates the magnetic moment with the valence electrons in the system. In this paper, we study the bulk polycrystalline half-metallic Fe2MnSi Heusler compound replacing Si by Ga to determine how the Ga addition changes the magnetic, the structural, and the half-metal properties of this compound. The material does not follow the Slater-Pauling rule, probably due to a minor structural disorder degree in the system, but a linear dependence on the magnetic transition temperature with the valence electron number points to the half-metallic behavior of this compound.

  5. Low-threshold voltage ultraviolet light-emitting diodes based on (Al,Ga)N metal-insulator-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Liang, Yu-Han; Towe, Elias

    2017-12-01

    Al-rich III-nitride-based deep-ultraviolet (UV) (275-320 nm) light-emitting diodes are plagued with a low emission efficiency and high turn-on voltages. We report Al-rich (Al,Ga)N metal-insulator-semiconductor UV light-emitting Schottky diodes with low turn-on voltages of <3 V, which are about half those of typical (Al,Ga)N p-i-n diodes. Our devices use a thin AlN film as the insulator and an n-type Al0.58Ga0.42N film as the semiconductor. To improve the efficiency, we inserted a GaN quantum-well structure between the AlN insulator and the n-type Al x Ga1- x N semiconductor. The benefits of the quantum-well structure include the potential to tune the emission wavelength and the capability to confine carriers for more efficient radiative recombination.

  6. Comprehensive study of the electronic and optical behavior of highly degenerate p-type Mg-doped GaN and AlGaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, BP; Fabien, CAM; Merola, JJ

    2015-01-28

    The bulk and 2-dimensional (2D) electrical transport properties of heavily Mg-doped p-type GaN films grown on AlN buffer layers by Metal Modulated Epitaxy are explored. Distinctions are made between three primary p-type conduction mechanisms: traditional valence band conduction, impurity band conduction, and 2D conduction within a 2D hole gas at a hetero-interface. The bulk and 2D contributions to the overall carrier transport are identified and the relative contributions are found to vary strongly with growth conditions. Films grown with III/V ratio less than 1.5 exhibit high hole concentrations exceeding 2 x 10(19) cm(-3) with effective acceptor activation energies of 51more » meV. Films with III/V ratios greater than 1.5 exhibit lower overall hole concentrations and significant contributions from 2D transport at the hetero-interface. Films grown with III/V ratio of 1.2 and Mg concentrations exceeding 2 x 10(20) cm(-3) show no detectable inversion domains or Mg precipitation. Highly Mg-doped p-GaN and p-AlGaN with Al fractions up to 27% similarly exhibit hole concentrations exceeding 2 x 10(19) cm(-3). The p-GaN and p-Al0.11Ga0.89N films show broad ultraviolet (UV) photoluminescence peaks, which intercept the valence band, supporting the presence of a Mg acceptor band. Finally, a multi-quantum-well light-emitting diode (LED) and p-i-n diode are grown, both of which demonstrate rectifying behavior with turn-on voltages of 3-3.5V and series resistances of 6-10 Omega without the need for any post-metallization annealing. The LED exhibits violet-blue luminescence at 425 nm, while the p-i-n diode shows UV luminescence at 381 nm, and both devices still show substantial light emission even when submerged in liquid nitrogen at 77 K. (C) 2015 AIP Publishing LLC.« less

  7. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  8. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-06-29

    An article including a substrate, a layer of an inert oxide material upon the surface of the substrate, a layer of an amorphous oxide or oxynitride material upon the inert oxide material layer, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the amorphous oxide material layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected IC's of over 200 Amperes across a sample 1 cm wide.

  9. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    PubMed

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  10. Characterization and Modeling Analysis for Metal-Semiconductor-Metal GaAs Diodes with Pd/SiO2 Mixture Electrode

    PubMed Central

    Tan, Shih-Wei; Lai, Shih-Wen

    2012-01-01

    Characterization and modeling of metal-semiconductor-metal (MSM) GaAs diodes using to evaporate SiO2 and Pd simultaneously as a mixture electrode (called M-MSM diodes) compared with similar to evaporate Pd as the electrode (called Pd-MSM diodes) were reported. The barrier height (φ b) and the Richardson constant (A*) were carried out for the thermionic-emission process to describe well the current transport for Pd-MSM diodes in the consideration of the carrier over the metal-semiconductor barrier. In addition, in the consideration of the carrier over both the metal-semiconductor barrier and the insulator-semiconductor barrier simultaneously, thus the thermionic-emission process can be used to describe well the current transport for M-MSM diodes. Furthermore, in the higher applied voltage, the carrier recombination will be taken into discussion. Besides, a composite-current (CC) model is developed to evidence the concepts. Our calculated results are in good agreement with the experimental ones. PMID:23226352

  11. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  12. Nanostructured GaAs solar cells via metal-assisted chemical etching of emitter layers.

    PubMed

    Song, Yunwon; Choi, Keorock; Jun, Dong-Hwan; Oh, Jungwoo

    2017-10-02

    GaAs solar cells with nanostructured emitter layers were fabricated via metal-assisted chemical etching. Au nanoparticles produced via thermal treatment of Au thin films were used as etch catalysts to texture an emitter surface with nanohole structures. Epi-wafers with emitter layers 0.5, 1.0, and 1.5 um in thickness were directly textured and a window layer removal process was performed before metal catalyst deposition. A nanohole-textured emitter layer provides effective light trapping capabilities, reducing the surface reflection of a textured solar cell by 11.0%. However, because the nanostructures have high surface area to volume ratios and large numbers of defects, various photovoltaic properties were diminished by high recombination losses. Thus, we have studied the application of nanohole structures to GaAs emitter solar cells and investigated the cells' antireflection and photovoltaic properties as a function of the nanohole structure and emitter thickness. Due to decreased surface reflection and improved shunt resistance, the solar cell efficiency increased from 4.25% for non-textured solar cells to 7.15% for solar cells textured for 5 min.

  13. Influence of buffer-layer construction and substrate orientation on the electron mobilities in metamorphic In{sup 0.70}Al{sup 0.30}As/In{sup 0.76}Ga{sup 0.24}As/In{sup 0.70}Al{sup 0.30}As structures on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulbachinskii, V. A., E-mail: kulb@mig.phys.msu.ru; Oveshnikov, L. N.; Lunin, R. A.

    The influence of construction of the buffer layer and misorientation of the substrate on the electrical properties of In{sup 0.70}Al{sup 0.30}As/In{sup 0.76}Ga{sup 0.24}As/In{sup 0.70}Al{sup 0.30}As quantum wells on a GaAs substrate is studied. The temperature dependences (in the temperature range of 4.2 K < T < 300 K) and field dependences (in magnetic fields as high as 6 T) of the sample resistances are measured. Anisotropy of the resistances in different crystallographic directions is detected; this anisotropy depends on the substrate orientation and construction of the metamorphic buffer layer. In addition, the Hall effect and the Shubnikov–de Haas effect aremore » studied. The Shubnikov–de Haas effect is used to determine the mobilities of electrons separately in several occupied dimensionally quantized subbands in different crystallographic directions. The calculated anisotropy of mobilities is in agreement with experimental data on the anisotropy of the resistances.« less

  14. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  15. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  16. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer

    NASA Astrophysics Data System (ADS)

    Wu, Chenping; Soomro, Abdul Majid; Sun, Feipeng; Wang, Huachun; Huang, Youyang; Wu, Jiejun; Liu, Chuan; Yang, Xiaodong; Gao, Na; Chen, Xiaohong; Kang, Junyong; Cai, Duanjun

    2016-10-01

    Hexagonal boron nitride (h-BN) is known as promising 2D material with a wide band-gap (~6 eV). However, the growth size of h-BN film is strongly limited by the size of reaction chamber. Here, we demonstrate the large-roll synthesis of monolayer and controllable sub-monolayer h-BN film on wound Cu foil by low pressure chemical vapor deposition (LPCVD) method. By winding the Cu foil substrate into mainspring shape supported by a multi-prong quartz fork, the reactor size limit could be overcome by extending the substrate area to a continuous 2D curl of plane inward. An extremely large-size monolayer h-BN film has been achieved over 25 inches in a 1.2” tube. The optical band gap of h-BN monolayer was determined to be 6.0 eV. The h-BN film was uniformly transferred onto 2” GaN or 4” Si wafer surfaces as a release buffer layer. By HVPE method, overgrowth of thick GaN wafer over 200 μm has been achieved free of residual strain, which could provide high quality homo-epitaxial substrate.

  17. Substrate-Free InGaN/GaN Nanowire Light-Emitting Diodes.

    PubMed

    Neplokh, Vladimir; Messanvi, Agnes; Zhang, Hezhi; Julien, Francois H; Babichev, Andrey; Eymery, Joel; Durand, Christophe; Tchernycheva, Maria

    2015-12-01

    We report on the demonstration of substrate-free nanowire/polydimethylsiloxane (PDMS) membrane light-emitting diodes (LEDs). Metal-organic vapour-phase epitaxy (MOVPE)-grown InGaN/GaN core-shell nanowires were encapsulated into PDMS layer. After metal deposition to p-GaN, a thick PDMS cap layer was spin-coated and the membrane was manually peeled from the sapphire substrate, flipped upside down onto a steel holder, and transparent indium tin oxide (ITO) contact to n-GaN was deposited. The fabricated LEDs demonstrate rectifying diode characteristics. For the electroluminescence (EL) measurements, the samples were manually bonded using silver paint. The EL spectra measured at different applied voltages demonstrate a blue shift with the current increase. This shift is explained by the current injection into the InGaN areas of the active region with different average indium content.

  18. Investigation of half-metallic ferromagnetism in Heusler compounds Co2VZ (Z = Ga, Ge, As, Se)

    NASA Astrophysics Data System (ADS)

    Han, Jiajia; Wang, Zhengwei; Xu, Weiwei; Wang, Cuiping; Liu, Xingjun

    2017-11-01

    The electronic structures and magnetic properties of 3d transition metal-based full Heusler compounds Co2VZ (Z = Ga, Ge, As, Se) are investigated using the projector augmented wave (PAW) pseudopotential method. By considering the strong localization of Co 3d-states and V 3d-states at the Fermi level, these Co2VZ (Z = Ga, Ge, As, Se) compounds were treated in the framework of the generalized gradient approximation (GGA)+U method, and the results from the conventional GGA method are presented for comparison. The results that were obtained from the density of states with the GGA+U and GGA methods show that the Co2VGa compound is a half-metallic ferromagnet. For the Co2VGe and Co2VAs compounds, the GGA+U method predicts that these two compounds are half-metallic ferromagnetic by shifting the Fermi level to a lower value with respect to the gap in the minority states, when compared to the conventional GGA method. The energy gaps are determined to be 0.283 eV and 0.425 eV, respectively. However, these results show that the density of states of the Co2VSe compound has a metallic character, although the 3d states were corrected when using the GGA+U method. We found that the characteristic of half-metallic ferromagnetism is attributed to the interaction between the V 3d-states other than Co 3d-states. The calculated total magnetic moments are 2.046 μB, 3.054 μB and 4.012 μB respectively for the Co2VZ (Z = Ga, Ge, As) compounds with the GGA+U method. The relationship between total spin magnetic moment per formula unit and total number of valence electrons of these Heusler compounds is in agreement with the Slater-Pauling rule.

  19. Low-frequency noise in AlN/AlGaN/GaN metal-insulator-semiconductor devices: A comparison with Schottky devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le, Son Phuong; Nguyen, Tuan Quy; Shih, Hong-An

    2014-08-07

    We have systematically investigated low-frequency noise (LFN) in AlN/AlGaN/GaN metal-insulator-semiconductor (MIS) devices, where the AlN gate insulator layer was sputtering-deposited on the AlGaN surface, in comparison with LFN in AlGaN/GaN Schottky devices. By measuring LFN in ungated two-terminal devices and heterojunction field-effect transistors (HFETs), we extracted LFN characteristics in the intrinsic gated region of the HFETs. Although there is a bias regime of the Schottky-HFETs in which LFN is dominated by the gate leakage current, LFN in the MIS-HFETs is always dominated by only the channel current. Analyzing the channel-current-dominated LFN, we obtained Hooge parameters α for the gated regionmore » as a function of the sheet electron concentration n{sub s} under the gate. In a regime of small n{sub s}, both the MIS- and Schottky-HFETs exhibit α∝n{sub s}{sup −1}. On the other hand, in a middle n{sub s} regime of the MIS-HFETs, α decreases rapidly like n{sub s}{sup −ξ} with ξ ∼ 2-3, which is not observed for the Schottky-HFETs. In addition, we observe strong increase in α∝n{sub s}{sup 3} in a large n{sub s} regime for both the MIS- and Schottky-HFETs.« less

  20. MOVPE growth of Ga(PBi) on GaP and GaP on Si with Bi fractions up to 8%

    NASA Astrophysics Data System (ADS)

    Nattermann, L.; Beyer, A.; Ludewig, P.; Hepp, T.; Sterzer, E.; Volz, K.

    2017-04-01

    Dilute bismide containing materials can play an important role in addressing the issue of finding new highly efficient lasers for telecommunications as well as sensing applications. In the last several years a growing body of literature has emerged, particularly on the growth of Ga(AsBi). However, the metal organic vapor phase epitaxy growth of Ga(AsBi) with high amounts of Bi, which are necessary to overcome Auger recombination and reach telecommunications wavelengths, still remains a challenge. Ga(PBi) could be a promising alternative, but has not been deposited with significant amounts of Bi so far. A second argument for Ga(PBi) is that it could be grown on GaP, which was already deposited on Si. A number of researchers have reported theoretical calculations on the band structure of Ga(PBi), but experimental results are still lacking. In this work we present the first Ga(PBi) structures, grown by metal organic vapor phase epitaxy on GaP and on GaP on Si. By careful characterization with high resolution X-ray diffraction, atomic force microscopy, secondary ion mass spectrometry and scanning transmission electron microscopy, we will show that we have realized high quality Ga(PBi) with Bi fractions over 8%.

  1. PNacPNacE: (E = Ga, In, Tl) - monomeric group 13 metal(i) heterocycles stabilized by a sterically demanding bis(iminophosphoranyl)methanide.

    PubMed

    Sindlinger, Christian P; Lawrence, Samuel R; Acharya, Shravan; Ohlin, C André; Stasch, Andreas

    2017-12-12

    The salt metathesis reaction of the sterically demanding bis(iminophosphoranyl)methanide alkali metal complexes LM (L - = HC(Ph 2 P[double bond, length as m-dash]NDip) 2 - , Dip = 2,6- i Pr 2 C 6 H 3 ; M = Li, Na, K) with "GaI", InBr or TlBr afforded the monomeric group 13 metal(i) complexes LE:, E = Ga (1), In (2) and Tl (3) in moderate yields, and small quantities of LGaI 2 4 in the case of Ga, respectively. The molecular structures of LE: 1-3 from X-ray single crystal diffraction show them to contain puckered six-membered rings with N,N'-chelating methanide ligands and two-coordinated metal(i) centres. Reduction reactions of LAlI 2 5, prepared by iodination of LAlMe 2 , were not successful and no aluminium(i) congener could be prepared so far. DFT studies on LE:, E = Al-Tl, were carried out and support the formulation as an anionic, N,N'-chelating methanide ligand coordinating to group 13 metal(i) cations. The HOMOs of the molecules for E = Al-In show a dominant contribution from a metal-based lone pair that is high in s-character.

  2. Buffering the buffer

    Treesearch

    Leslie M. Reid; Sue Hilton

    1998-01-01

    Riparian buffer strips are a widely accepted tool for helping to sustain aquatic ecosystems and to protect downstream resources and values in forested areas, but controversy persists over how wide a buffer strip is necessary. The physical integrity of stream channels is expected to be sustained if the characteristics and rates of tree fall along buffered reaches are...

  3. Rare-metal-free high-performance Ga-Sn-O thin film transistor

    NASA Astrophysics Data System (ADS)

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-03-01

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm2/Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds.

  4. Rare-metal-free high-performance Ga-Sn-O thin film transistor

    PubMed Central

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-01-01

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm2/Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds. PMID:28290547

  5. Rare-metal-free high-performance Ga-Sn-O thin film transistor.

    PubMed

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-03-14

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm 2 /Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds.

  6. Trans-Metal-Trapping Meets Frustrated-Lewis-Pair Chemistry: Ga(CH2SiMe3)3-Induced C–H Functionalizations

    PubMed Central

    2017-01-01

    Merging two topical themes in main-group chemistry, namely, cooperative bimetallics and frustrated-Lewis-pair (FLP) activity, this Forum Article focuses on the cooperativity-induced outcomes observed when the tris(alkyl)gallium compound GaR3 (R = CH2SiMe3) is paired with the lithium amide LiTMP (TMP = 2,2,6,6-tetramethylpiperidide) or the sterically hindered N-heterocyclic carbene (NHC) 1,3-bis(tert-butyl)imidazol-2-ylidene (ItBu). When some previously published work are drawn together with new results, unique tandem reactivities are presented that are driven by the steric mismatch between the individual reagents of these multicomponent reagents. Thus, the LiTMP/GaR3 combination, which on its own fails to form a cocomplex, functions as a highly regioselective base (LiTMP)/trap (GaR3) partnership for the metalation of N-heterocycles such as diazines, 1,3-benzoazoles, and 2-picolines in a trans-metal-trapping (TMT) process that stabilizes the emerging sensitive carbanions. Taking advantage of related steric incompatibility, a novel monometallic FLP system pairing GaR3 with ItBu has been developed for the activation of carbonyl compounds (via C=O insertion) and other molecules with acidic hydrogen atoms such as phenol and phenylacetylene. Shedding new light on how these non-cocomplexing partnerships operate and showcasing the potential of gallium reagents to engage in metalation reactions or FLP activations, areas where the use of this group 13 metal is scant, this Forum Article aims to stimulate more interest and activity toward the advancement of organogallium chemistry. PMID:28485929

  7. Study on high breakdown voltage GaN-based vertical field effect transistor with interfacial charge engineering for power applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Liu, Yong; Bai, Zhiyuan; Jiang, Zhiguang; Liu, Yang; Yu, Qi

    2017-11-01

    A high voltage GaN-based vertical field effect transistor with interfacial charge engineering (GaN ICE-VFET) is proposed and its breakdown mechanism is presented. This vertical FET features oxide trenches which show a fixed negative charge at the oxide/GaN interface. In the off-state, firstly, the trench oxide layer acts as a field plate; secondly, the n-GaN buffer layer is inverted along the oxide/GaN interface and thus a vertical hole layer is formed, which acts as a virtual p-pillar and laterally depletes the n-buffer pillar. Both of them modulate electric field distribution in the device and significantly increase the breakdown voltage (BV). Compared with a conventional GaN vertical FET, the BV of GaN ICE-VFET is increased from 1148 V to 4153 V with the same buffer thickness of 20 μm. Furthermore, the proposed device achieves a great improvement in the tradeoff between BV and on-resistance; and its figure of merit even exceeds the GaN one-dimensional limit.

  8. Influence of dislocations on indium diffusion in semi-polar InGaN/GaN heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Yao; National Institute for Materials Science, Tsukuba, Ibaraki 305-0044; Sun, Huabin

    2015-05-15

    The spatial distribution of indium composition in InGaN/GaN heterostructure is a critical topic for modulating the wavelength of light emitting diodes. In this letter, semi-polar InGaN/GaN heterostructure stripes were fabricated on patterned GaN/Sapphire substrates by epitaxial lateral overgrowth (ELO), and the spatial distribution of indium composition in the InGaN layer was characterized by using cathodoluminescence. It is found that the indium composition is mainly controlled by the diffusion behaviors of metal atoms (In and Ga) on the surface. The diffusivity of metal atoms decreases sharply as migrating to the region with a high density of dislocations and other defects, whichmore » influences the distribution of indium composition evidently. Our work is beneficial for the understanding of ELO process and the further development of InGaN/GaN heterostructure based devices.« less

  9. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  10. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  11. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  12. Responsivity drop due to conductance modulation in GaN metal-semiconductor-metal Schottky based UV photodetectors on Si(111)

    NASA Astrophysics Data System (ADS)

    Ravikiran, L.; Radhakrishnan, K.; Dharmarasu, N.; Agrawal, M.; Wang, Zilong; Bruno, Annalisa; Soci, Cesare; Lihuang, Tng; Kian Siong, Ang

    2016-09-01

    GaN Schottky metal-semiconductor-metal (MSM) UV photodetectors were fabricated on a 600 nm thick GaN layer, grown on 100 mm Si (111) substrate using an ammonia-MBE growth technique. In this report, the effect of device dimensions, applied bias and input power on the linearity of the GaN Schottky-based MSM photodetectors on Si substrate were investigated. Devices with larger interdigitated spacing, ‘S’ of 9.0 μm between the fingers resulted in good linearity and flat responsivity characteristics as a function of input power with an external quantum efficiency (EQE) of ˜33% at an applied bias of 15 V and an input power of 0.8 W m-2. With the decrease of ‘S’ to 3.0 μm, the EQE was found to increase to ˜97%. However, devices showed non linearity and drop in responsivity from flatness at higher input power. Moreover, the position of dropping from flatter responsivity was found to shift to lower powers with increased bias. The drop in the responsivity was attributed to the modulation of conductance in the MSM due to the trapping of electrons at the dislocations, resulting in the formation of depletion regions around them. In devices with lower ‘S’, both the image force reduction and the enhanced collection efficiency increased the photocurrent as well as the charging of the dislocations. This resulted in the increased depletion regions around the dislocations leading to the modulation of conductance and non-linearity.

  13. Anisotropic relaxation behavior of InGaAs/GaAs selectively grown in narrow trenches on (001) Si substrates

    NASA Astrophysics Data System (ADS)

    Guo, W.; Mols, Y.; Belz, J.; Beyer, A.; Volz, K.; Schulze, A.; Langer, R.; Kunert, B.

    2017-07-01

    Selective area growth of InGaAs inside highly confined trenches on a pre-patterned (001) Si substrate has the potential of achieving a high III-V crystal quality due to high aspect ratio trapping for improved device functionalities in Si microelectronics. If the trench width is in the range of the hetero-layer thickness, the relaxation mechanism of the mismatched III-V layer is no longer isotropic, which has a strong impact on the device fabrication and performance if not controlled well. The hetero-epitaxial nucleation of InxGa1-xAs on Si can be simplified by using a binary nucleation buffer such as GaAs. A pronounced anisotropy in strain release was observed for the growth of InxGa1-xAs on a fully relaxed GaAs buffer with a (001) surface inside 20 and 100 nm wide trenches, exploring the full composition range from GaAs to InAs. Perpendicular to the trench orientation (direction of high confinement), the strain release in InxGa1-xAs is very efficiently caused by elastic relaxation without defect formation, although a small compressive force is still induced by the trench side walls. In contrast, the strain release along the trenches is governed by plastic relaxation once the vertical film thickness has clearly exceeded the critical layer thickness. On the other hand, the monolithic deposition of mismatched InxGa1-xAs directly into a V-shaped trench bottom with {111} Si planes leads instantly to a pronounced nucleation of misfit dislocations along the {111} Si/III-V interfaces. In this case, elastic relaxation no longer plays a role as the strain release is ensured by plastic relaxation in both directions. Hence, using a ternary seed layer facilitates the integration of InxGa1-xAs covering the full composition range.

  14. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  15. Effectiveness of vegetation buffers surrounding playa wetlands at contaminant and sediment amelioration

    USGS Publications Warehouse

    Haukos, David A.; Johnson, Lacrecia A.; Smith, Loren M.; McMurry, Scott T.

    2016-01-01

    Playa wetlands, the dominant hydrological feature of the semi-arid U.S. High Plains providing critical ecosystem services, are being lost and degraded due to anthropogenic alterations of the short-grass prairie landscape. The primary process contributing to the loss of playas is filling of the wetland through accumulation of soil eroded and transported by precipitation from surrounding cultivated watersheds. We evaluated effectiveness of vegetative buffers surrounding playas in removing metals, nutrients, and dissolved/suspended sediments from precipitation runoff. Storm water runoff was collected at 10-m intervals in three buffer types (native grass, fallow cropland, and Conservation Reserve Program). Buffer type differed in plant composition, but not in maximum percent removal of contaminants. Within the initial 60 m from a cultivated field, vegetation buffers of all types removed >50% of all measured contaminants, including 83% of total suspended solids (TSS) and 58% of total dissolved solids (TDS). Buffers removed an average of 70% of P and 78% of N to reduce nutrients entering the playa. Mean maximum percent removal for metals ranged from 56% of Na to 87% of Cr. Maximum removal was typically at 50 m of buffer width. Measures of TSS were correlated with all measures of metals and nutrients except for N, which was correlated with TDS. Any buffer type with >80% vegetation cover and 30–60 m in width would maximize contaminant removal from precipitation runoff while ensuring that playas would continue to function hydrologically to provide ecosystem services. Watershed management to minimize erosion and creations of vegetation buffers could be economical and effective conservation tools for playa wetlands.

  16. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  17. Effects of Ga substitution on the structural and magnetic properties of half metallic Fe{sub 2}MnSi Heusler compound

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pedro, S. S., E-mail: sandrapedro@uerj.br; Caraballo Vivas, R. J.; Andrade, V. M.

    2015-01-07

    The so-called half-metallic magnets have been proposed as good candidates for spintronic applications due to the feature of exhibiting a hundred percent spin polarization at the Fermi level. Such materials follow the Slater-Pauling rule, which relates the magnetic moment with the valence electrons in the system. In this paper, we study the bulk polycrystalline half-metallic Fe{sub 2}MnSi Heusler compound replacing Si by Ga to determine how the Ga addition changes the magnetic, the structural, and the half-metal properties of this compound. The material does not follow the Slater-Pauling rule, probably due to a minor structural disorder degree in the system,more » but a linear dependence on the magnetic transition temperature with the valence electron number points to the half-metallic behavior of this compound.« less

  18. Accessing the band alignment in high efficiency Cu(In,Ga)(Se,S)2 (CIGSSe) solar cells with an InxSy:Na buffer based on temperature dependent measurements and simulations

    NASA Astrophysics Data System (ADS)

    Schoneberg, Johannes; Ohland, Jörg; Eraerds, Patrick; Dalibor, Thomas; Parisi, Jürgen; Richter, Michael

    2018-04-01

    We present a one-dimensional simulation model for high efficiency Cu(In,Ga)(Se,S)2 solar cells with a novel band alignment at the hetero-junction. The simulation study is based on new findings about the doping concentration of the InxSy:Na buffer and i-ZnO layers as well as comprehensive solar cell characterization by means of capacitance, current voltage, and external quantum efficiency measurements. The simulation results show good agreement with the experimental data over a broad temperature range, suggesting the simulation model with an interface-near region (INR) of approximately 100 nm around the buffer/absorber interface that is of great importance for the solar cell performance. The INR exhibits an inhomogeneous doping and defect density profile as well as interface traps at the i-layer/buffer and buffer/absorber interfaces. These crucial parameters could be accessed via their opposing behavior on the simulative reconstruction of different measurement characteristics. In this work, we emphasize the necessity to reconstruct the results of a set of experimental methods by means of simulation to find the most appropriate model for the solar cell. Lowly doped buffer and intrinsic window layers in combination with a high space charge at the front of the absorber lead to a novel band alignment in the simulated band structure of the solar cell. The presented insights may guide the strategy of further solar cell optimization including (alkali-) post deposition treatments.

  19. Spatial identification of traps in AlGaN/GaN heterostructures by the combination of lateral and vertical electrical stress measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Anqi; Yang, Xuelin, E-mail: xlyang@pku.edu.cn; Cheng, Jianpeng

    2016-01-25

    We present a methodology and the corresponding experimental results to identify the exact location of the traps that induce hot electron trapping in AlGaN/GaN heterostructures grown on Si substrates. The methodology is based on a combination of lateral and vertical electrical stress measurements employing three ohmic terminals on the test sample structure with different GaN buffer designs. By monitoring the evolution of the lateral current during lateral as well as vertical stress application, we investigate the trapping/detrapping behaviors of the hot electrons and identify that the traps correlated with current degradation are in fact located in the GaN buffer layers.more » The trap activation energies (0.38–0.39 eV and 0.57–0.59 eV) extracted from either lateral or vertical stress measurements are in good agreement with each other, also confirming the identification. By further comparing the trapping behaviors in two samples with different growth conditions of an unintentionally doped GaN layer, we conclude that the traps are most likely in the unintentionally doped GaN layer but of different origins. It is suggested that the 0.38–0.39 eV trap is related to residual carbon incorporation while the 0.57–0.59 eV trap is correlated with native defects or complexes.« less

  20. Electrical characteristics of n-GaN Schottky contacts on cleaved surfaces of free-standing substrates: Metal work function dependence of Schottky barrier height

    NASA Astrophysics Data System (ADS)

    Imadate, Hiroyoshi; Mishima, Tomoyoshi; Shiojima, Kenji

    2018-04-01

    We report the electrical characteristics of Schottky contacts with nine different metals (Ag, Ti, Cr, W, Mo, Au, Pd, Ni, and Pt) formed on clean m-plane surfaces by cleaving freestanding GaN substrates, compared with these of contacts on Ga-polar c-plane n-GaN surfaces grown on GaN substrates. The n-values from the forward current–voltage (I–V) characteristics are as good as 1.02–1.18 and 1.02–1.09 for the m- and c-plane samples, respectively. We found that the reverse I–V curves of both samples can be explained by the thermionic field emission theory, and that the Schottky barrier height of the cleaved m-plane contacts shows a metal work function dependence.

  1. Development of Ultra-Low Noise, High Sensitivity Planar Metal Grating Coupled AlGaAs/GaAs Multiquantum Well IR Detectors for Focal Plane Array Staring IR Sensor Systems

    DTIC Science & Technology

    1992-02-01

    Development of Ultra-Low Noise , High Sensitivity Planar Metal Grating Coupled AlGaAs/GaAs Multiquantum Well IR Detectors for Focal Plane Array Staring IR...dark current at 77 K was 10 times lower than the conventional QWIP reported in the literature. anid the BTM QWIP showed a largely enhanced intersubband...bias voltage in the BTM and SBTM1 QWIPs . The results reveal thiat therinionic emission is dominant current conduction mechianismn at higher temp

  2. Superior material qualities and transport properties of InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ya-Chao, Zhang; Xiao-Wei, Zhou; Sheng-Rui, Xu; Da-Zheng, Chen; Zhi-Zhe, Wang; Xing, Wang; Jin-Feng, Zhang; Jin-Cheng, Zhang; Yue, Hao

    2016-01-01

    Pulsed metal organic chemical vapor deposition is introduced into the growth of InGaN channel heterostructure for improving material qualities and transport properties. High-resolution transmission electron microscopy imaging shows the phase separation free InGaN channel with smooth and abrupt interface. A very high two-dimensional electron gas density of approximately 1.85 × 1013 cm-2 is obtained due to the superior carrier confinement. In addition, the Hall mobility reaches 967 cm2/V·s, owing to the suppression of interface roughness scattering. Furthermore, temperature-dependent Hall measurement results show that InGaN channel heterostructure possesses a steady two-dimensional electron gas density over the tested temperature range, and has superior transport properties at elevated temperatures compared with the traditional GaN channel heterostructure. The gratifying results imply that InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition is a promising candidate for microwave power devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61306017, 61334002, 61474086, and 11435010) and the Young Scientists Fund of the National Natural Science Foundation of China (Grant No. 61306017).

  3. Free Carrier Induced Spectral Shift for GaAs Filled Metallic Hole Arrays

    DTIC Science & Technology

    2012-03-13

    Bahae , G. I . Stegeman, K. Al-hemyari, J. S. Aitchison, and C. N. Ironside, “Limitation due to three-photon absorption on the useful spectral range...Free carrier induced spectral shift for GaAs filled metallic hole arrays Jingyu Zhang 1,2,* , Bin Xiang 3 , Mansoor Sheik- Bahae 4 , and S. R. J...OCIS codes: (310.6628) Subwavelength structures;(190.4350) Nonlinear optics at surfaces References and links 1. J. M. Luther, P. K. I . Jain, T. Ewers

  4. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. Themore » device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.« less

  5. Long-term evaluation of TiO2-based 68Ge/68Ga generators and optimized automation of [68Ga]DOTATOC radiosynthesis.

    PubMed

    Lin, Mai; Ranganathan, David; Mori, Tetsuya; Hagooly, Aviv; Rossin, Raffaella; Welch, Michael J; Lapi, Suzanne E

    2012-10-01

    Interest in using (68)Ga is rapidly increasing for clinical PET applications due to its favorable imaging characteristics and increased accessibility. The focus of this study was to provide our long-term evaluations of the two TiO(2)-based (68)Ge/(68)Ga generators and develop an optimized automation strategy to synthesize [(68)Ga]DOTATOC by using HEPES as a buffer system. This data will be useful in standardizing the evaluation of (68)Ge/(68)Ga generators and automation strategies to comply with regulatory issues for clinical use. Copyright © 2012 Elsevier Ltd. All rights reserved.

  6. Design of optimal buffer layers for CuInGaSe2 thin-film solar cells(Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lordi, Vincenzo; Varley, Joel B.; He, Xiaoqing; Rockett, Angus A.; Bailey, Jeff; Zapalac, Geordie H.; Mackie, Neil; Poplavskyy, Dmitry; Bayman, Atiye

    2016-09-01

    Optimizing the buffer layer in manufactured thin-film PV is essential to maximize device efficiency. Here, we describe a combined synthesis, characterization, and theory effort to design optimal buffers based on the (Cd,Zn)(O,S) alloy system for CIGS devices. Optimization of buffer composition and absorber/buffer interface properties in light of several competing requirements for maximum device efficiency were performed, along with process variations to control the film and interface quality. The most relevant buffer properties controlling performance include band gap, conduction band offset with absorber, dopability, interface quality, and film crystallinity. Control of an all-PVD deposition process enabled variation of buffer composition, crystallinity, doping, and quality of the absorber/buffer interface. Analytical electron microscopy was used to characterize the film composition and morphology, while hybrid density functional theory was used to predict optimal compositions and growth parameters based on computed material properties. Process variations were developed to produce layers with controlled crystallinity, varying from amorphous to fully epitaxial, depending primarily on oxygen content. Elemental intermixing between buffer and absorber, particularly involving Cd and Cu, also is controlled and significantly affects device performance. Secondary phase formation at the interface is observed for some conditions and may be detrimental depending on the morphology. Theoretical calculations suggest optimal composition ranges for the buffer based on a suite of computed properties and drive process optimizations connected with observed film properties. Prepared by LLNL under Contract DE-AC52-07NA27344.

  7. Hybrid UV Imager Containing Face-Up AlGaN/GaN Photodiodes

    NASA Technical Reports Server (NTRS)

    Zheng, Xinyu; Pain, Bedabrata

    2005-01-01

    A proposed hybrid ultraviolet (UV) image sensor would comprise a planar membrane array of face-up AlGaN/GaN photodiodes integrated with a complementary metal oxide/semiconductor (CMOS) readout-circuit chip. Each pixel in the hybrid image sensor would contain a UV photodiode on the AlGaN/GaN membrane, metal oxide/semiconductor field-effect transistor (MOSFET) readout circuitry on the CMOS chip underneath the photodiode, and a metal via connection between the photodiode and the readout circuitry (see figure). The proposed sensor design would offer all the advantages of comparable prior CMOS active-pixel sensors and AlGaN UV detectors while overcoming some of the limitations of prior (AlGaN/sapphire)/CMOS hybrid image sensors that have been designed and fabricated according to the methodology of flip-chip integration. AlGaN is a nearly ideal UV-detector material because its bandgap is wide and adjustable and it offers the potential to attain extremely low dark current. Integration of AlGaN with CMOS is necessary because at present there are no practical means of realizing readout circuitry in the AlGaN/GaN material system, whereas the means of realizing readout circuitry in CMOS are well established. In one variant of the flip-chip approach to integration, an AlGaN chip on a sapphire substrate is inverted (flipped) and then bump-bonded to a CMOS readout circuit chip; this variant results in poor quantum efficiency. In another variant of the flip-chip approach, an AlGaN chip on a crystalline AlN substrate would be bonded to a CMOS readout circuit chip; this variant is expected to result in narrow spectral response, which would be undesirable in many applications. Two other major disadvantages of flip-chip integration are large pixel size (a consequence of the need to devote sufficient area to each bump bond) and severe restriction on the photodetector structure. The membrane array of AlGaN/GaN photodiodes and the CMOS readout circuit for the proposed image sensor would

  8. Detection of prostate-specific antigen with biomolecule-gated AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Li, Jia-dong; Cheng, Jun-jie; Miao, Bin; Wei, Xiao-wei; Xie, Jie; Zhang, Jin-cheng; Zhang, Zhi-qiang; Wu, Dong-min

    2014-07-01

    In order to improve the sensitivity of AlGaN/GaN high electron mobility transistor (HEMT) biosensors, a simple biomolecule-gated AlGaN/GaN HEMT structure was designed and successfully fabricated for prostate specific antigen (PSA) detection. UV/ozone was used to oxidize the GaN surface and then a 3-aminopropyl trimethoxysilane (APTES) self-assembled monolayer was bound to the sensing region. This monolayer serves as a binding layer for attachment of the prostate specific antibody (anti-PSA). The biomolecule-gated AlGaN/GaN HEMT sensor shows a rapid and sensitive response when the target prostate-specific antigen in buffer solution was added to the antibody-immobilized sensing area. The current change showed a logarithm relationship against the PSA concentration from 0.1 pg/ml to 0.993 ng/ml. The sensitivity of 0.215% is determined for 0.1 pg/ml PSA solution. The above experimental result of the biomolecule-gated AlGaN/GaN HEMT biosensor suggested that this biosensor might be a useful tool for prostate cancer screening.

  9. Buffer capacity of biologics--from buffer salts to buffering by antibodies.

    PubMed

    Karow, Anne R; Bahrenburg, Sven; Garidel, Patrick

    2013-01-01

    Controlling pH is essential for a variety of biopharmaceutical process steps. The chemical stability of biologics such as monoclonal antibodies is pH-dependent and slightly acidic conditions are favorable for stability in a number of cases. Since control of pH is widely provided by added buffer salts, the current study summarizes the buffer characteristics of acetate, citrate, histidine, succinate, and phosphate buffers. Experimentally derived values largely coincide with values calculated from a model that had been proposed in 1922 by van Slyke. As high concentrated protein formulations become more and more prevalent for biologics, the self-buffering potential of proteins becomes of relevance. The current study provides information on buffer characteristics for pH ranges down to 4.0 and up to 8.0 and shows that a monoclonal antibody at 50 mg/mL exhibits similar buffer capacity as 6 mM citrate or 14 mM histidine (pH 5.0-6.0). Buffer capacity of antibody solutions scales linearly with protein concentration up to more than 200 mg/mL. At a protein concentration of 220 mg/mL, the buffer capacity resembles the buffer capacity of 30 mM citrate or 50 mM histidine (pH 5.0-6.0). The buffer capacity of monoclonal antibodies is practically identical at the process relevant temperatures 5, 25, and 40°C. Changes in ionic strength of ΔI=0.15, in contrast, can alter the buffer capacity up to 35%. In conclusion, due to efficient self-buffering by antibodies in the pH range of favored chemical stability, conventional buffer excipients could be dispensable for pH stabilization of high concentrated protein solutions. Copyright © 2013 American Institute of Chemical Engineers.

  10. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  11. Comprehensive study of the electronic and optical behavior of highly degenerate p-type Mg-doped GaN and AlGaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Fabien, Chloe A. M.; Merola, Joseph J.

    2015-01-28

    The bulk and 2-dimensional (2D) electrical transport properties of heavily Mg-doped p-type GaN films grown on AlN buffer layers by Metal Modulated Epitaxy are explored. Distinctions are made between three primary p-type conduction mechanisms: traditional valence band conduction, impurity band conduction, and 2D conduction within a 2D hole gas at a hetero-interface. The bulk and 2D contributions to the overall carrier transport are identified and the relative contributions are found to vary strongly with growth conditions. Films grown with III/V ratio less than 1.5 exhibit high hole concentrations exceeding 2 × 10{sup 19} cm{sup −3} with effective acceptor activation energies of 51 meV.more » Films with III/V ratios greater than 1.5 exhibit lower overall hole concentrations and significant contributions from 2D transport at the hetero-interface. Films grown with III/V ratio of 1.2 and Mg concentrations exceeding 2 × 10{sup 20} cm{sup −3} show no detectable inversion domains or Mg precipitation. Highly Mg-doped p-GaN and p-AlGaN with Al fractions up to 27% similarly exhibit hole concentrations exceeding 2 × 10{sup 19} cm{sup −3}. The p-GaN and p-Al{sub 0.11}Ga{sub 0.89}N films show broad ultraviolet (UV) photoluminescence peaks, which intercept the valence band, supporting the presence of a Mg acceptor band. Finally, a multi-quantum-well light-emitting diode (LED) and p-i-n diode are grown, both of which demonstrate rectifying behavior with turn-on voltages of 3–3.5 V and series resistances of 6–10 Ω without the need for any post-metallization annealing. The LED exhibits violet-blue luminescence at 425 nm, while the p-i-n diode shows UV luminescence at 381 nm, and both devices still show substantial light emission even when submerged in liquid nitrogen at 77 K.« less

  12. Effect of Cl2 plasma treatment and annealing on vanadium based metal contacts to Si-doped Al0.75Ga0.25N

    NASA Astrophysics Data System (ADS)

    Lapeyrade, Mickael; Alamé, Sabine; Glaab, Johannes; Mogilatenko, Anna; Unger, Ralph-Stephan; Kuhn, Christian; Wernicke, Tim; Vogt, Patrick; Knauer, Arne; Zeimer, Ute; Einfeldt, Sven; Weyers, Markus; Kneissl, Michael

    2017-09-01

    In order to understand the electrical properties of V/Al/Ni/Au metal contacts to Si-doped Al0.75Ga0.25N layers, X-ray photoelectron spectroscopy analysis was performed on differently treated AlGaN:Si surfaces before metal deposition, and transmission electron microscopy was used to study the semiconductor-metal interface after contact annealing at 900 °C. Cl2 plasma etching of AlGaN increases the aluminum/nitrogen ratio at the surface, and Al oxide or oxynitride is always formed by any surface treatment applied after etching. After contact annealing, a complex interface structure including amorphous AlOx and different metal phases such as Al-Au-Ni, V-Al, and V2N were found. The electrical properties of the contacts were determined by thermionic emission and/or thermionic field emission in the low voltage regime. Nearly ohmic contacts on AlGaN surfaces exposed to a Cl2 plasma were only obtained by annealing the sample at a temperature of 815 °C under N2/NH3 prior to metallization. By this treatment, the oxygen contamination on the surface could be minimized, resulting in a larger semiconductor area to be in direct contact with metal phases such as Al-rich Al-Au-Ni or V-Al and leading to a contact resistivity of 2.5 × 10-2 Ω cm2. This treatment can be used to significantly reduce the operating voltage of current deep ultraviolet light emitting diodes which will increase their wall plug efficiency and lower the thermal stress during their operation.

  13. Influence of Silicate Melt Composition on Metal/Silicate Partitioning of W, Ge, Ga and Ni

    NASA Technical Reports Server (NTRS)

    Singletary, S. J.; Domanik, K.; Drake, M. J.

    2005-01-01

    The depletion of the siderophile elements in the Earth's upper mantle relative to the chondritic meteorites is a geochemical imprint of core segregation. Therefore, metal/silicate partition coefficients (Dm/s) for siderophile elements are essential to investigations of core formation when used in conjunction with the pattern of elemental abundances in the Earth's mantle. The partitioning of siderophile elements is controlled by temperature, pressure, oxygen fugacity, and by the compositions of the metal and silicate phases. Several recent studies have shown the importance of silicate melt composition on the partitioning of siderophile elements between silicate and metallic liquids. It has been demonstrated that many elements display increased solubility in less polymerized (mafic) melts. However, the importance of silicate melt composition was believed to be minor compared to the influence of oxygen fugacity until studies showed that melt composition is an important factor at high pressures and temperatures. It was found that melt composition is also important for partitioning of high valency siderophile elements. Atmospheric experiments were conducted, varying only silicate melt composition, to assess the importance of silicate melt composition for the partitioning of W, Co and Ga and found that the valence of the dissolving species plays an important role in determining the effect of composition on solubility. In this study, we extend the data set to higher pressures and investigate the role of silicate melt composition on the partitioning of the siderophile elements W, Ge, Ga and Ni between metallic and silicate liquid.

  14. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  15. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  16. Degradation Mechanisms for GaN and GaAs High Speed Transistors

    PubMed Central

    Cheney, David J.; Douglas, Erica A.; Liu, Lu; Lo, Chien-Fong; Gila, Brent P.; Ren, Fan; Pearton, Stephen J.

    2012-01-01

    We present a review of reliability issues in AlGaN/GaN and AlGaAs/GaAs high electron mobility transistors (HEMTs) as well as Heterojunction Bipolar Transistors (HBTs) in the AlGaAs/GaAs materials systems. Because of the complex nature and multi-faceted operation modes of these devices, reliability studies must go beyond the typical Arrhenius accelerated life tests. We review the electric field driven degradation in devices with different gate metallization, device dimensions, electric field mitigation techniques (such as source field plate), and the effect of device fabrication processes for both DC and RF stress conditions. We summarize the degradation mechanisms that limit the lifetime of these devices. A variety of contact and surface degradation mechanisms have been reported, but differ in the two device technologies: For HEMTs, the layers are thin and relatively lightly doped compared to HBT structures and there is a metal Schottky gate that is directly on the semiconductor. By contrast, the HBT relies on pn junctions for current modulation and has only Ohmic contacts. This leads to different degradation mechanisms for the two types of devices.

  17. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    NASA Astrophysics Data System (ADS)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  18. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  19. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  20. Modeling Proton Irradiation in AlGaN/GaN HEMTs: Understanding the Increase of Critical Voltage

    NASA Astrophysics Data System (ADS)

    Patrick, Erin; Law, Mark E.; Liu, Lu; Cuervo, Camilo Velez; Xi, Yuyin; Ren, Fan; Pearton, Stephen J.

    2013-12-01

    A combination of TRIM and FLOODS models the effect of radiation damage on AlGaN/GaN HEMTs. While excellent fits are obtained for threshold voltage shift, the models do not fully explain the increased reliability observed experimentally. In short, the addition of negatively-charged traps in the GaN buffer layer does not significantly change the electric field at the gate edges at radiation fluence levels seen in this study. We propose that negative trapped charge at the nitride/AlGaN interface actually produces the virtual-gate effect that results in decreasing the magnitude of the electric field at the gate edges and thus the increase in critical voltage. Simulation results including nitride interface charge show significant changes in electric field profiles while the I-V device characteristics do not change.

  1. Strain relaxation in convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers grown by molecular beam epitaxy on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Solov'ev, V. A.; Chernov, M. Yu; Baidakova, M. V.; Kirilenko, D. A.; Yagovkina, M. A.; Sitnikova, A. A.; Komissarova, T. A.; Kop'ev, P. S.; Ivanov, S. V.

    2018-01-01

    This paper presents a study of structural properties of InGaAs/InAlAs quantum well (QW) heterostructures with convex-graded InxAl1-xAs (x = 0.05-0.79) metamorphic buffer layers (MBLs) grown by molecular beam epitaxy on GaAs substrates. Mechanisms of elastic strain relaxation in the convex-graded MBLs were studied by the X-ray reciprocal space mapping combined with the data of spatially-resolved selected area electron diffraction implemented in a transmission electron microscope. The strain relaxation degree was approximated for the structures with different values of an In step-back. Strong contribution of the strain relaxation via lattice tilt in addition to the formation of the misfit dislocations has been observed for the convex-graded InAlAs MBL, which results in a reduced threading dislocation density in the QW region as compared to a linear-graded MBL.

  2. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  3. Energetic, structural and electronic properties of metal vacancies in strained AlN/GaN interfaces.

    PubMed

    Kioseoglou, J; Pontikis, V; Komninou, Ph; Pavloudis, Th; Chen, J; Karakostas, Th

    2015-04-01

    AlN/GaN heterostructures have been studied using density-functional pseudopotential calculations yielding the formation energies of metal vacancies under the influence of local interfacial strains, the associated charge distribution and the energies of vacancy-induced electronic states. Interfaces are built normal to the polar <0 0 0 1> direction of the wurtzite structure by joining two single crystals of AlN and GaN that are a few atomic layers thick; thus, periodic boundary conditions generate two distinct heterophase interfaces. We show that the formation energy of vacancies is a function of their distance from the interfaces: the vacancy-interface interaction is found repulsive or attractive, depending on the type of the interface. When the interaction is attractive, the vacancy formation energy decreases with increasing the associated electric charge, and hence the equilibrium vacancy concentration at the interface is greater. This finding can reveal the well-known morphological differences existing between the two types of investigated interfaces. Moreover, we found that the electric charge is strongly localized around the Ga vacancy, while in the case of Al vacancies is almost uniformly distributed throughout the AlN/GaN heterostructure. Crucially, for the applications of heterostructures, metal vacancies introduce deep states in the calculated bandgap at energy levels from 0.5 to 1 eV above the valence band maximum (VBM). It is, therefore, predicted that vacancies could initiate 'green luminescence' i.e. light emission in the energy range of 2.5 eV stemming from electronic transitions between these extra levels, and the conduction band, or energy levels, due to shallow donors.

  4. Negative differential resistance in low Al-composition p-GaN/Mg-doped Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liang, Hongwei; Shen, Rensheng; Wang, Dongsheng; Tao, Pengcheng; Liu, Yang; Xia, Xiaochuan; Luo, Yingmin; Du, Guotong

    2014-02-01

    Negative differential resistance (NDR) behavior was observed in low Al-composition p-GaN/Mg-doped-Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate. The energy band and free carrier concentration of hetero-junction were studied by the model of the self-consistent solution of Schrödinger-Poisson equations combined with polarization engineering theory. At the forward bias of 0.95 V, the NDR effect has a high peak-to-valley current ratio of ˜9 with a peak current of 22.4 mA (˜current density of 11.4 A/cm2). An interesting phenomenon of NDR disappearance after consecutive scans and recurrence after electrical treatment was observed, which was associated with Poole-Frenkel effect.

  5. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  6. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    PubMed

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  7. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  8. Temperature dependence of trapping effects in metal gates/Al2O3/InGaAs stacks

    NASA Astrophysics Data System (ADS)

    Palumbo, F.; Pazos, S.; Aguirre, F.; Winter, R.; Krylov, I.; Eizenberg, M.

    2017-06-01

    The influence of the temperature on Metal Gate/Al2O3/n-InGaAs stacks has been studied by means of capacitance-voltage (C-V) hysteresis and flat band voltage as function of both negative and positive stress fields. It was found that the de-trapping effect decreases at low-temperature, indicating that the de-trapping of trapped electrons from oxide traps may be performed via Al2O3/InGaAs interface defects. The dependence of the C-V hysteresis on the stress field at different temperatures in our InGaAs stacks can be explained in terms of the defect spatial distribution. An oxide defect distribution can be found very close to the metal gate/Al2O3 interface. On the other side, the Al2O3/InGaAs interface presents defects distributed from the interface into the bulk of the oxide, showing the influence of InGaAs on Al2O3 in terms of the spatial defect distribution. At the present, he is a research staff of the National Council of Science and Technology (CONICET), working in the National Commission of Atomic Energy (CNEA) in Buenos Aires, Argentina, well embedded within international research collaboration. Since 2008, he is Professor at the National Technological University (UTN) in Buenos Aires, Argentina. Dr. Palumbo has received research fellowships from: Marie Curie Fellowship within the 7th European Community Framework Programme, Abdus Salam International Centre for Theoretical Physics (ICTP) Italy, National Council of Science and Technology (CONICET) Argentina, and Consiglio Nazionale delle Ricerche (CNR) Italy. He is also a frequent scientific visitor of academic institutions as IMM-CNR-Italy, Minatec Grenoble-France, the Autonomous University of Barcelona-Spain, and the Israel Institute of Technology-Technion. He has authored and co-authored more than 50 papers in international conferences and journals.

  9. Optimization of ELISA Conditions to Quantify Colorectal Cancer Antigen-Antibody Complex Protein (GA733-FcK) Expressed in Transgenic Plant

    PubMed Central

    Ahn, Junsik; Lee, Kyung Jin

    2014-01-01

    The purpose of this study is to optimize ELISA conditions to quantify the colorectal cancer antigen GA733 linked to the Fc antibody fragment fused to KDEL, an ER retention motif (GA733-FcK) expressed in transgenic plant. Variable conditions of capture antibody, blocking buffer, and detection antibody for ELISA were optimized with application of leaf extracts from transgenic plant expressing GA733-FcK. In detection antibody, anti-EpCAM/CD362 IgG recognizing the GA733 did not detect any GA733-FcK whereas anti-human Fc IgG recognizing the human Fc existed in plant leaf extracts. For blocking buffer conditions, 3% BSA buffer clearly blocked the plate, compared to the 5% skim-milk buffer. For capture antibody, monoclonal antibody (MAb) CO17-1A was applied to coat the plate with different amounts (1, 0.5, and 0.25 μg/well). Among the amounts of the capture antibody, 1 and 0.5 μg/well (capture antibody) showed similar absorbance, whereas 0.25 μg/well of the capture antibody showed significantly less absorbance. Taken together, the optimized conditions to quantify plant-derived GA733-FcK were 0.5 μg/well of MAb CO17-1A per well for the capture antibody, 3% BSA for blocking buffer, and anti-human Fc conjugated HRP. To confirm the optimized ELISA conditions, correlation analysis was conducted between the quantified amount of GA733-FcK in ELISA and its protein density values of different leaf samples in Western blot. The co-efficient value R2 between the ELISA quantified value and protein density was 0.85 (p<0.01), which indicates that the optimized ELISA conditions feasibly provides quantitative information of GA733-FcK expression in transgenic plant. PMID:24555929

  10. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  11. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  12. Current transport in graphene/AlGaN/GaN vertical heterostructures probed at nanoscale.

    PubMed

    Fisichella, Gabriele; Greco, Giuseppe; Roccaforte, Fabrizio; Giannazzo, Filippo

    2014-08-07

    Vertical heterostructures combining two or more graphene (Gr) layers separated by ultra-thin insulating or semiconductor barriers represent very promising systems for next generation electronics devices, due to the combination of high speed operation with wide-range current modulation by a gate bias. They are based on the specific mechanisms of current transport between two-dimensional-electron-gases (2DEGs) in close proximity. In this context, vertical devices formed by Gr and semiconductor heterostructures hosting an "ordinary" 2DEG can be also very interesting. In this work, we investigated the vertical current transport in Gr/Al(0.25)Ga(0.75)N/GaN heterostructures, where Gr is separated from a high density 2DEG by a ∼ 24 nm thick AlGaN barrier layer. The current transport from Gr to the buried 2DEG was characterized at nanoscale using conductive atomic force microscopy (CAFM) and scanning capacitance microscopy (SCM). From these analyses, performed both on Gr/AlGaN/GaN and on AlGaN/GaN reference samples using AFM tips with different metal coatings, the Gr/AlGaN Schottky barrier height ΦB and its lateral uniformity were evaluated, as well as the variation of the carrier densities of graphene (ngr) and AlGaN/GaN 2DEG (ns) as a function of the applied bias. A low Schottky barrier (∼ 0.40 eV) with excellent spatial uniformity was found at the Gr/AlGaN interface, i.e., lower compared to the measured values for metal/AlGaN contacts, which range from ∼ 0.6 to ∼ 1.1 eV depending on the metal workfunction. The electrical behavior of the Gr/AlGaN contact has been explained by Gr interaction with AlGaN donor-like surface states located in close proximity, which are also responsible of high n-type Gr doping (∼ 1.3 × 10(13) cm(-2)). An effective modulation of ns by the Gr Schottky contact was demonstrated by capacitance analysis under reverse bias. From this basic understanding of transport properties in Gr/AlGaN/GaN heterostructures, novel vertical field effect

  13. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    NASA Astrophysics Data System (ADS)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  14. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  15. Ohmic contact formation between metal and AlGaN/GaN heterostructure via graphene insertion

    NASA Astrophysics Data System (ADS)

    Sung Park, Pil; Reddy, Kongara M.; Nath, Digbijoy N.; Yang, Zhichao; Padture, Nitin P.; Rajan, Siddharth

    2013-04-01

    A simple method for the creation of Ohmic contact to 2D electron gas in AlGaN/GaN high electron-mobility transistors using Cr/graphene layer is demonstrated. A weak temperature dependence of this Ohmic contact observed in the range 77 to 300 K precludes thermionic emission or trap-assisted hopping as possible carrier-transport mechanisms. It is suggested that the Cr/graphene combination acts akin to a doped n-type semiconductor in contact with AlGaN/GaN heterostructure, and promotes carrier transport along percolating Al-lean paths through the AlGaN layer. This use of graphene offers a simple method for making Ohmic contacts to AlGaN/GaN heterostructures, circumventing complex additional processing steps involving high temperatures. These results could have important implications for the fabrication and manufacturing of AlGaN/GaN-based microelectronic and optoelectronic devices/sensors of the future.

  16. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers

    PubMed Central

    2012-01-01

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm. PMID:23134721

  17. Strain-compensated (Ga,In)N/(Al,Ga)N/GaN multiple quantum wells for improved yellow/amber light emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lekhal, K.; Damilano, B., E-mail: bd@crhea.cnrs.fr; De Mierry, P.

    2015-04-06

    Yellow/amber (570–600 nm) emitting In{sub x}Ga{sub 1−x}N/Al{sub y}Ga{sub 1−y}N/GaN multiple quantum wells (QWs) have been grown by metal organic chemical vapor deposition on GaN-on- sapphire templates. When the (Al,Ga)N thickness of the barrier increases, the room temperature photoluminescence is red-shifted while its yield increases. This is attributed to an increase of the QW internal electric field and an improvement of the material quality due to the compensation of the compressive strain of the In{sub x}Ga{sub 1−x}N QWs by the Al{sub y}Ga{sub 1−y}N layers, respectively.

  18. Microencapsulation of gallium-indium (Ga-In) liquid metal for self-healing applications.

    PubMed

    Blaiszik, B J; Jones, A R; Sottos, N R; White, S R

    2014-01-01

    Microcapsules containing a liquid metal alloy core of gallium-indium (Ga-In) are prepared via in situ urea-formaldehyde (UF) microencapsulation. The capsule size, shape, thermal properties, and shell wall thickness are investigated. We prepare ellipsoidal capsules with major and minor diameter aspect ratios ranging from 1.64 to 1.08 and with major diameters ranging from 245 µm to 3 µm. We observe that as the capsule major diameter decreases, the aspect ratio approaches 1. The thermal properties of the prepared microcapsules are investigated by thermogravimetric (TGA) and differential scanning calorimetry (DSC). Microcapsules are shown to survive incorporation into an epoxy matrix and to trigger via mechanical damage to the cured matrix. Microcapsules containing liquid metal cores may have diverse applications ranging from self-healing to contrast enhancement or the demonstration of mechano-adaptive circuitry.

  19. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  20. Amorphous oxides as electron transport layers in Cu(In,Ga)Se 2 superstrate devices: Amorphous oxides in Cu(In,Ga)Se 2 superstrate devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heinemann, M. D.; van Hest, M. F. A. M.; Contreras, M.

    Cu(In,Ga)Se2 (CIGS) solar cells in superstrate configuration promise improved light management and higher stability compared to substrate devices, but they have yet to deliver comparable power conversion efficiencies (PCEs). Chemical reactions between the CIGS layer and the front contact were shown in the past to deteriorate the p-n junction in superstrate devices, which led to lower efficiencies compared to the substrate-type devices. This work aims to solve this problem by identifying a buffer layer between the CIGS layer and the front contact, acting as the electron transport layer, with an optimized electron affinity, doping density and chemical stability. Using combinatorialmore » material exploration we identified amorphous gallium oxide (a-GaOx) as a potentially suitable buffer layer material. The best results were obtained for a-GaOx with an electron affinity that was found to be comparable to that of CIGS. Based on the results of device simulations, it is assumed that detrimental interfacial acceptor states are present at the interface between CIGS and a-GaOx. However, these initial experiments indicate the potential of a-GaOx in this application, and how to reach performance parity with substrate devices, by further increase of its n-type doping density.« less

  1. Ultrathin body GaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    2015-02-16

    We have realized ultrathin body GaSb-on-insulator (GaSb-OI) on Si wafers by direct wafer bonding technology using atomic-layer deposition (ALD) Al{sub 2}O{sub 3} and have demonstrated GaSb-OI p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on Si. A 23-nm-thick GaSb-OI p-MOSFET exhibits the peak effective mobility of ∼76 cm{sup 2}/V s. We have found that the effective hole mobility of the thin-body GaSb-OI p-MOSFETs decreases with a decrease in the GaSb-OI thickness or with an increase in Al{sub 2}O{sub 3} ALD temperature. The InAs passivation of GaSb-OI MOS interfaces can enhance the peak effective mobility up to 159 cm{sup 2}/V s for GaSb-OI p-MOSFETs with themore » 20-nm-thick GaSb layer.« less

  2. Low trap states in in situ SiN{sub x}/AlN/GaN metal-insulator-semiconductor structures grown by metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xing; Ma, Jun; Jiang, Huaxing

    2014-09-08

    We report the use of SiN{sub x} grown in situ by metal-organic chemical vapor deposition as the gate dielectric for AlN/GaN metal-insulator-semiconductor (MIS) structures. Two kinds of trap states with different time constants were identified and characterized. In particular, the SiN{sub x}/AlN interface exhibits remarkably low trap state densities in the range of 10{sup 11}–10{sup 12 }cm{sup −2}eV{sup −1}. Transmission electron microscopy and X-ray photoelectron spectroscopy analyses revealed that the in situ SiN{sub x} layer can provide excellent passivation without causing chemical degradation to the AlN surface. These results imply the great potential of in situ SiN{sub x} as an effectivemore » gate dielectric for AlN/GaN MIS devices.« less

  3. Ab-Initio Investigations of Magnetic Properties and Induced Half-Metallicity in Ga1-xMnxP (x = 0.03, 0.25, 0.5, and 0.75) Alloys.

    PubMed

    Laref, Amel; AlMudlej, Abeer; Laref, Slimane; Yang, Jun Tao; Xiong, Yong-Chen; Luo, Shi Jun

    2017-07-07

    Ab-initio calculations are performed to examine the electronic structures and magnetic properties of spin-polarized Ga 1- x Mn x P ( x = 0.03, 0.25, 0.5, and 0.75) ternary alloys. In order to perceive viable half-metallic (HM) states and unprecedented diluted magnetic semiconductors (DMSs) such as spintronic materials, the full potential linearized augmented plane wave method is utilized within the generalized gradient approximation (GGA). In order to tackle the correlation effects on 3d states of Mn atoms, we also employ the Hubbard U (GGA + U) technique to compute the magnetic properties of an Mn-doped GaP compound. We discuss the emerged global magnetic moments and the robustness of half-metallicity by varying the Mn composition in the GaP compound. Using GGA + U, the results of the density of states demonstrate that the incorporation of Mn develops a half-metallic state in the GaP compound with an engendered band gap at the Fermi level ( E F ) in the spin-down state. Accordingly, the half-metallic feature is produced through the hybridization of Mn-d and P-p orbitals. However, the half-metallic character is present at a low x composition with the GGA procedure. The produced magnetic state occurs in these materials, which is a consequence of the exchange interactions between the Mn-element and the host GaP system. For the considered alloys, we estimated the X-ray absorption spectra at the K edge of Mn. A thorough clarification of the pre-edge peaks is provided via the results of the theoretical absorption spectra. It is inferred that the valence state of Mn in Ga 1- x Mn x P alloys is +3. The predicted theoretical determinations surmise that the Mn-incorporated GaP semiconductor could inevitably be employed in spintronic devices.

  4. Fully automated GMP production of [68Ga]Ga-DO3A-VS-Cys40-Exendin-4 for clinical use

    PubMed Central

    Velikyan, Irina; Rosenstrom, Ulrika; Eriksson, Olof

    2017-01-01

    [68Ga]Ga-DO3A-VS-Cys40-Exendin-4/PET-CT targeting glucagon like peptide-1 receptor (GLP-1R) has previously demonstrated its potential clinical value for the detection of insulinomas. The production and accessibility of this radiopharmaceutical is one of the critical factors in realization of clinical trials and routine clinical examinations. Previously, the radiopharmaceutical was prepared manually, however larger scale of clinical trials and healthcare requires automation of the production process in order to limit the operator radiation dose as well as improve tracer manufacturing robustness and on-line documentation for enhanced good manufacturing practice (GMP) compliance. A method for 68Ga-labelling of DO3A-VS-Cys40-Exendin-4 on a commercially available synthesis platform was developed. Equipment such as 68Ge/68Ga generator, synthesis platform, and disposable cassettes for 68Ga-labelling used in the study was purchased from Eckert & Ziegler. DO3A-VS-Cys40-Exendin-4 was synthesized in-house. The parameters such as time, temperature, precursor concentration, radical scavenger, buffer concentration, pH, product purification step were investigated and optimised. Reproducible and GMP compliant automated production of [68Ga]Ga-DO3A-VS-Cys40-Exendin-4 was developed. Exendin-4 comprising methionine amino acid residue was prone to oxidation which was strongly influenced by the elevated temperature, radioactivity amount, and precursor concentration. The suppression of the oxidative radiolysis was achieved by addition of ethanol, dihydroxybenzoic acid and ascorbic acid to the reaction buffer as well as by optimizing heating temperature. The non-decay corrected radiochemical yield was 43±2% with radiochemical purity of over 90% wherein the individual impurity signals in HPLC chromatogram did not exceed 5%. Automated production and quality control methods were established for paving the pathway for broader clinical use of [68Ga]Ga-DO3A-VS-Cys40-Exendin-4. PMID:28721305

  5. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  6. Efficient broad color luminescence from InGaN/GaN single quantum-well nanocolumn crystals on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Zhang, Xuehua; Wang, Yongjin; Hu, Fangren

    2017-10-01

    Nanocolumn InGaN/GaN single quantum well crystals were deposited on Si (111) substrate with nitrified Ga dots as buffer layer. Transmission electron microscopy image shows the crystals' diameter of 100-130 nm and length of about 900 nm. Nanoscale spatial phase separation of cubic and hexagonal GaN was observed by selective area electron diffraction on the quantum well layer. Raman spectrum of the quantum well crystals proved that the crystals were fully relaxed. Room temperature photoluminescence from 450 to 750 nm and full width at half maximum of about 420 meV indicate broad color luminescence covering blue, green, yellow and red emission, which is helpful for the fabrication of tunable optoelectronic devices and colorful light emitting diodes.

  7. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  8. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    NASA Astrophysics Data System (ADS)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  9. Operation of the GaSb p-channel metal-oxide-semiconductor field-effect transistors fabricated on (111)A surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nishi, K., E-mail: nishi@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    2014-12-08

    We demonstrate the operation of GaSb p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on (111)A surfaces with Al{sub 2}O{sub 3} gate dielectrics formed by atomic-layer deposition at 150 °C. The p-MOSFETs on (111)A surfaces exhibit higher drain current and lower subthreshold swing than those on (100) surfaces. We find that the interface-state density (D{sub it}) values at the Al{sub 2}O{sub 3}/GaSb MOS interfaces on the (111)A surfaces are lower than those on the (100) surfaces, which can lead to performance enhancement of the GaSb p-MOSFETs on (111)A surfaces. The mobility of the GaSb p-MOSFETs on (111)A surfaces is 80% higher than that onmore » (100) surfaces.« less

  10. Simulation study on AlGaN/GaN diode with Γ-shaped anode for ultra-low turn-on voltage

    NASA Astrophysics Data System (ADS)

    Wang, Zeheng; Chen, Wanjun; Wang, Fangzhou; Cao, Jun; Sun, Ruize; Ren, Kailin; Luo, Yi; Guo, Songnan; Wang, Zirui; Jin, Xiaosheng; Yang, Lei; Zhang, Bo

    2018-05-01

    An ultra-low turn-on voltage (VT) Γ-shaped anode AlGaN/GaN Schottky barrier diode (GA-SBD) is proposed via modeling and simulation for the first time, in which a Γ-shaped anode consists of a metal-2DEG junction together with a metal-AlGaN junction beside a shallowly recessed MIS field plate (MFP). An analytic forward current-voltage model matching the simulation results well is presented where an ultra-low VT of 0.08 V is obtained. The turn-on and blocking mechanisms are investigated to reveal the GA-SBD's great potential for applications of highly efficient power ICs.

  11. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  12. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  13. Device Performance and Reliability Improvements of AlGaBN/GaN/Si MOSFET

    DTIC Science & Technology

    2016-02-04

    Metal insulator semiconductor AlGaN /GaN high electron mobility transistors (MISHEMTs) are promising for power device applications due to a lower leakage...current than the conventional Schottky AlGaN/GaN HEMTs.1–3 Among a large number of insulator materials, an Al2O3 dielectric layer, deposited by...atomic layer deposition (ALD), is often employed as the gate insulator because of a large band gap (and the resultant high conduction band offset on

  14. Wet Pretreatment-Induced Modification of Cu(In,Ga)Se2/Cd-Free ZnTiO Buffer Interface.

    PubMed

    Hwang, Suhwan; Larina, Liudmila; Lee, Hojin; Kim, Suncheul; Choi, Kyoung Soon; Jeon, Cheolho; Ahn, Byung Tae; Shin, Byungha

    2018-06-20

    We report a novel Cd-free ZnTiO buffer layer deposited by atomic layer deposition for Cu(In,Ga)Se 2 (CIGS) solar cells. Wet pretreatments of the CIGS absorbers with NH 4 OH, H 2 O, and/or aqueous solution of Cd 2+ ions were explored to improve the quality of the CIGS/ZnTiO interface, and their effects on the chemical state of the absorber and the final performance of Cd-free CIGS devices were investigated. X-ray photoelectron spectroscopy (XPS) analysis revealed that the aqueous solution etched away sodium compounds accumulated on the CIGS surface, which was found to be detrimental for solar cell operation. Wet treatment with NH 4 OH solution led to a reduced photocurrent, which was attributed to the thinning (or removal) of an ordered vacancy compound (OVC) layer on the CIGS surface as evidenced by an increased Cu XPS peak intensity after the NH 4 OH treatment. However, the addition of Cd 2+ ions to the NH 4 OH aqueous solution suppressed the etching of the OVC by NH 4 OH, explaining why such a negative effect of NH 4 OH is not present in the conventional chemical bath deposition of CdS. The band alignment at the CIGS/ZnTiO interface was quantified using XPS depth profile measurements. A small cliff-like conduction band offset of -0.11 eV was identified at the interface, which indicates room for further improvement of efficiency of the CIGS/ZnTiO solar cells once the band alignment is altered to a slight spike by inserting a passivation layer with a higher conduction band edge than ZnTiO. Combination of the small cliff conduction band offset at the interface, removal of the Na compound via water, and surface doping by Cd ions allowed the application of ZnTiO buffer to CIGS treated with Cd solutions, exhibiting an efficiency of 80% compared to that of a reference CIGS solar cell treated with the CdS.

  15. Characterisation of defects in p-GaN by admittance spectroscopy

    NASA Astrophysics Data System (ADS)

    Elsherif, O. S.; Vernon-Parry, K. D.; Evans-Freeman, J. H.; Airey, R. J.; Kappers, M.; Humphreys, C. J.

    2012-08-01

    Mg-doped GaN films have been grown on (0 0 0 1) sapphire using metal organic vapour phase epitaxy. Use of different buffer layer strategies caused the threading dislocation density (TDD) in the GaN to be either approximately 2×109 cm-2 or 1×1010 cm-2. Frequency-dependent capacitance and conductance measurements at temperatures up to 450 K have been used to study the electronic states associated with the Mg doping, and to determine how these are affected by the TDD. Admittance spectroscopy of the films finds a single impurity-related acceptor level with an activation energy of 160±10 meV for [Mg] of about 1×1019 cm-3, and 120±10 eV as the Mg precursor flux decreased. This level is thought to be associated with the Mg acceptor state. The TDD has no discernible effect on the trap detected by admittance spectroscopy. We compare these results with cathodoluminescence measurements reported in the literature, which reveal that most threading dislocations are non-radiative recombination centres, and discuss possible reasons why our admittance spectroscopy have not detected electrically active defects associated with threading dislocations.

  16. Determination of gallium at trace levels using a spectrofluorimetric method in synthetic U-Ga and Ga-As solutions.

    PubMed

    Kara, Derya; Fisher, Andrew; Foulkes, Mike; Hill, Steve J

    2010-01-01

    A simple, easy to use and selective spectrofluorimetric method for the determination of trace levels of gallium has been developed. A new Schiff base, N-o-vanillidine-2-amino-p-cresol (OVAC) was synthesized and its fluorescence activity with gallium investigated. Based on this chelation reaction, a spectrofluorimetric method has been developed for the determination of gallium in synthetically prepared Ga-U and Ga-As samples buffered at pH 4.0 using acetic acid-sodium acetate. The chelation reaction between Ga(III) and N-o-vanillidine-2-amino-p-cresol was very fast, requiring only 30min at room temperature to complex completely. The limit of detection (LOD) (3sigma) for Ga(III) was 7.17 nM (0.50 microgL(-1)), determined from the analysis of 11 different solutions of 20 microg L(-1) Ga(III). Copyright 2009 Elsevier B.V. All rights reserved.

  17. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  18. Comprehensive analyses of core-shell InGaN/GaN single nanowire photodiodes

    NASA Astrophysics Data System (ADS)

    Zhang, H.; Guan, N.; Piazza, V.; Kapoor, A.; Bougerol, C.; Julien, F. H.; Babichev, A. V.; Cavassilas, N.; Bescond, M.; Michelini, F.; Foldyna, M.; Gautier, E.; Durand, C.; Eymery, J.; Tchernycheva, M.

    2017-12-01

    Single nitride nanowire core/shell n-p photodetectors are fabricated and analyzed. Nanowires consisting of an n-doped GaN stem, a radial InGaN/GaN multiple quantum well system and a p-doped GaN external shell were grown by catalyst-free metal-organic vapour phase epitaxy on sapphire substrates. Single nanowires were dispersed and the core and the shell regions were contacted with a metal and an ITO deposition, respectively, defined using electron beam lithography. The single wire photodiodes present a response in the visible to UV spectral range under zero external bias. The detector operation speed has been analyzed under different bias conditions. Under zero bias, the  -3 dB cut-off frequency is ~200 Hz for small light modulations. The current generation was modeled using non-equilibrium Green function formalism, which evidenced the importance of phonon scattering for carrier extraction from the quantum wells.

  19. Deformation behavior of coherently strained InAs/GaAs(111)A heteroepitaxial systems: Theoretical calculations and experimental measurements

    NASA Astrophysics Data System (ADS)

    Zepeda-Ruiz, Luis A.; Pelzel, Rodney I.; Nosho, Brett Z.; Weinberg, W. Henry; Maroudas, Dimitrios

    2001-09-01

    A comprehensive, quantitative analysis is presented of the deformation behavior of coherently strained InAs/GaAs(111)A heteroepitaxial systems. The analysis combines a hierarchical theoretical approach with experimental measurements. Continuum linear elasticity theory is linked with atomic-scale calculations of structural relaxation for detailed theoretical studies of deformation in systems consisting of InAs thin films on thin GaAs(111)A substrates that are mechanically unconstrained at their bases. Molecular-beam epitaxy is used to grow very thin InAs films on both thick and thin GaAs buffer layers on epi-ready GaAs(111)A substrates. The deformation state of these samples is characterized by x-ray diffraction (XRD). The interplanar distances of thin GaAs buffer layers along the [220] and [111] crystallographic directions obtained from the corresponding XRD spectra indicate clearly that thin buffer layers deform parallel to the InAs/GaAs(111)A interfacial plane, thus aiding in the accommodation of the strain induced by lattice mismatch. The experimental measurements are in excellent agreement with the calculated lattice interplanar distances and the corresponding strain fields in the thin mechanically unconstrained substrates considered in the theoretical analysis. Therefore, this work contributes direct evidence in support of our earlier proposal that thin buffer layers in layer-by-layer semiconductor heteroepitaxy exhibit mechanical behavior similar to that of compliant substrates [see, e.g., B. Z. Nosho, L. A. Zepeda-Ruiz, R. I. Pelzel, W. H. Weinberg, and D. Maroudas, Appl. Phys. Lett. 75, 829 (1999)].

  20. Improved morphology for ohmic contacts to AlGaN/GaN high electron mobility transistors using WSix- or W-based metallization

    NASA Astrophysics Data System (ADS)

    Luo, B.; Ren, F.; Fitch, R. C.; Gillespie, J. K.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Baca, A. G.; Briggs, R. D.; Gotthold, D.; Birkhahn, R.; Peres, B.; Pearton, S. J.

    2003-06-01

    A comparison was made of specific contact resistivity and morphology of Ti/Al/Pt/WSi/Ti/Au and Ti/Al/Pt/W/Ti/Au ohmic contacts to AlGaN/GaN heterostructures relative to the standard Ti/Al/Pt/Au metallization. The W- and WSi-based contacts show comparable specific resistivities to that of the standard contact on similar layer structures, reaching minimum values of ˜10-5 Ω cm2 after annealing in the range 850-900 °C. However, the W- and WSi-based contacts exhibit much smoother surface morphologies, even after 950 °C annealing. For example, the root-mean-square roughness of the Ti/Al/Pt/WSi/Ti/Au contact annealed at 950 °C was unchanged from the as-deposited values whereas the Ti/Al/Pt/Au contact shows significant deterioration of the morphology under these conditions. The improved thermal stability of the W- and WSix-based contacts is important for maintaining edge acuity during high-temperature operation.

  1. Surface States in the AlxGa1-xN Barrier in AlxGa1-xN/GaN Heterostructures

    NASA Astrophysics Data System (ADS)

    Liu, Jie; Shen, Bo; Wang, Mao-Jun; Zhou, Yu-Gang; Chen, Dun-Jun; Zhang, Rong; Shi, Yi; Zheng, You-Dou

    2004-01-01

    Frequency-dependent capacitance-voltage (C-V) measurements have been performed on modulation-doped Al0.22 Ga0.78N/GaN heterostructures to investigate the characteristics of the surface states in the AlxGa1-xN barrier. Numerical fittings based on the experimental data indicate that there are surface states with high density locating on the AlxGa1-xN barrier. The density of the surface states is about 1012 cm-2eV-1, and the time constant is about 1 mus. It is found that an insulating layer (Si3N4) between the metal contact and the surface of AlxGa1-xN can passivate the surface states effectively.

  2. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    NASA Technical Reports Server (NTRS)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  3. Ohmic contacts to Al-rich AlGaN heterostructures

    DOE PAGES

    Douglas, E. A.; Reza, S.; Sanchez, C.; ...

    2017-06-06

    Due to the ultra-wide bandgap of Al-rich AlGaN, up to 5.8 eV for the structures in this study, obtaining low resistance ohmic contacts is inherently difficult to achieve. A comparative study of three different fabrication schemes is presented for obtaining ohmic contacts to an Al-rich AlGaN channel. Schottky-like behavior was observed for several different planar metallization stacks (and anneal temperatures), in addition to a dry-etch recess metallization contact scheme on Al 0.85Ga 0.15N/Al 0.66Ga 0.34N. However, a dry etch recess followed by n +-GaN regrowth fabrication process is reported as a means to obtain lower contact resistivity ohmic contacts onmore » a Al 0.85Ga 0.15N/Al 0.66Ga 0.34N heterostructure. In conclusion, specific contact resistivity of 5×10 -3 Ω cm 2 was achieved after annealing Ti/Al/Ni/Au metallization.« less

  4. Metal/Silicate Partitioning of W, Ge, Ga and Ni: Dependence on Silicate Melt Composition

    NASA Astrophysics Data System (ADS)

    Singletary, S.; Drake, M. J.

    2004-12-01

    Metal/silicate partition coefficients (Dm/s) for siderophile elements are essential to investigations of core formation when used in conjunction with the pattern of elemental abundances in the Earth's mantle (Drake and Righter, 2002; Jones and Drake, 1986; Righter et al. 1997). The partitioning of siderophile elements is controlled by temperature, pressure, oxygen fugacity, and by the compositions of the metal and silicate phases. In this work, we investigate the role of silicate melt composition on the partitioning of the siderophile elements W, Ge, Ga and Ni between metallic and silicate liquid. Experiments were performed in the Experimental Geochemistry Laboratory at the University of Arizona utilizing a non-end loaded piston cylinder apparatus with a barium carbonate pressure medium. Starting materials were created by combining the mafic and silicic compositions of Jaeger and Drake (2000) with Fe powder (~25 wt% of the total mixture) to achieve metal saturation. Small amounts of W, Ge, Ga2O3 and NiO powder (less than 2 wt% each) were also added to the starting compositions. The experiments were contained in a graphite capsule and performed with temperature and pressure fixed at 1400ºC and 1.5 GPa. Experimental run products were analyzed with the University of Arizona Cameca SX50 electron microprobe with four wavelength dispersive spectrometers and a PAP ZAF correction program. All experiments in our set are saturated with metal and silicate liquid, indicating that oxygen fugacity is below IW. Several of the runs also contain a gallium-rich spinel as an additional saturating phase. Quench phases are also present in the silicate liquid in all runs. The experimentally produced liquids have nbo/t values (calculated using the method of Mills, 1993) that range from 1.10 to 2.97. These values are higher than those calculated for the liquids in the Jaeger and Drake (2000) study. The higher nbo/t values are due to uptake of Fe by the melt. The initial silicate

  5. Growth of GaN@InGaN Core-Shell and Au-GaN Hybrid Nanostructures for Energy Applications

    DOE PAGES

    Kuykendall, Tevye; Aloni, Shaul; Jen-La Plante, Ilan; ...

    2009-01-01

    We demonstrated a method to control the bandgap energy of GaN nanowires by forming GaN@InGaN core-shell hybrid structures using metal organic chemical vapor deposition (MOCVD). Furthermore, we show the growth of Au nanoparticles on the surface of GaN nanowires in solution at room temperature. The work shown here is a first step toward engineering properties that are crucial for the rational design and synthesis of a new class of photocatalytic materials. The hybrid structures were characterized by various techniques, including photoluminescence (PL), energy dispersive x-ray spectroscopy (EDS), transmission and scanning electron microscopy (TEM and SEM), and x-ray diffraction (XRD).

  6. Tuning electronic and magnetic properties of GaN nanosheets by surface modifications and nanosheet thickness.

    PubMed

    Xiao, Meixia; Yao, Tingzhen; Ao, Zhimin; Wei, Peng; Wang, Danghui; Song, Haiyang

    2015-04-14

    Density-functional theory calculations are performed to investigate the effects of surface modifications and nanosheet thickness on the electronic and magnetic properties of gallium nitride (GaN) nanosheets (NSs). Unlike the bare GaN NSs terminating with polar surfaces, the systems with hydrogenated Ga (H-GaN), fluorinated Ga (F-GaN), and chlorinated Ga (Cl-GaN) preserve their initial wurtzite structures and exhibit ferromagnetic states. The abovementioned three different decorations on Ga atoms are energetically more favorable for thicker GaN NSs. Moreover, as the thickness increases, H-GaN and F-GaN NSs undergo semiconductor to metal and half-metal to metal transition, respectively, while Cl-GaN NSs remain completely metallic. The predicted diverse and tunable electronic and magnetic properties highlight the potential of GaN NSs for novel electronic and spintronic nanodevices.

  7. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for themore » 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.« less

  8. AlGaN/GaN high electron mobility transistors with selective area grown p-GaN gates

    NASA Astrophysics Data System (ADS)

    Yuliang, Huang; Lian, Zhang; Zhe, Cheng; Yun, Zhang; Yujie, Ai; Yongbing, Zhao; Hongxi, Lu; Junxi, Wang; Jinmin, Li

    2016-11-01

    We report a selective area growth (SAG) method to define the p-GaN gate of AlGaN/GaN high electron mobility transistors (HEMTs) by metal-organic chemical vapor deposition. Compared with Schottky gate HEMTs, the SAG p-GaN gate HEMTs show more positive threshold voltage (V th) and better gate control ability. The influence of Cp2Mg flux of SAG p-GaN gate on the AlGaN/GaN HEMTs has also been studied. With the increasing Cp2Mg from 0.16 μmol/min to 0.20 μmol/min, the V th raises from -0.67 V to -0.37 V. The maximum transconductance of the SAG HEMT at a drain voltage of 10 V is 113.9 mS/mm while that value of the Schottky HEMT is 51.6 mS/mm. The SAG method paves a promising way for achieving p-GaN gate normally-off AlGaN/GaN HEMTs without dry etching damage. Project supported by the National Natural Sciences Foundation of China (Nos. 61376090, 61306008) and the National High Technology Program of China (No. 2014AA032606).

  9. Structural and optical properties of Ga auto-incorporated InAlN epilayers

    NASA Astrophysics Data System (ADS)

    Taylor, E.; Smith, M. D.; Sadler, T. C.; Lorenz, K.; Li, H. N.; Alves, E.; Parbrook, P. J.; Martin, R. W.

    2014-12-01

    InAlN epilayers deposited on thick GaN buffer layers grown by metalorganic chemical vapour deposition (MOCVD) revealed an auto-incorporation of Ga when analysed by wavelength dispersive x-ray (WDX) spectroscopy and Rutherford backscattering spectrometry (RBS). Samples were grown under similar conditions with the change in reactor flow rate resulting in varying Ga contents of 12-24%. The increase in flow rate from 8000 to 24 000 sccm suppressed the Ga auto-incorporation which suggests that the likely cause is from residual Ga left behind from previous growth runs. The luminescence properties of the resultant InAlGaN layers were investigated using cathodoluminescence (CL) measurements.

  10. Field effect in an n-GaAs metal-anodic oxide-film injunction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tikhov, S.V.; Karpovich, I.A.; Martynov, V.V.

    1986-10-01

    In this paper the authors present results attained in parallel investigations of mobility ..mu../sub F/ in the field effect, capacitance C, and the active conductance component G for a wide range of frequencies and controlling voltages, as well as of the capacitor saturation photoelectron-motive force phi/sub sat/(V) in an n-GaAs metal-AO-epitaxial film structure. A new combined method is offered for the determination of separation-boundary parameters, based on an analysis of the relationships between ..mu../sub F/, C, and G and the controlling voltage and the test frequency

  11. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  12. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    PubMed

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  13. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  14. MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2001-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  15. Study of a MHEMT heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2015-08-15

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for themore » (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure.« less

  16. Comparative Study on Graded-Barrier AlxGa1‑xN/AlN/GaN/Si Metal-Oxide-Semiconductor Heterostructure Field-Effect Transistor by Using Ultrasonic Spray Pyrolysis Deposition Technique

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Sung; Hsu, Wei-Chou; Huang, Yi-Ping; Liu, Han-Yin; Yang, Wen-Luh; Yang, Shen-Tin

    2018-06-01

    Comparative study on a novel Al2O3-dielectric graded-barrier (GB) AlxGa1‑xN/AlN/GaN/Si (x = 0.22 ∼ 0.3) metal-oxide-semiconductor heterostructure field-effect transistor (MOS-HFET) formed by using the ultrasonic spray pyrolysis deposition (USPD) technique has been made with respect to a conventional-barrier (CB) Al0.26Ga0.74N/AlN/GaN/Si MOS-HFET and the reference Schottky-gate HFET devices. The GB AlxGa1‑xN was devised to improve the interfacial quality and enhance the Schottky barrier height at the same time. A cost-effective ultrasonic spray pyrolysis deposition (USPD) method was used to form the high-k Al2O3 gate dielectric and surface passivation on the AlGaN barrier of the present MOS-HFETs. Comprehensive device performances, including maximum extrinsic transconductance (g m,max), maximum drain-source current density (I DS,max), gate-voltage swing (GVS) linearity, breakdown voltages, subthreshold swing (SS), on/off current ratio (I on /I off ), high frequencies, and power performance are investigated.

  17. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  18. Reduced Cu(InGa)Se 2 Thickness in Solar Cells Using a Superstrate Configuration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shafarman, William N.

    the absorber layer. The primary junction is then formed after Cu(InGa)Se 2 deposition. This allows the potential benefits of superstrate cells for optical enhancement while maintaining processing advantages of the substrate configuration and avoiding the harmful effects of high temperature deposition on p-n junction formation. Backwall devices have outperformed substrate cells at absorber thicknesses of 0.1-0.5 µm through enhanced JSC due to easy incorporation of a Ag reflector and, with light incident on the absorber, the elimination of parasitic absorption in the CdS buffer. An efficiency of 9.7% has been achieved for a backwall Cu(InGa)Se 2 device with absorber thickness ~0.4 μm. A critical achievement that enabled implementation of the backwall cell was the development of a transparent back contact using MoO 3 or WO 3. Processes for controlled deposition of each material by reactive rf sputtering from metal targets were developed. These contacts have wide bandgaps making them well-suited for application as contacts for backwall devices as well as potential use in bifacial cells and as the top cell of tandem CuInSe 2-based devices. Optical enhancement will be critical for further improvements. Wet chemical texturing of ZnO films has been developed for a simple, low cost light-trapping scheme for backwall superstrate devices to enhance long wavelength quantum efficiency. An aqueous oxalic acid etch was developed and found to strongly texture sputtered ZnO with high haze ≈ 0.9 observed across the whole spectrum. And finally, advanced optical models have been developed to assist the characterization and optimization of Cu(InGa)Se 2 cells with thin absorbers« less

  19. A two-stage monolithic buffer amplifier for 20 GHz satellite communication

    NASA Technical Reports Server (NTRS)

    Petersen, W. C.; Gupta, A. K.

    1983-01-01

    Design, fabrication, and test results of a two-stage GaAs monolithic buffer amplifier for 20 GHz satellite communication are described in this paper. A gain of 13 + or - 0.75 dB from 17.7 to 20.2 GHz was obtained from the 1.5 x 1.5 millimeter chip, which includes all necessary bias and dc blocking circuitry.

  20. Site-controlled GaN nanocolumns with InGaN insertions grown by MBE

    NASA Astrophysics Data System (ADS)

    Nechaev, D. V.; Semenov, A. N.; Koshelev, O. A.; Jmerik, V. N.; Davydov, V. Yu; Smirnov, A. N.; Pozina, G.; Shubina, T. V.; Ivanov, S. V.

    2017-11-01

    The site-controlled plasma-assisted molecular beam epitaxy (PA MBE) has been developed to fabricate the regular array of GaN nanocolumns (NCs) with InGaN insertions on micro-cone patterned sapphire substrates (μ-CPSSs). Two-stage growth of GaN NCs, including a nucleation layer grown at metal-rich conditions and high temperature GaN growth in strong N-rich condition, has been developed to achieve the selective growth of the NCs. Microcathodoluminescence measurements have demonstrated pronounced emission from the InGaN insertions in 450-600 nm spectral range. The optically isolated NCs can be used as effective nano-emitters operating in the visible range.

  1. Growth Optimization of Metal-polar III-Nitride High-electron-mobility Transistor Structures by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen William

    GaN-based high-electron-mobility transistors (HEMTs) will play an important role in the next generation of high-frequency amplifiers and power-switching devices. Since parasitic conduction (leakage) through the GaN buffer layer and (Al,Ga,In)N barrier reduces the efficiency of operation, HEMT performance hinges on the epitaxial quality of these layers. Increasing the sheet charge density and mobility of the two-dimensional electron gas (2DEG) is also essential for reducing the channel resistance and improving output. The growth conditions applied in plasma-assisted molecular beam epitaxy (PAMBE) and ammonia-based molecular beam epitaxy (NH3-MBE) that result in high-quality metal-polar HEMT structures are described. The effects of threading dislocations on the gate leakage and channel conductivity of AlGaN/GaN HEMTs were studied in detail. For this purpose, a series of HEMT structures were grown on GaN templates with threading dislocation densities (TDDs) that spanned three orders of magnitude. There was a clear trend of reduced gate leakage with reduced TDD for HEMTs grown by Ga-rich PAMBE; however, a reduction in TDD also entailed an increase in buffer leakage. By reducing the unintentionally doped (UID) GaN buffer thickness and including an AlGaN back barrier, a HEMT regrown by Ga-rich PAMBE on low-TDD free-standing (FS) GaN (~5 x 107 cm-2 TDD) yielded a three-terminal breakdown voltage greater than 50 V and a power output (power-added efficiency) of 6.7 W/mm (50 %) at 4 GHz with a 40 V drain bias. High TDD was then shown to severely degrade the 2DEG mobility of AlxGa1-xN/GaN (x = 0.24, 0.12, 0.06) and AlGaN/AlN/GaN heterostructures grown by Ga-rich PAMBE. By regrowing on low-TDD FS GaN and including a 2.5 nm AlN interlayer, an Al0.24Ga0.76N/AlN/GaN heterostructure achieved a room temperature (RT) 2DEG sheet resistance of 169 Ω/□. As evidenced by atom probe tomography, the AlN interlayer grown by Ga-rich PAMBE was pure with abrupt interfaces. The pure Al

  2. High pressure effects on the iron iron oxide and nickel nickel oxide oxygen fugacity buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campbell, Andrew J; Danielson, Lisa; Righter, Kevin

    The chemical potential of oxygen in natural and experimental samples is commonly reported relative to a specific oxygen fugacity (fO{sub 2}) buffer. These buffers are precisely known at 1 bar, but under high pressures corresponding to the conditions of the deep Earth, oxygen fugacity buffers are poorly calibrated. Reference (1 bar) fO{sub 2} buffers can be integrated to high pressure conditions by integrating the difference in volume between the solid phases, provided that their equations of state are known. In this work, the equations of state and volume difference between the metal-oxide pairs Fe-FeO and Ni-NiO were measured using synchrotronmore » X-ray diffraction in a multi-anvil press and laser heated diamond anvil cells. The results were used to construct high pressure fO{sub 2} buffer curves for these systems. The difference between the Fe-FeO and Ni-NiO buffers is observed to decrease significantly, by several log units, over 80 GPa. The results can be used to improve interpretation of high pressure experiments, specifically Fe-Ni exchange between metallic and oxide phases.« less

  3. Poole Frenkel current and Schottky emission in SiN gate dielectric in AlGaN/GaN metal insulator semiconductor heterostructure field effect transistors

    NASA Astrophysics Data System (ADS)

    Hanna, Mina J.; Zhao, Han; Lee, Jack C.

    2012-10-01

    We analyze the anomalous I-V behavior in SiN prepared by plasma enhanced chemical vapor deposition for use as a gate insulator in AlGaN/GaN metal insulator semiconductor heterostructure filed effect transistors (HFETs). We observe leakage current across the dielectric with opposite polarity with respect to the applied electric field once the voltage sweep reaches a level below a determined threshold. This is observed as the absolute minimum of the leakage current does not occur at minimum voltage level (0 V) but occurs earlier in the sweep interval. Curve-fitting analysis suggests that the charge-transport mechanism in this region is Poole-Frenkel current, followed by Schottky emission due to band bending. Despite the current anomaly, the sample devices have shown a notable reduction of leakage current of over 2 to 6 order of magnitudes compared to the standard Schottky HFET. We show that higher pressures and higher silane concentrations produce better films manifesting less trapping. This conforms to our results that we reported in earlier publications. We found that higher chamber pressure achieves higher sheet carrier concentration that was found to be strongly dependent on the trapped space charge at the SiN/GaN interface. This would suggest that a lower chamber pressure induces more trap states into the SiN/GaN interface.

  4. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}),more » which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.« less

  5. Buffer layers and articles for electronic devices

    DOEpatents

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  6. Microstructural analysis of Ti/Al/Ti/Au ohmic contacts to n-AlGaN/GaN

    NASA Astrophysics Data System (ADS)

    Chen, J.; Ivey, D. G.; Bardwell, J.; Liu, Y.; Tang, H.; Webb, J. B.

    2002-05-01

    To develop high quality AlGaN/GaN heterostructure field effect transistors for use in high power, high frequency, and high temperature applications, low resistance, thermal stable ohmic contacts with good surface morphology are essential. Low specific contact resistances have been achieved using an Au/Ti/Al/Ti contact: a minimum value of 6.33×10-6 Ω cm2 was attained after annealing at 700 °C for 30 s. Microstructural analysis using transmission electron microscopy indicated that there is significant interaction between the metallization components and the semiconductor during annealing. The optimum electrical properties correspond to a microstructure that consists of Au2Ti and TiAl layers as well as of a thin Ti-rich layer (~10 nm thick) at the metallization/AlGaN interface. Degradation of the contact occurred for annealing temperatures in excess of 750 °C, and was accompanied by decomposition of the AlGaN layer and formation of a Au-Ti-Al-Ga quaternary phase.

  7. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  8. Metal-assisted electroless fabrication of nanoporous p-GaN for increasing the light extraction efficiency of light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang Ruijun; Liu Duo; Zuo Zhiyuan

    2012-03-15

    We report metal-assisted electroless fabrication of nanoporous p-GaN to improve the light extraction efficiency of GaN-based light emitting diodes (LEDs). Although it has long been believed that p-GaN cannot be etched at room temperature, in this study we find that Ag nanocrystals (NCs) on the p-GaN surface enable effective etching of p-GaN in a mixture of HF and K{sub 2}S{sub 2}O{sub 8} under ultraviolet (UV) irradiation. It is further shown that the roughened GaN/air interface enables strong scattering of photons emitted from the multiple quantum wells (MQWs). The light output power measurements indicate that the nanoporous LEDs obtained after 10more » min etching show a 32.7% enhancement in light-output relative to the conventional LEDs at an injection current of 20 mA without significant increase of the operating voltage. In contrast, the samples etched for 20 min show performance degradation when compared with those etched for 10 min, this is attributed to the current crowding effect and increased surface recombination rate.« less

  9. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    PubMed

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  10. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  11. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  12. Aluminum gallium nitride (GaN)/GaN high electron mobility transistor-based sensors for glucose detection in exhaled breath condensate.

    PubMed

    Chu, Byung Hwan; Kang, Byoung Sam; Hung, Sheng Chun; Chen, Ke Hung; Ren, Fan; Sciullo, Andrew; Gila, Brent P; Pearton, Stephen J

    2010-01-01

    Immobilized aluminum gallium nitride (AlGaN)/GaN high electron mobility transistors (HEMTs) have shown great potential in the areas of pH, chloride ion, and glucose detection in exhaled breath condensate (EBC). HEMT sensors can be integrated into a wireless data transmission system that allows for remote monitoring. This technology offers the possibility of using AlGaN/GaN HEMTs for extended investigations of airway pathology of detecting glucose in EBC without the need for clinical visits. HEMT structures, consisting of a 3-microm-thick undoped GaN buffer, 30-A-thick Al(0.3)Ga(0.7)N spacer, and 220-A-thick silicon-doped Al(0.3)Ga(0.7)N cap layer, were used for fabricating the HEMT sensors. The gate area of the pH, chloride ion, and glucose detection was immobilized with scandium oxide (Sc(2)O(3)), silver chloride (AgCl) thin film, and zinc oxide (ZnO) nanorods, respectively. The Sc(2)O(3)-gated sensor could detect the pH of solutions ranging from 3 to 10 with a resolution of approximately 0.1 pH. A chloride ion detection limit of 10(-8) M was achieved with a HEMT sensor immobilized with the AgCl thin film. The drain-source current of the ZnO nanorod-gated AlGaN/GaN HEMT sensor immobilized with glucose oxidase showed a rapid response of less than 5 seconds when the sensor was exposed to the target glucose in a buffer with a pH value of 7.4. The sensor could detect a wide range of concentrations from 0.5 nM to 125 microM. There is great promise for using HEMT-based sensors to enhance the detection sensitivity for glucose detection in EBC. Depending on the immobilized material, HEMT-based sensors can be used for sensing different materials. These electronic detection approaches with rapid response and good repeatability show potential for the investigation of airway pathology. The devices can also be integrated into a wireless data transmission system for remote monitoring applications. This sensor technology could use the exhaled breath condensate to measure the

  13. Aluminum Gallium Nitride (GaN)/GaN High Electron Mobility Transistor-Based Sensors for Glucose Detection in Exhaled Breath Condensate

    PubMed Central

    Chu, Byung Hwan; Kang, Byoung Sam; Hung, Sheng Chun; Chen, Ke Hung; Ren, Fan; Sciullo, Andrew; Gila, Brent P.; Pearton, Stephen J.

    2010-01-01

    Background Immobilized aluminum gallium nitride (AlGaN)/GaN high electron mobility transistors (HEMTs) have shown great potential in the areas of pH, chloride ion, and glucose detection in exhaled breath condensate (EBC). HEMT sensors can be integrated into a wireless data transmission system that allows for remote monitoring. This technology offers the possibility of using AlGaN/GaN HEMTs for extended investigations of airway pathology of detecting glucose in EBC without the need for clinical visits. Methods HEMT structures, consisting of a 3-μm-thick undoped GaN buffer, 30-Å-thick Al0.3Ga0.7N spacer, and 220-Å-thick silicon-doped Al0.3Ga0.7N cap layer, were used for fabricating the HEMT sensors. The gate area of the pH, chloride ion, and glucose detection was immobilized with scandium oxide (Sc2O3), silver chloride (AgCl) thin film, and zinc oxide (ZnO) nanorods, respectively. Results The Sc2O3-gated sensor could detect the pH of solutions ranging from 3 to 10 with a resolution of ∼0.1 pH. A chloride ion detection limit of 10-8 M was achievedt with a HEMT sensor immobilized with the AgCl thin film. The drain–source current of the ZnO nanorod-gated AlGaN/GaN HEMT sensor immobilized with glucose oxidase showed a rapid response of less than 5 seconds when the sensor was exposed to the target glucose in a buffer with a pH value of 7.4. The sensor could detect a wide range of concentrations from 0.5 nM to 125 μM. Conclusion There is great promise for using HEMT-based sensors to enhance the detection sensitivity for glucose detection in EBC. Depending on the immobilized material, HEMT-based sensors can be used for sensingt different materials. These electronic detection approaches with rapid response and good repeatability show potential for the investigation of airway pathology. The devices can also be integrated into a wireless data transmission system for remote monitoring applications. This sensor technology could use the exhaled breath condensate to

  14. Electrical injection Ga(AsBi)/(AlGa)As single quantum well laser

    NASA Astrophysics Data System (ADS)

    Ludewig, P.; Knaub, N.; Hossain, N.; Reinhard, S.; Nattermann, L.; Marko, I. P.; Jin, S. R.; Hild, K.; Chatterjee, S.; Stolz, W.; Sweeney, S. J.; Volz, K.

    2013-06-01

    The Ga(AsBi) material system opens opportunities in the field of high efficiency infrared laser diodes. We report on the growth, structural investigations, and lasing properties of dilute bismide Ga(AsBi)/(AlGa)As single quantum well lasers with 2.2% Bi grown by metal organic vapor phase epitaxy on GaAs (001) substrates. Electrically injected laser operation at room temperature is achieved with a threshold current density of 1.56 kA/cm2 at an emission wavelength of ˜947 nm. These results from broad area devices show great promise for developing efficient IR laser diodes based on this emerging materials system.

  15. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  16. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  17. Disorder induced gap states as a cause of threshold voltage instabilities in Al2O3/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Matys, M.; Kaneki, S.; Nishiguchi, K.; Adamowicz, B.; Hashizume, T.

    2017-12-01

    We proposed that the disorder induced gap states (DIGS) can be responsible for the threshold voltage (Vth) instability in Al2O3/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors. In order to verify this hypothesis, we performed the theoretical calculations of the capacitance voltage (C-V) curves for the Al2O3/AlGaN/GaN structures using the DIGS model and compared them with measured ones. We found that the experimental C-V curves with a complex hysteresis behavior varied with the maximum forward bias and the sweeping rate can be well reproduced theoretically by assuming a particular distribution in energy and space of the DIGS continuum near the Al2O3/AlGaN interface, i.e., a U-shaped energy density distribution and exponential depth decay from the interface into Al2O3 layer (up to 4 nm), as well as suitable DIGS capture cross sections (the order of magnitude of 10-15 cm2). Finally, we showed that the DIGS model can also explain the negative bias induced threshold voltage instability. We believe that these results should be critical for the successful development of the passivation techniques, which allows to minimize the Vth instability related effects.

  18. High-Performance InGaAs/InP Composite-Channel High Electron Mobility Transistors Grown by Metal-Organic Vapor-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Sugiyama, Hiroki; Kosugi, Toshihiko; Yokoyama, Haruki; Murata, Koichi; Yamane, Yasuro; Tokumitsu, Masami; Enoki, Takatomo

    2008-04-01

    This paper reports InGaAs/InP composite-channel (CC) high electron mobility transistors (HEMTs) grown by metal-organic vapor-phase epitaxy (MOVPE) with excellent breakdown and high-speed characteristics. Atomic force microscopy (AFM) reveals high-quality heterointerfaces between In(Ga,Al)As and In(Al)P. Fabricated 80-nm-gate CC HEMTs exhibit on- and off-state breakdown (burnout) voltages estimated at higher than 3 and 8 V. An excellent current-gain cutoff frequency ( fT) of 186 GHz is also obtained in the CC HEMTs. The on-wafer uniformity of CC-HEMT characteristics is comparable to those of our mature 100-nm-gate InGaAs single-channel HEMTs. Bias-stress aging tests reveals that the lifetime of CC HEMTs is expected to be comparable to that of our conventional InGaAs single-channel HEMTs.

  19. New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo

    2006-10-01

    A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.

  20. Electrical and carrier transport properties of the Au/Y2O3/n-GaN metal-insulator-semiconductor (MIS) diode with rare-earth oxide interlayer

    NASA Astrophysics Data System (ADS)

    Venkata Prasad, C.; Rajagopal Reddy, V.; Choi, Chel-Jong

    2017-04-01

    The electrical and transport properties of rare-earth Y2O3 on n-type GaN with Au electrode have been investigated by current-voltage and capacitance-voltage techniques at room temperature. The Au/Y2O3/n-GaN metal-insulator-semiconductor (MIS) diode shows a good rectification behavior compared to the Au/n-GaN metal-semiconductor (MS) diode. Statistical analysis showed that a mean barrier height (BH) and ideality factor are 0.78 eV and 1.93, and 0.96 eV and 2.09 for the Au/n-GaN MS and Au/Y2O3/n-GaN MIS diodes, respectively. Results indicate that the high BH is obtained for the MIS diode compared to the MS diode. The BH, ideality factor and series resistance are also estimated by Cheung's function and Norde method. From the forward current-voltage data, the interface state density ( N SS) is estimated for both the MS and MIS Schottky diodes, and found that the estimated N SS is lower for the MIS diode compared to the MS diode. The results reveal that the introduction of Y2O3 interlayer facilitated the reduction of N SS of the Au/n-GaN interface. Experimental results suggest that the Poole-Frenkel emission is a dominant conduction mechanism in the reverse bias region of both Au/n-GaN MS and Au/Y2O3/n-GaN MIS diodes.

  1. Three-dimensional morphology of GaP-GaAs nanowires revealed by transmission electron microscopy tomography.

    PubMed

    Verheijen, Marcel A; Algra, Rienk E; Borgström, Magnus T; Immink, George; Sourty, Erwan; Enckevort, Willem J P van; Vlieg, Elias; Bakkers, Erik P A M

    2007-10-01

    We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission electron microscopy tomography allowed the three-dimensional morphology to be resolved, and discrimination between the effect of axial (core) and radial (shell) growth on the morphology. A temperature- and precursor-dependent structure diagram for the GaP nanowire core morphology and the evolution of the different types of side facets during GaAs and GaP shell growth were constituted.

  2. Surface and bulk effects of K in Cu 1-xK xIn 1-yGa ySe 2 solar cells

    DOE PAGES

    Muzzillo, Christopher P.; Anderson, Timothy J.

    2017-12-29

    Two strategies for enhancing photovoltaic (PV) performance in chalcopyrite solar cells were investigated: Cu 1-xK xIn 1-yGa ySe 2 absorbers with low K content (K/(K+Cu), or x ~ 0.07) distributed throughout the bulk, and CuIn 1-yGa ySe 2 absorbers with KIn 1-yGa ySe 2 grown on their surfaces. Distributing K throughout the bulk absorbers improved power conversion efficiency, open-circuit voltage (VOC) and fill factor (FF) for Ga/(Ga+In) of 0, 0.3 and 0.5. Surface KIn 1-yGa ySe 2 and bulk x ~ 0.07 Cu 1-xK xIn 1-yGa ySe 2 films with Ga/(Ga+In), or y of 0.3 and 0.5 also had improvedmore » efficiency, VOC, and FF, relative to CuIn 1-yGa ySe 2 baselines. On the other hand, y ~ 1 absorbers did not benefit from K introduction. Similar to Cu 1-xK xInSe 2, the formation of Cu 1-xK xGaSe 2 alloys was favored at low temperatures and high Na supply by the substrate, relative to the formation of mixed-phase CuGaSe 2 + KGaSe 2. KIn 1-yGa ySe 2 alloys were grown for the first time, as evidenced by X-ray diffraction and ultraviolet/visible spectroscopy. For all Ga/(Ga+In) compositions, the surface KIn 1-yGa ySe 2 absorbers had superior PV performance in buffered and buffer-free devices. However, the bulk x ~ 0.07 absorbers only outperformed the baselines in buffered devices. The data demonstrate that KIn 1-yGa ySe 2 passivates the surface of CuIn 1-yGa ySe 2 to increase efficiency, VOC, and FF, while bulk Cu 1-xK xIn 1-yGa ySe 2 absorbers with x ~ 0.07 enhance efficiency, VOC, and FF by some other mechanism.« less

  3. Surface and bulk effects of K in Cu 1-xK xIn 1-yGa ySe 2 solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muzzillo, Christopher P.; Anderson, Timothy J.

    Two strategies for enhancing photovoltaic (PV) performance in chalcopyrite solar cells were investigated: Cu 1-xK xIn 1-yGa ySe 2 absorbers with low K content (K/(K+Cu), or x ~ 0.07) distributed throughout the bulk, and CuIn 1-yGa ySe 2 absorbers with KIn 1-yGa ySe 2 grown on their surfaces. Distributing K throughout the bulk absorbers improved power conversion efficiency, open-circuit voltage (VOC) and fill factor (FF) for Ga/(Ga+In) of 0, 0.3 and 0.5. Surface KIn 1-yGa ySe 2 and bulk x ~ 0.07 Cu 1-xK xIn 1-yGa ySe 2 films with Ga/(Ga+In), or y of 0.3 and 0.5 also had improvedmore » efficiency, VOC, and FF, relative to CuIn 1-yGa ySe 2 baselines. On the other hand, y ~ 1 absorbers did not benefit from K introduction. Similar to Cu 1-xK xInSe 2, the formation of Cu 1-xK xGaSe 2 alloys was favored at low temperatures and high Na supply by the substrate, relative to the formation of mixed-phase CuGaSe 2 + KGaSe 2. KIn 1-yGa ySe 2 alloys were grown for the first time, as evidenced by X-ray diffraction and ultraviolet/visible spectroscopy. For all Ga/(Ga+In) compositions, the surface KIn 1-yGa ySe 2 absorbers had superior PV performance in buffered and buffer-free devices. However, the bulk x ~ 0.07 absorbers only outperformed the baselines in buffered devices. The data demonstrate that KIn 1-yGa ySe 2 passivates the surface of CuIn 1-yGa ySe 2 to increase efficiency, VOC, and FF, while bulk Cu 1-xK xIn 1-yGa ySe 2 absorbers with x ~ 0.07 enhance efficiency, VOC, and FF by some other mechanism.« less

  4. Effect of thermal annealing on the redistribution of alkali metals in Cu(In,Ga)Se2 solar cells on glass substrate

    NASA Astrophysics Data System (ADS)

    Kamikawa, Yukiko; Nishinaga, Jiro; Ishizuka, Shogo; Tayagaki, Takeshi; Guthrey, Harvey; Shibata, Hajime; Matsubara, Koji; Niki, Shigeru

    2018-03-01

    The precise control of alkali-metal concentrations in Cu(In,Ga)Se2 (CIGS) solar cells via post deposition treatment (PDT) has recently attracted attention. When PDT is performed at an elevated temperature, an accompanying annealing effect is expected. Here, we investigate how thermal annealing affects the redistribution of alkali metals in CIGS solar cells on glass substrates and the properties of the solar cells. In addition, we investigate the origin of non-homogeneous alkali-metal depth profiles that are typical of CIGS grown using a three-stage process. In particular, we use secondary-ion mass spectrometry measurements of the ion concentration as a function of distance from the CIGS surface to investigate the impact of thermal annealing on the distribution of alkali metals (Na, Ka, and Rb) and constituent elements (Ga and In) in the CIGS absorbers. We find that the depth profiles of the alkali metals strongly reflect the density of sites that tend to accommodate alkali metals, i.e., vacancies. Annealing at elevated temperature caused a redistribution of the alkali metals. The thermal-diffusion kinetics of alkali metals depends strongly on the species involved. We introduced low flux potassium fluoride (KF) to study a side effect of KF-PDT, i.e., Na removal from CIGS, separately from its predominant effects such as surface modification. When sufficient amounts of Na are supplied from the soda lime glass via annealing at an elevated temperature, the negative effect was not apparent. Conversely, when the Na supply was not sufficient, it caused a deterioration of the photovoltaic properties.

  5. Effect of thermal annealing on the redistribution of alkali metals in Cu(In,Ga)Se 2 solar cells on glass substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamikawa, Yukiko; Nishinaga, Jiro; Ishizuka, Shogo

    The precise control of alkali-metal concentrations in Cu(In,Ga)Se 2 (CIGS) solar cells via post deposition treatment (PDT) has recently attracted attention. When PDT is performed at an elevated temperature, an accompanying annealing effect is expected. Here, we investigate how thermal annealing affects the redistribution of alkali metals in CIGS solar cells on glass substrates and the properties of the solar cells. In addition, we investigate the origin of non-homogeneous alkali-metal depth profiles that are typical of CIGS grown using a three-stage process. In particular, we use secondary-ion mass spectrometry measurements of the ion concentration as a function of distance frommore » the CIGS surface to investigate the impact of thermal annealing on the distribution of alkali metals (Na, Ka, and Rb) and constituent elements (Ga and In) in the CIGS absorbers. We find that the depth profiles of the alkali metals strongly reflect the density of sites that tend to accommodate alkali metals, i.e., vacancies. Annealing at elevated temperature caused a redistribution of the alkali metals. The thermal-diffusion kinetics of alkali metals depends strongly on the species involved. We introduced low flux potassium fluoride (KF) to study a side effect of KF-PDT, i.e., Na removal from CIGS, separately from its predominant effects such as surface modification. When sufficient amounts of Na are supplied from the soda lime glass via annealing at an elevated temperature, the negative effect was not apparent. Conversely, when the Na supply was not sufficient, it caused a deterioration of the photovoltaic properties.« less

  6. Effect of thermal annealing on the redistribution of alkali metals in Cu(In,Ga)Se 2 solar cells on glass substrate

    DOE PAGES

    Kamikawa, Yukiko; Nishinaga, Jiro; Ishizuka, Shogo; ...

    2018-03-07

    The precise control of alkali-metal concentrations in Cu(In,Ga)Se 2 (CIGS) solar cells via post deposition treatment (PDT) has recently attracted attention. When PDT is performed at an elevated temperature, an accompanying annealing effect is expected. Here, we investigate how thermal annealing affects the redistribution of alkali metals in CIGS solar cells on glass substrates and the properties of the solar cells. In addition, we investigate the origin of non-homogeneous alkali-metal depth profiles that are typical of CIGS grown using a three-stage process. In particular, we use secondary-ion mass spectrometry measurements of the ion concentration as a function of distance frommore » the CIGS surface to investigate the impact of thermal annealing on the distribution of alkali metals (Na, Ka, and Rb) and constituent elements (Ga and In) in the CIGS absorbers. We find that the depth profiles of the alkali metals strongly reflect the density of sites that tend to accommodate alkali metals, i.e., vacancies. Annealing at elevated temperature caused a redistribution of the alkali metals. The thermal-diffusion kinetics of alkali metals depends strongly on the species involved. We introduced low flux potassium fluoride (KF) to study a side effect of KF-PDT, i.e., Na removal from CIGS, separately from its predominant effects such as surface modification. When sufficient amounts of Na are supplied from the soda lime glass via annealing at an elevated temperature, the negative effect was not apparent. Conversely, when the Na supply was not sufficient, it caused a deterioration of the photovoltaic properties.« less

  7. Polarization-enhanced InGaN/GaN-based hybrid tunnel junction contacts to GaN p-n diodes and InGaN LEDs

    NASA Astrophysics Data System (ADS)

    Mughal, Asad J.; Young, Erin C.; Alhassan, Abdullah I.; Back, Joonho; Nakamura, Shuji; Speck, James S.; DenBaars, Steven P.

    2017-12-01

    Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal-organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p-n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm-3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10-3-3.4 × 10-3 Ω·cm2, and the turn-on voltages of the diodes.

  8. Adsorption and diffusion of Ga and N adatoms on GaN surfaces: Comparing the effects of Ga coverage and electronic excitation

    NASA Astrophysics Data System (ADS)

    Takeuchi, Noboru; Selloni, Annabella; Myers, T. H.; Doolittle, A.

    2005-09-01

    We present density-functional-theory calculations of the binding and diffusion of Ga and N adatoms on GaN (0001) and (000-1) surfaces under different conditions, including stoichiometric and Ga-rich surfaces, as well as in the presence of electron-hole (e-h) pairs induced by light- or electron-beam irradiation. We find that both Ga-rich conditions and electronic excitations cause a significant reduction of the adatom diffusion barriers, as required to improve the quality of the material. However, the two effects are nonadditive, as the influence of e-h pairs are found to be less important for the more metallic situations.

  9. Harmonic generation in metallic, GaAs-filled nanocavities in the enhanced transmission regime at visible and UV wavelengths.

    PubMed

    Vincenti, M A; de Ceglia, D; Roppo, V; Scalora, M

    2011-01-31

    We have conducted a theoretical study of harmonic generation from a silver grating having slits filled with GaAs. By working in the enhanced transmission regime, and by exploiting phase-locking between the pump and its harmonics, we guarantee strong field localization and enhanced harmonic generation under conditions of high absorption at visible and UV wavelengths. Silver is treated using the hydrodynamic model, which includes Coulomb and Lorentz forces, convection, electron gas pressure, plus bulk χ(3) contributions. For GaAs we use nonlinear Lorentz oscillators, with characteristic χ(2) and χ(3) and nonlinear sources that arise from symmetry breaking and Lorentz forces. We find that: (i) electron pressure in the metal contributes to linear and nonlinear processes by shifting/reshaping the band structure; (ii) TE- and TM-polarized harmonics can be generated efficiently; (iii) the χ(2) tensor of GaAs couples TE- and TM-polarized harmonics that create phase-locked pump photons having polarization orthogonal compared to incident pump photons; (iv) Fabry-Perot resonances yield more efficient harmonic generation compared to plasmonic transmission peaks, where most of the light propagates along external metal surfaces with little penetration inside its volume. We predict conversion efficiencies that range from 10(-6) for second harmonic generation to 10(-3) for the third harmonic signal, when pump power is 2 GW/cm2.

  10. The half-metallicity of LiMgPdSn-type quaternary Heusler alloys FeMnScZ (Z=Al, Ga, In): A first-principle study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Y. C., E-mail: gaoyc1963@126.com; Gao, X.

    2015-05-15

    Based on the first-principles calculations, quaternary Heusler alloys FeMnScZ (Z=Al, Ga, In) including its phase stability, band gap, the electronic structures and magnetic properties has been studied systematically. We have found that, in terms of the equilibrium lattice constants, FeMnScZ (Z=Al, Ga, In) are half-metallic ferrimagnets, which can sustain the high spin polarization under a very large amount of lattice distortions. The half-metallic band gap in FeMnScZ (Z=Al, Ga, In) alloys originates from the t{sub 1u}-t{sub 2g} splitting instead of the e{sub u}-t{sub 1u} splitting. The total magnetic moments are 3μB per unit cell for FeMnScZ (Z=Al, Ga, In) alloysmore » following the Slater–Pauling rule with the total number of valence electrons minus 18 rather than 24. According to the study, the conclusion can be drawn that all of these compounds which have a negative formation energy are possible to be synthesized experimentally.« less

  11. The half-metallicity of LiMgPdSn-type quaternary Heusler alloys FeMnScZ (Z=Al, Ga, In): A first-principle study

    NASA Astrophysics Data System (ADS)

    Gao, Y. C.; Gao, X.

    2015-05-01

    Based on the first-principles calculations, quaternary Heusler alloys FeMnScZ (Z=Al, Ga, In) including its phase stability, band gap, the electronic structures and magnetic properties has been studied systematically. We have found that, in terms of the equilibrium lattice constants, FeMnScZ (Z=Al, Ga, In) are half-metallic ferrimagnets, which can sustain the high spin polarization under a very large amount of lattice distortions. The half-metallic band gap in FeMnScZ (Z=Al, Ga, In) alloys originates from the t1u-t2g splitting instead of the eu-t1u splitting. The total magnetic moments are 3μB per unit cell for FeMnScZ (Z=Al, Ga, In) alloys following the Slater-Pauling rule with the total number of valence electrons minus 18 rather than 24. According to the study, the conclusion can be drawn that all of these compounds which have a negative formation energy are possible to be synthesized experimentally.

  12. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  13. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  14. Reliability analysis of InGaN/GaN multi-quantum-well solar cells under thermal stress

    NASA Astrophysics Data System (ADS)

    Huang, Xuanqi; Fu, Houqiang; Chen, Hong; Lu, Zhijian; Baranowski, Izak; Montes, Jossue; Yang, Tsung-Han; Gunning, Brendan P.; Koleske, Dan; Zhao, Yuji

    2017-12-01

    We investigate the thermal stability of InGaN solar cells under thermal stress at elevated temperatures from 400 °C to 500 °C. High Resolution X-Ray Diffraction analysis reveals that material quality of InGaN/GaN did not degrade after thermal stress. The external quantum efficiency characteristics of solar cells were well-maintained at all temperatures, which demonstrates the thermal robustness of InGaN materials. Analysis of current density-voltage (J-V) curves shows that the degradation of conversion efficiency of solar cells is mainly caused by the decrease in open-circuit voltage (Voc), while short-circuit current (Jsc) and fill factor remain almost constant. The decrease in Voc after thermal stress is attributed to the compromised metal contacts. Transmission line method results further confirmed that p-type contacts became Schottky-like after thermal stress. The Arrhenius model was employed to estimate the failure lifetime of InGaN solar cells at different temperatures. These results suggest that while InGaN solar cells have high thermal stability, the degradation in the metal contact could be the major limiting factor for these devices under high temperature operation.

  15. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  16. Method of deforming a biaxially textured buffer layer on a textured metallic substrate and articles therefrom

    DOEpatents

    Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    The present invention provides methods and biaxially textured articles having a deformed epitaxial layer formed therefrom for use with high temperature superconductors, photovoltaic, ferroelectric, or optical devices. A buffer layer is epitaxially deposited onto biaxially-textured substrates and then mechanically deformed. The deformation process minimizes or eliminates grooves, or other irregularities, formed on the buffer layer while maintaining the biaxial texture of the buffer layer. Advantageously, the biaxial texture of the buffer layer is not altered during subsequent heat treatments of the deformed buffer. The present invention provides mechanical densification procedures which can be incorporated into the processing of superconducting films through the powder deposit or precursor approaches without incurring unfavorable high-angle grain boundaries.

  17. Comparative analysis of strain fields in layers of step-graded metamorphic buffers of various designs

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ruban, O. A.; Tabachkova, N. Yu.; Shchetinin, I. V.

    2017-10-01

    Spatial distribution of residual elastic strain in the layers of two step-graded metamophic buffers of various designs, grown by molecular beam epitaxy from ternary InxAl1-xAs solutions on GaAs(001) substrates, is obtained using reciprocal space mapping by three-axis X-ray diffractometry and the linear theory of elasticity. The difference in the design of the buffers enabled the formation of a dislocation-free layer with different thickness in each of the heterostructures, which was the main basis of this study. It is shown that, in spite of the different design of graded metamorphic buffers, the nature of strain fields in them is the same, and the residual elastic strains in the final elements of both buffers adjusted for the effect of work hardening subject to the same phenomenological law, which describes the strain relief process in single-layer heterostructures.

  18. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    NASA Astrophysics Data System (ADS)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  19. Tailoring the electronic structure of β-Ga2O3 by non-metal doping from hybrid density functional theory calculations.

    PubMed

    Guo, Weiyan; Guo, Yating; Dong, Hao; Zhou, Xin

    2015-02-28

    A systematic study using density functional theory has been performed for β-Ga2O3 doped with non-metal elements X (X = C, N, F, Si, P, S, Cl, Se, Br, and I) to evaluate the effect of doping on the band edges and photocatalytic activity of β-Ga2O3. The utilization of a more reliable hybrid density functional, as prescribed by Heyd, Scuseria and Ernzerhof, is found to be effective in predicting the band gap of β-Ga2O3 (4.5 eV), in agreement with the experimental result (4.59 eV). Based on the relaxed structures of X-doped systems, the defect formation energies and the plots of density of states have been calculated to analyze the band edges, the band gap states and the preferred doping sites. Our results show that the doping is energetically favored under Ga-rich growth conditions with respect to O-rich growth conditions. It is easier to replace the threefold coordinated O atom with non-metal elements compared to the fourfold coordinated O atom. X-doped systems (X = C, Si, P) show no change in the band gap, with the presence of discrete midgap states, which have adverse effect on the photocatalytic properties. The photocatalytic redox ability can be improved to a certain extent by doping with N, S, Cl, Se, Br, and I. The band alignments for Se-doped and I-doped β-Ga2O3 are well positioned for the feasibility of both photo-oxidation and photo-reduction of water, which are promising photocatalysts for water splitting in the visible region.

  20. The SAM, not the electrodes, dominates charge transport in metal-monolayer//Ga2O3/gallium-indium eutectic junctions.

    PubMed

    Reus, William F; Thuo, Martin M; Shapiro, Nathan D; Nijhuis, Christian A; Whitesides, George M

    2012-06-26

    The liquid-metal eutectic of gallium and indium (EGaIn) is a useful electrode for making soft electrical contacts to self-assembled monolayers (SAMs). This electrode has, however, one feature whose effect on charge transport has been incompletely understood: a thin (approximately 0.7 nm) film-consisting primarily of Ga(2)O(3)-that covers its surface when in contact with air. SAMs that rectify current have been measured using this electrode in Ag(TS)-SAM//Ga(2)O(3)/EGaIn (where Ag(TS) = template-stripped Ag surface) junctions. This paper organizes evidence, both published and unpublished, showing that the molecular structure of the SAM (specifically, the presence of an accessible molecular orbital asymmetrically located within the SAM), not the difference between the electrodes or the characteristics of the Ga(2)O(3) film, causes the observed rectification. By examining and ruling out potential mechanisms of rectification that rely either on the Ga(2)O(3) film or on the asymmetry of the electrodes, this paper demonstrates that the structure of the SAM dominates charge transport through Ag(TS)-SAM//Ga(2)O(3)/EGaIn junctions, and that the electrical characteristics of the Ga(2)O(3) film have a negligible effect on these measurements.

  1. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  2. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  3. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  4. In-situ wafer bowing measurements of GaN grown on Si (111) substrate by reflectivity mapping in metal organic chemical vapor deposition system

    NASA Astrophysics Data System (ADS)

    Yang, Yi-Bin; Liu, Ming-Gang; Chen, Wei-Jie; Han, Xiao-Biao; Chen, Jie; Lin, Xiu-Qi; Lin, Jia-Li; Luo, Hui; Liao, Qiang; Zang, Wen-Jie; Chen, Yin-Song; Qiu, Yun-Ling; Wu, Zhi-Sheng; Liu, Yang; Zhang, Bai-Jun

    2015-09-01

    In this work, the wafer bowing during growth can be in-situ measured by a reflectivity mapping method in the 3×2″ Thomas Swan close coupled showerhead metal organic chemical vapor deposition (MOCVD) system. The reflectivity mapping method is usually used to measure the film thickness and growth rate. The wafer bowing caused by stresses (tensile and compressive) during the epitaxial growth leads to a temperature variation at different positions on the wafer, and the lower growth temperature leads to a faster growth rate and vice versa. Therefore, the wafer bowing can be measured by analyzing the discrepancy of growth rates at different positions on the wafer. Furthermore, the wafer bowings were confirmed by the ex-situ wafer bowing measurement. High-resistivity and low-resistivity Si substrates were used for epitaxial growth. In comparison with low-resistivity Si substrate, GaN grown on high-resistivity substrate shows a larger wafer bowing caused by the highly compressive stress introduced by compositionally graded AlGaN buffer layer. This transition of wafer bowing can be clearly in-situ measured by using the reflectivity mapping method. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274039 and 51177175), the National Basic Research Program of China (Grant No. 2011CB301903), the Ph.D. Programs Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Science and Technology Collaboration Program of China (Grant No. 2012DFG52260), the International Science and Technology Collaboration Program of Guangdong Province, China (Grant No. 2013B051000041), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), the National High Technology Research and Development Program of China (Grant No. 2014AA032606), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics, China (Grant No. IOSKL2014KF17).

  5. Recent advancements in monolithic AlGaAs/GaAs solar cells for space applications

    NASA Technical Reports Server (NTRS)

    Wickham, K. R.; Chung, B.-C.; Klausmeier-Brown, M.; Kuryla, M. S.; Ristow, M. Ladle; Virshup, G. F.; Werthen, J. G.

    1991-01-01

    High efficiency, two terminal, multijunction AlGaAs/GaAs solar cells were reproducibly made with areas of 0.5 sq cm. The multiple layers in the cells were grown by Organo Metallic Vapor Phase Epitaxy (OMVPE) on GaAs substrates in the n-p configuration. The upper AlGaAs cell has a bandgap of 1.93 eV and is connected in series to the lower GaAs cell (1.4 eV) via a metal interconnect deposited during post-growth processing. A prismatic coverglass is installed on top of the cell to reduce obscuration caused by the gridlines. The best 0.5 sq cm cell has a two terminal efficiency of 23.0 pct. at 1 sun, air mass zero (AM0) and 25 C. To date, over 300 of these cells were grown and processed for a manufacturing demonstration. Yield and efficiency data for this demonstration are presented. As a first step toward the goal of a 30 pct. efficient cell, a mechanical stack of the 0.5 sq cm cells described above, and InGaAsP (0.95 eV) solar cells was made. The best two terminal measurement to date yields an efficiency of 25.2 pct. AM0. This is the highest reported efficiency of any two terminal, 1 sun space solar cell.

  6. Color tunable monolithic InGaN/GaN LED having a multi-junction structure.

    PubMed

    Kong, Duk-Jo; Kang, Chang-Mo; Lee, Jun-Yeob; Kim, James; Lee, Dong-Seon

    2016-03-21

    In this study, we have fabricated a blue-green color-tunable monolithic InGaN/GaN LED having a multi-junction structure with three terminals. The device has an n-p-n structure consisting of a green and a blue active region, i.e., an n-GaN / blue-MQW / p-GaN / green-MQW / n-GaN / Al2O3 structure with three terminals for independently controlling the two active regions. To realize this LED structure, a typical LED consisting of layers of n-GaN, blue MQW, and p-GaN is regrown on a conventional green LED by using a metal organic chemical vapor deposition (MOCVD) method. We explain detailed mechanisms of three operation modes which are the green, blue, and cyan mode. Moreover, we discuss optical properties of the device.

  7. Theoretical evaluation of two dimensional electron gas characteristics of quaternary AlxInyGa1-x-yN/GaN hetero-junctions

    NASA Astrophysics Data System (ADS)

    Rahbardar Mojaver, Hassan; Manouchehri, Farzin; Valizadeh, Pouya

    2016-04-01

    The two dimensional electron gas (2DEG) characteristics of gated metal-face wurtzite AlInGaN/GaN hetero-junctions including positions of subband energy levels, fermi energy level, and the 2DEG concentration as functions of physical and compositional properties of the hetero-junction (i.e., barrier thickness and metal mole-fractions) are theoretically evaluated using the variational method. The calculated values of the 2DEG concentration are in good agreement with the sparsely available experimental data reported in the literature. According to our simulation results, a considerable shift in the positive direction of threshold voltage of AlInGaN/GaN hetero-junction field-effect transistors can be achieved by engineering both the spontaneous and the piezoelectric polarizations using a quaternary AlInGaN barrier-layer of appropriate mole-fractions.

  8. Enzymatic glucose detection using ZnO nanorods on the gate region of AlGaN /GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Wang, H. T.; Ren, F.; Pearton, S. J.; Morey, T. E.; Dennis, D. M.; Johnson, J. W.; Rajagopal, P.; Roberts, J. C.; Piner, E. L.; Linthicum, K. J.

    2007-12-01

    ZnO nanorod-gated AlGaN /GaN high electron mobility transistors (HEMTs) are demonstrated for the detection of glucose. A ZnO nanorod array was selectively grown on the gate area using low temperature hydrothermal decomposition to immobilize glucose oxidase (GOx). The one-dimensional ZnO nanorods provide a large effective surface area with high surface-to-volume ratio and provide a favorable environment for the immobilization of GOx. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when target glucose in a buffer with a pH value of 7.4 was added to the GOx immobilized on the ZnO nanorod surface. We could detect a wide range of concentrations from 0.5nMto125μM. The sensor exhibited a linear range from 0.5nMto14.5μM and an experiment limit of detection of 0.5nM. This demonstrates the possibility of using AlGaN /GaN HEMTs for noninvasive exhaled breath condensate based glucose detection of diabetic application.

  9. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  10. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE PAGES

    Varley, J. B.; Lordi, V.; He, X.; ...

    2017-07-17

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  11. Electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP

    NASA Astrophysics Data System (ADS)

    Ferrandis, Philippe; Billaud, Mathilde; Duvernay, Julien; Martin, Mickael; Arnoult, Alexandre; Grampeix, Helen; Cassé, Mikael; Boutry, Hervé; Baron, Thierry; Vinet, Maud; Reimbold, Gilles

    2018-04-01

    To overcome the Fermi-level pinning in III-V metal-oxide-semiconductor capacitors, attention is usually focused on the choice of dielectric and surface chemical treatments prior to oxide deposition. In this work, we examined the influence of the III-V material surface cleaning and the semiconductor growth technique on the electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP(100) substrates. By means of the capacitance-voltage measurements, we demonstrated that samples do not have the same total oxide charge density depending on the cleaning solution used [(NH4)2S or NH4OH] prior to oxide deposition. The determination of the interface trap density revealed that a Fermi-level pinning occurs for samples grown by metalorganic chemical vapor deposition but not for similar samples grown by molecular beam epitaxy. Deep level transient spectroscopy analysis explained the Fermi-level pinning by an additional signal for samples grown by metalorganic chemical vapor deposition, attributed to the tunneling effect of carriers trapped in oxide toward interface states. This work emphasizes that the choice of appropriate oxide and cleaning treatment is not enough to prevent a Fermi-level pinning in III-V metal-oxide-semiconductor capacitors. The semiconductor growth technique needs to be taken into account because it impacts the trapping properties of the oxide.

  12. InGaN/GaN tunnel junctions for hole injection in GaN light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnamoorthy, Sriram, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu; Akyol, Fatih; Rajan, Siddharth, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu

    InGaN/GaN tunnel junction contacts were grown using plasma assisted molecular beam epitaxy (MBE) on top of a metal-organic chemical vapor deposition (MOCVD)-grown InGaN/GaN blue (450 nm) light emitting diode. A voltage drop of 5.3 V at 100 mA, forward resistance of 2 × 10{sup −2} Ω cm{sup 2}, and a higher light output power compared to the reference light emitting diodes (LED) with semi-transparent p-contacts were measured in the tunnel junction LED (TJLED). A forward resistance of 5 × 10{sup −4} Ω cm{sup 2} was measured in a GaN PN junction with the identical tunnel junction contact as the TJLED, grown completely by MBE. Themore » depletion region due to the impurities at the regrowth interface between the MBE tunnel junction and the MOCVD-grown LED was hence found to limit the forward resistance measured in the TJLED.« less

  13. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  14. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  15. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  16. Processing of AlGaAs/GaAs quantum-cascade structures for terahertz laser

    NASA Astrophysics Data System (ADS)

    Szerling, Anna; Kosiel, Kamil; Szymański, Michał; Wasilewski, Zbig; Gołaszewska, Krystyna; Łaszcz, Adam; Płuska, Mariusz; Trajnerowicz, Artur; Sakowicz, Maciej; Walczakowski, Michał; Pałka, Norbert; Jakieła, Rafał; Piotrowska, Anna

    2015-01-01

    We report research results with regard to AlGaAs/GaAs structure processing for THz quantum-cascade lasers (QCLs). We focus on the processes of Ti/Au cladding fabrication for metal-metal waveguides and wafer bonding with indium solder. Particular emphasis is placed on optimization of technological parameters for the said processes that result in working devices. A wide range of technological parameters was studied using test structures and the analysis of their electrical, optical, chemical, and mechanical properties performed by electron microscopic techniques, energy dispersive x-ray spectrometry, secondary ion mass spectroscopy, atomic force microscopy, Fourier-transform infrared spectroscopy, and circular transmission line method. On that basis, a set of technological parameters was selected for the fabrication of devices lasing at a maximum temperature of 130 K from AlGaAs/GaAs structures grown by means of molecular beam epitaxy. Their resulting threshold-current densities were on a level of 1.5 kA/cm2. Furthermore, initial stage research regarding fabrication of Cu-based claddings is reported as these are theoretically more promising than the Au-based ones with regard to low-loss waveguide fabrication for THz QCLs.

  17. Electrical compensation by Ga vacancies in Ga2O3 thin films

    NASA Astrophysics Data System (ADS)

    Korhonen, E.; Tuomisto, F.; Gogova, D.; Wagner, G.; Baldini, M.; Galazka, Z.; Schewski, R.; Albrecht, M.

    2015-06-01

    The authors have applied positron annihilation spectroscopy to study the vacancy defects in undoped and Si-doped Ga2O3 thin films. The results show that Ga vacancies are formed efficiently during metal-organic vapor phase epitaxy growth of Ga2O3 thin films. Their concentrations are high enough to fully account for the electrical compensation of Si doping. This is in clear contrast to another n-type transparent semiconducting oxide In2O3, where recent results show that n-type conductivity is not limited by cation vacancies but by other intrinsic defects such as Oi.

  18. Transient atomic behavior and surface kinetics of GaN

    NASA Astrophysics Data System (ADS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  19. Calculation of metamorphic two-dimensional quantum energy system: Application to wetting layer states in InAs/InGaAs metamorphic quantum dot nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seravalli, L.; Trevisi, G.; Frigeri, P.

    In this work, we calculate the two-dimensional quantum energy system of the In(Ga)As wetting layer that arises in InAs/InGaAs/GaAs metamorphic quantum dot structures. Model calculations were carried on the basis of realistic material parameters taking in consideration their dependence on the strain relaxation of the metamorphic buffer; results of the calculations were validated against available literature data. Model results confirmed previous hypothesis on the extrinsic nature of the disappearance of wetting layer emission in metamorphic structures with high In composition. We also show how, by adjusting InGaAs metamorphic buffer parameters, it could be possible: (i) to spatially separate carriers confinedmore » in quantum dots from wetting layer carriers, (ii) to create an hybrid 0D-2D system, by tuning quantum dot and wetting layer levels. These results are interesting not only for the engineering of quantum dot structures but also for other applications of metamorphic structures, as the two design parameters of the metamorphic InGaAs buffer (thickness and composition) provide additional degrees of freedom to control properties of interest.« less

  20. ssDNA degradation along capillary electrophoresis process using a Tris buffer.

    PubMed

    Ric, Audrey; Ong-Meang, Varravaddheay; Poinsot, Verena; Martins-Froment, Nathalie; Chauvet, Fabien; Boutonnet, Audrey; Ginot, Frédéric; Ecochard, Vincent; Paquereau, Laurent; Couderc, François

    2017-06-01

    Tris-Acetate buffer is currently used in the selection and the characterization of ssDNA by capillary electrophoresis (CE). By applying high voltage, the migration of ionic species into the capillary generates a current that induces water electrolysis. This phenomenon is followed by the modification of the pH and the production of Tris derivatives. By injecting ten times by capillary electrophoresis ssDNA (50 nM), the whole oligonucleotide was degraded. In this paper, we will show that the Tris buffer in the running vials is modified along the electrophoretic process by electrochemical reactions. We also observed that the composition of the metal ions changes in the running buffer vials. This phenomenon, never described in CE, is important for fluorescent ssDNA analysis using Tris buffer. The oligonucleotides are degraded by electrochemically synthesized species (present in the running Tris vials) until it disappears, even if the separation buffer in the capillary is clean. To address these issues, we propose to use a sodium phosphate buffer that we demonstrate to be electrochemically inactive. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions: from protein buffer capacity prediction to bioprocess applications.

    PubMed

    Bahrenburg, Sven; Karow, Anne R; Garidel, Patrick

    2015-04-01

    Protein therapeutics, including monoclonal antibodies (mAbs), have significant buffering capacity, particularly at concentrations>50 mg/mL. This report addresses pH-related issues critical to adoption of self-buffered monoclonal antibody formulations. We evaluated solution conditions with protein concentrations ranging from 50 to 250 mg/mL. Samples were both buffer-free and conventionally buffered with citrate. Samples were non-isotonic or adjusted for isotonicity with NaCl or trehalose. Studies included accelerated temperature stability tests, shaking stability studies, and pH changes in infusion media as protein concentrate is added. We present averaged buffering slopes of capacity that can be applied to any mAb and present a general method for calculating buffering capacity of buffer-free, highly concentrated antibody liquid formulations. In temperature stability tests, neither buffer-free nor conventionally buffered solution conditions showed significant pH changes. Conventionally buffered solutions showed significantly higher opalescence than buffer-free ones. In general, buffer-free solution conditions showed less aggregation than conventionally buffered solutions. Shaking stability tests showed no differences between buffer-free and conventionally buffered solutions. "In-use" preparation experiments showed that pH in infusion bag medium can rapidly approximate that of self-buffered protein concentrate as concentrate is added. In summary, the buffer capacity of proteins can be predicted and buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions. Copyright © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. The fabrication of white light-emitting diodes using the n-ZnO/NiO/p-GaN heterojunction with enhanced luminescence.

    PubMed

    Abbasi, Mazhar Ali; Ibupoto, Zafar Hussain; Hussain, Mushtaque; Nur, Omer; Willander, Magnus

    2013-07-13

    Cheap and efficient white light-emitting diodes (LEDs) are of great interest due to the energy crisis all over the world. Herein, we have developed heterojunction LEDs based on the well-aligned ZnO nanorods and nanotubes on the p-type GaN with the insertion of the NiO buffer layer that showed enhancement in the light emission. Scanning electron microscopy have well demonstrated the arrays of the ZnO nanorods and the proper etching into the nanotubes. X-ray diffraction study describes the wurtzite crystal structure array of ZnO nanorods with the involvement of GaN at the (002) peak. The cathodoluminescence spectra represent strong and broad visible emission peaks compared to the UV emission and a weak peak at 425 nm which is originated from GaN. Electroluminescence study has shown highly improved luminescence response for the LEDs fabricated with NiO buffer layer compared to that without NiO layer. Introducing a sandwich-thin layer of NiO between the n-type ZnO and the p-type GaN will possibly block the injection of electrons from the ZnO to the GaN. Moreover, the presence of NiO buffer layer might create the confinement effect.

  3. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    NASA Astrophysics Data System (ADS)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  4. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  5. Sensing of biologically relevant d-metal ions using a Eu(III)-cyclen based luminescent displacement assay in aqueous pH 7.4 buffered solution.

    PubMed

    Kotova, Oxana; Comby, Steve; Gunnlaugsson, Thorfinnur

    2011-06-28

    1·Eu·BPS was developed as a luminescent lanthanide sensor for use in displacement assays for detection of d-metal ions by monitoring the changes in the europium emission, which was quenched for iron(II), with a detection limit of ∼10 pM (0.002 μg L(-1)) for Fe(II) in buffered pH 7.4 solution. This journal is © The Royal Society of Chemistry 2011

  6. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  7. Four-junction AlGaAs/GaAs laser power converter

    NASA Astrophysics Data System (ADS)

    Huang, Jie; Sun, Yurun; Zhao, Yongming; Yu, Shuzhen; Dong, Jianrong; Xue, Jiping; Xue, Chi; Wang, Jin; Lu, Yunqing; Ding, Yanwen

    2018-04-01

    Four-junction AlGaAs/GaAs laser power converters (LPCs) with n+-GaAs/p+-Al0.37Ga0.63As heterostructure tunnel junctions (TJs) have been designed and grown by metal-organic chemical vapor deposition (MOCVD) for converting the power of 808 nm lasers. A maximum conversion efficiency η c of 56.9% ± 4% is obtained for cells with an aperture of 3.14 mm2 at an input laser power of 0.2 W, while dropping to 43.3% at 1.5 W. Measured current–voltage (I–V) characteristics indicate that the performance of the LPC can be further improved by increasing the tunneling current density of TJs and optimizing the thicknesses of sub-cells to achieve current matching in LPC. Project financially supported by the National Natural Science Foundation of China (No. 61376065) and Zhongtian Technology Group Co. Ltd.

  8. Growth and characterization of GaAs/Al/GaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, P.; Oh, J.E.; Singh, J.

    Theoretical and experimental aspects of the growth of GaAs/Al/GaAs heterostructures have been investigated. In these heterostructures the GaAs on top of the buried metal layer is grown by migration-enhanced epitaxy (MEE) at low temperatures (200 and 400 {degree}C) to provide a kinetic barrier to the outdiffusion of Al during superlayer growth. The crystallinity and orientation of the Al film itself deposited on (100) GaAs at {approx}0 {degree}C was studied by transmission electron diffraction, dark-field imaging, and x-ray diffraction measurements. It is found that the Al growth is polycrystalline with a grain size {approx}60 A and the preferred growth orientation ismore » (111), which may be textured in plane but oriented out of plane. The quality of the GaAs superlayer grown on top of Al by MEE is very sensitive to the growth temperature. The layer grown at 400 {degree}C has good structural and optical quality, but is accompanied by considerable outdiffusion of Al at the Al-GaAs heterointerface. At 200 {degree}C, where the interface has good structural integrity, the superlayer exhibits twinning and no luminescence is observed.« less

  9. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  10. Low-Angle-Incidence Microchannel Epitaxy of a-Plane GaN Grown by Ammonia-Based Metal-Organic Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-04-01

    Low-angle-incidence microchannel epitaxy (LAIMCE) of a-plane GaN was performed using ammonia-based metal-organic molecular beam epitaxy to obtain wide and thin lateral overgrowth over a SiO2 mask. Trimethylgallium (TMG) was supplied perpendicular to the openings cut in the mask with a low incident angle of 5° relative to the substrate plane. The [NH3]/[TMG] ratio (R) dependence of GaN LAIMCE was optimized by varying R from 5 to 30. A wide lateral overgrowth of 3.7 µm with a dislocation density below the transmission electron microscope detection limit was obtained at R=15 for a thickness of 520 nm.

  11. Effects of growth temperature on the properties of InGaN channel heterostructures grown by pulsed metal organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yachao; Zhou, Xiaowei; Xu, Shengrui

    Pulsed metal organic chemical vapor deposition (P-MOCVD) is introduced into the growth of high quality InGaN channel heterostructures. The effects of InGaN channel growth temperature on the structural and transport properties of the heterostructures are investigated in detail. High resolution x-ray diffraction (HRXRD) and Photoluminescence (PL) spectra indicate that the quality of InGaN channel strongly depends on the growth temperature. Meanwhile, the atomic force microscopy (AFM) results show that the interface morphology between the InGaN channel and the barrier layer also relies on the growth temperature. Since the variation of material properties of InGaN channel has a significant influence onmore » the electrical properties of InAlN/InGaN heterostructures, the optimal transport properties can be achieved by adjusting the growth temperature. A very high two dimension electron gas (2DEG) density of 1.92 × 10{sup 13} cm{sup −2} and Hall electron mobility of 1025 cm{sup 2}/(V⋅s) at room temperature are obtained at the optimal growth temperature around 740 °C. The excellent transport properties in our work indicate that the heterostructure with InGaN channel is a promising candidate for the microwave power devices, and the results in this paper will be instructive for further study of the InGaN channel heterostructures.« less

  12. Oxidation of GaAs substrates to enable β-Ga2O3 films for sensors and optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Mao, Howard; Alhalaili, Badriyah; Kaya, Ahmet; Dryden, Daniel M.; Woodall, Jerry M.; Islam, M. Saif

    2017-08-01

    A very simple and inexpensive method for growing β-Ga2O3 films by heating GaAs wafers at high temperature in a furnace was found to contribute to large-area, high-quality β-Ga2O3 nanoscale thin films as well as nanowires depending on the growth conditions. We present the material characterization results including the optical band gap, Schottky barrier height with metal (gold), field ionization and photoconductance of β-Ga2O3 film and nanowires.

  13. Interfacial characterization and electrical properties of Ni–GaSb contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Kun-Lin, E-mail: kllin@narlabs.org.tw; Chen, Szu-Hung, E-mail: shchen168@narlabs.org.tw

    2014-10-06

    The microstructural characterization of Ni–GaSb junctions in samples annealed at 300 °C, 350 °C, and 400 °C in a N{sub 2} atmosphere was elucidated using transmission electron microscopy in conjunction with energy-dispersive spectrometry, nanobeam electron diffraction, and grazing-incident X-ray diffraction. Only the NiSb(Ga) phase is formed at the interface of Ni/GaSb when the annealing temperature is below 350 °C. However, three phases—NiSb, Ni{sub 2}Ga{sub 3}, and NiSb(Ga)—are formed simultaneously at the interface between Ni/GaSb when the annealing temperature is increased to 400 °C, which causes a significant increase in the sheet resistance of the Ni–GaSb alloy. These results indicate that the annealing temperature of themore » Ni/GaSb structure should be maintained below 350 °C for the formation of low-resistance metal Ni/GaSb contacts in GaSb-based p-type metal-oxide-semiconductor field-effect transistors.« less

  14. Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2002-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  15. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fastmore » Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.« less

  16. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  17. The origin of the residual conductivity of GaN films on ferroelectric materials

    NASA Astrophysics Data System (ADS)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  18. FInvestigation of enhancement mode HfO2 insulated N-polarity GaN/InN/GaN/In0.9Al0.1N heterostructure MISHEMT for high-frequency applications

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Mohankumar, N.; Godwin Raj, D.; Sarkar, Partha

    2017-08-01

    In this paper, we examined normally-OFF N-polar InN-channel Metal insulated semiconductor high-electron mobility transistors (MISHEMTs) device with a relaxed In0.9Al0.1N buffer layer. In addition, the enhancement-mode operation of the N-polar structure was investigated. The effect of scaling in N-polar MISHEMT, such as the dielectric and the channel thickness, alter the electrical behavior of the device. We have achieved a maximum drain current of 1.17 A/mm, threshold voltage (VT) =0.728 V, transconductance (gm) of 2.9 S mm-1, high ION/IOFF current ratio of 3.23×103, lowest ON-state resistance (RON) of 0.41 Ω mm and an intrinsic delay time (τ) of 1.456 Fs along with high-frequency performance with ft/ fmaxof 90 GHz/109 GHz and 180 GHz/260 GHz for TCH =0.5 nm at Vds =0.5 V and 1.0 V. The numerically simulated results of highly confined GaN/InN/GaN/In0.9Al0.1N heterostructure MISHEMT exhibits outstanding potential as one of the possibility to replace presently used N-polar MISHEMTs for delivering high power density and frequency at RF/power amplifier applications.

  19. Strain dependence of In incorporation in m-oriented GaInN/GaN multi quantum well structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Horenburg, Philipp, E-mail: p.horenburg@tu-braunschweig.de; Buß, Ernst Ronald; Rossow, Uwe

    We demonstrate a strong dependence of the indium incorporation efficiency on the strain state in m-oriented GaInN/GaN multi quantum well (MQW) structures. Insertion of a partially relaxed AlInN buffer layer opens up the opportunity to manipulate the strain situation in the MQW grown on top. By lattice-matching this AlInN layer to the c- or a-axis of the underlying GaN, relaxation towards larger a- or smaller c-lattice constants can be induced, respectively. This results in a modified template for the subsequent MQW growth. From X-ray diffraction and photoluminescence measurements, we derive significant effects on the In incorporation efficiency and In concentrationsmore » in the quantum well (QW) up to x = 38% without additional accumulation of strain energy in the QW region. This makes strain manipulation a very promising method for growth of high In-containing MQW structures for efficient, long wavelength light-emitting devices.« less

  20. Metal-Coated <100>-Cut GaAs Coupled to Tapered Parallel-Plate Waveguide for Cherenkov-Phase-Matched Terahertz Detection: Influence of Crystal Thickness

    NASA Astrophysics Data System (ADS)

    delos Santos, Ramon; Mag-usara, Valynn; Tuico, Anthony; Copa, Vernalyn; Salvador, Arnel; Yamamoto, Kohji; Somintac, Armando; Kurihara, Kazuyoshi; Kitahara, Hideaki; Tani, Masahiko; Estacio, Elmer

    2018-04-01

    The influence of crystal thickness of metal-coated <100>-cut GaAs (M-G-M) on Cherenkov-phase-matched terahertz (THz) pulse detection was studied. The M-G-M detectors were utilized in conjunction with a metallic tapered parallel-plate waveguide (TPPWG). Polarization-sensitive measurements were carried out to exemplify the efficacy of GaAs in detecting transverse magnetic (TM)- and transverse electric (TE)-polarized THz waves. The reduction of GaAs' thickness increased the THz amplitude spectra of the detected TM-polarized THz electro-optic (EO) signal due to enhanced electric field associated with a more tightly-focused and well-concentrated THz radiation on the thinner M-G-M. The higher-fluence THz beam coupled to the thinner M-G-M improved the integrated intensity of the detected THz amplitude spectrum. This trend was not observed for TE-polarized THz waves, wherein the integrated intensities were almost comparable. Nevertheless, good agreement of spectral line shapes of the superposed TM- and TE-polarized THz-EO signals with that of elliptically polarized THz-EO signal demonstrates excellent polarization-resolved detection capabilities of M-G-M via Cherenkov-phase-matched EO sampling technique.

  1. Metal-Coated <100>-Cut GaAs Coupled to Tapered Parallel-Plate Waveguide for Cherenkov-Phase-Matched Terahertz Detection: Influence of Crystal Thickness

    NASA Astrophysics Data System (ADS)

    delos Santos, Ramon; Mag-usara, Valynn; Tuico, Anthony; Copa, Vernalyn; Salvador, Arnel; Yamamoto, Kohji; Somintac, Armando; Kurihara, Kazuyoshi; Kitahara, Hideaki; Tani, Masahiko; Estacio, Elmer

    2018-06-01

    The influence of crystal thickness of metal-coated <100>-cut GaAs (M-G-M) on Cherenkov-phase-matched terahertz (THz) pulse detection was studied. The M-G-M detectors were utilized in conjunction with a metallic tapered parallel-plate waveguide (TPPWG). Polarization-sensitive measurements were carried out to exemplify the efficacy of GaAs in detecting transverse magnetic (TM)- and transverse electric (TE)-polarized THz waves. The reduction of GaAs' thickness increased the THz amplitude spectra of the detected TM-polarized THz electro-optic (EO) signal due to enhanced electric field associated with a more tightly-focused and well-concentrated THz radiation on the thinner M-G-M. The higher-fluence THz beam coupled to the thinner M-G-M improved the integrated intensity of the detected THz amplitude spectrum. This trend was not observed for TE-polarized THz waves, wherein the integrated intensities were almost comparable. Nevertheless, good agreement of spectral line shapes of the superposed TM- and TE-polarized THz-EO signals with that of elliptically polarized THz-EO signal demonstrates excellent polarization-resolved detection capabilities of M-G-M via Cherenkov-phase-matched EO sampling technique.

  2. 12 CFR 324.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 5 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 324.11 Section 324.11 Banks and Banking FEDERAL DEPOSIT INSURANCE CORPORATION... Requirements and Buffers § 324.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  3. Fabrication of 80-nm T-gate high indium In0.7Ga0.3As/In0.6Ga0.4As composite channels mHEMT on GaAs substrate with simple technological process

    NASA Astrophysics Data System (ADS)

    Xian, Ji; Xiaodong, Zhang; Weihua, Kang; Zhili, Zhang; Jiahui, Zhou; Wenjun, Xu; Qi, Li; Gongli, Xiao; Zhijun, Yin; Yong, Cai; Baoshun, Zhang; Haiou, Li

    2016-02-01

    An 80-nm gate length metamorphic high electron mobility transistor (mHEMT) on a GaAs substrate with high indium composite compound-channels In0.7Ga0.3 As/In0.6Ga0.4 As and an optimized grade buffer scheme is presented. High 2-DEG Hall mobility values of 10200 cm2/(V·s) and a sheet density of 3.5 × 1012 cm-2 at 300 K have been achieved. The device's T-shaped gate was made by utilizing a simple three layers electron beam resist, instead of employing a passivation layer for the T-share gate, which is beneficial to decreasing parasitic capacitance and parasitic resistance of the gate and simplifying the device manufacturing process. The ohmic contact resistance Rc is 0.2 ω·mm when using the same metal system with the gate (Pt/Ti/Pt/Au), which reduces the manufacturing cycle of the device. The mHEMT device demonstrates excellent DC and RF characteristics. The peak extrinsic transconductance of 1.1 S/mm and the maximum drain current density of 0.86 A/mm are obtained. The unity current gain cut-off frequency (fT) and the maximum oscillation frequency (fmax) are 246 and 301 GHz, respectively. Project supported by the Key Laboratory of Nano-Devices and Applications, Nano-Fabrication Facility of SINANO, Chinese Academy of Sciences, the National Natural Science Foundation of China (Nos. 61274077, 61474031, 61464003), the Guangxi Natural Science Foundation (Nos. 2013GXNSFGA019003, 2013GXNSFAA019335), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Project (No. 9140C140101140C14069), and the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449, YJCXS201529).

  4. 12 CFR 217.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 2 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 217.11 Section 217.11 Banks and Banking FEDERAL RESERVE SYSTEM BOARD OF GOVERNORS... Requirements and Buffers § 217.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  5. Metal ion displacements in noncentrosymmetric chalcogenides La3Ga1.67S7, La3Ag0.6GaCh7 (Ch=S, Se), and La3MGaSe7 (M=Zn, Cd)

    NASA Astrophysics Data System (ADS)

    Iyer, Abishek K.; Yin, Wenlong; Rudyk, Brent W.; Lin, Xinsong; Nilges, Tom; Mar, Arthur

    2016-11-01

    The quaternary Ga-containing chalcogenides La3Ag0.6GaS7, La3Ag0.6GaSe7, La3ZnGaSe7, and La3CdGaSe7, as well as the related ternary chalcogenide La3Ga1.67S7, were prepared by reactions of the elements at 950 °C. They adopt noncentrosymmetric hexagonal structures (space group P63, Z=2) with cell parameters (a=10.2 Å, c=6.1 Å for the sulfides; a=10.6 Å, c=6.4 Å for the selenides) that are largely controlled by the geometrical requirements of one-dimensional stacks of Ga-centered tetrahedra separated by the La atoms. Among these compounds, which share the common formulation La3M1-xGaCh7 (M=Ga, Ag, Zn, Cd; Ch=S, Se), the M atoms occupy sites within a stacking of trigonal antiprisms formed by Ch atoms. The location of the M site varies between extremes with trigonal antiprismatic (CN6) and trigonal planar (CN3) geometry. Partial occupation of these sites and intermediate ones accounts for the considerable versatility of these structures and the occurrence of large metal displacement parameters. The site occupations can be understood in a simple way as being driven by the need to satisfy appropriate bond valence sums for both the M and Ch atoms. Band structure calculations rationalize the substoichiometry observed in the Ag-containing compounds (La3Ag0.6GaS7, La3Ag0.6GaSe7) as a response to overbonding. X-ray photoelectron spectroscopy supports the presence of monovalent Ag atoms in these compounds, which are not charge-balanced.

  6. High mobility back-gated InAs/GaSb double quantum well grown on GaSb substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nguyen, Binh-Minh, E-mail: mbnguyen@hrl.com, E-mail: MSokolich@hrl.com; Yi, Wei; Noah, Ramsey

    2015-01-19

    We report a backgated InAs/GaSb double quantum well device grown on GaSb substrate. The use of the native substrate allows for high materials quality with electron mobility in excess of 500 000 cm{sup 2}/Vs at sheet charge density of 8 × 10{sup 11} cm{sup −2} and approaching 100 000 cm{sup 2}/Vs near the charge neutrality point. Lattice matching between the quantum well structure and the substrate eliminates the need for a thick buffer, enabling large back gate capacitance and efficient coupling with the conduction channels in the quantum wells. As a result, quantum Hall effects are observed in both electron and hole regimes across the hybridizationmore » gap.« less

  7. Competing charge density wave and antiferromagnetism of metallic atom wires in GaN(10 1 ¯ ) and ZnO(10 1 ¯ )

    NASA Astrophysics Data System (ADS)

    Kang, Yoon-Gu; Kim, Sun-Woo; Cho, Jun-Hyung

    2017-12-01

    Low-dimensional electron systems often show a delicate interplay between electron-phonon and electron-electron interactions, giving rise to interesting quantum phases such as the charge density wave (CDW) and magnetism. Using the density-functional theory (DFT) calculations with the semilocal and hybrid exchange-correlation functionals as well as the exact-exchange plus correlation in the random-phase approximation (EX + cRPA), we systematically investigate the ground state of the metallic atom wires containing dangling-bond (DB) electrons, fabricated by partially hydrogenating the GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) surfaces. We find that the CDW or antiferromagnetic (AFM) order has an electronic energy gain due to a band-gap opening, thereby being more stabilized compared to the metallic state. Our semilocal DFT calculation predicts that both DB wires in GaN(10 1 ¯0 ) and ZnO(10 1 ¯0 ) have the same CDW ground state, whereas the hybrid DFT and EX + cRPA calculations predict the AFM ground state for the former DB wire and the CDW ground state for the latter one. It is revealed that more localized Ga DB electrons in GaN(10 1 ¯0 ) prefer the AFM order, while less localized Zn DB electrons in ZnO(10 1 ¯0 ) the CDW formation. Our findings demonstrate that the drastically different ground states are competing in the DB wires created on the two representative compound semiconductor surfaces.

  8. Homogeneous AlGaN/GaN superlattices grown on free-standing (1100) GaN substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Jiayi; Malis, Oana; Physics Department, Purdue University, West Lafayette, Indiana 47907

    Two-dimensional and homogeneous growth of m-plane AlGaN by plasma-assisted molecular beam epitaxy has been realized on free-standing (1100) GaN substrates by implementing high metal-to-nitrogen (III/N) flux ratio. AlN island nucleation, often reported for m-plane AlGaN under nitrogen-rich growth conditions, is suppressed at high III/N flux ratio, highlighting the important role of growth kinetics for adatom incorporation. The homogeneity and microstructure of m-plane AlGaN/GaN superlattices are assessed via a combination of scanning transmission electron microscopy and high resolution transmission electron microscopy (TEM). The predominant defects identified in dark field TEM characterization are short basal plane stacking faults (SFs) bounded by eithermore » Frank-Shockley or Frank partial dislocations. In particular, the linear density of SFs is approximately 5 × 10{sup −5} cm{sup −1}, and the length of SFs is less than 15 nm.« less

  9. Metal ion displacements in noncentrosymmetric chalcogenides La{sub 3}Ga{sub 1.67}S{sub 7}, La{sub 3}Ag{sub 0.6}GaCh{sub 7} (Ch=S, Se), and La{sub 3}MGaSe{sub 7} (M=Zn, Cd)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Iyer, Abishek K.; Yin, Wenlong; Institute of Chemical Materials, China Academy of Engineering Physics, Mianyang 621900

    The quaternary Ga-containing chalcogenides La{sub 3}Ag{sub 0.6}GaS{sub 7}, La{sub 3}Ag{sub 0.6}GaSe{sub 7}, La{sub 3}ZnGaSe{sub 7}, and La{sub 3}CdGaSe{sub 7}, as well as the related ternary chalcogenide La{sub 3}Ga{sub 1.67}S{sub 7}, were prepared by reactions of the elements at 950 °C. They adopt noncentrosymmetric hexagonal structures (space group P6{sub 3}, Z=2) with cell parameters (a=10.2 Å, c=6.1 Å for the sulfides; a=10.6 Å, c=6.4 Å for the selenides) that are largely controlled by the geometrical requirements of one-dimensional stacks of Ga-centered tetrahedra separated by the La atoms. Among these compounds, which share the common formulation La{sub 3}M{sub 1–x}GaCh{sub 7} (M=Ga, Ag,more » Zn, Cd; Ch=S, Se), the M atoms occupy sites within a stacking of trigonal antiprisms formed by Ch atoms. The location of the M site varies between extremes with trigonal antiprismatic (CN6) and trigonal planar (CN3) geometry. Partial occupation of these sites and intermediate ones accounts for the considerable versatility of these structures and the occurrence of large metal displacement parameters. The site occupations can be understood in a simple way as being driven by the need to satisfy appropriate bond valence sums for both the M and Ch atoms. Band structure calculations rationalize the substoichiometry observed in the Ag-containing compounds (La{sub 3}Ag{sub 0.6}GaS{sub 7}, La{sub 3}Ag{sub 0.6}GaSe{sub 7}) as a response to overbonding. X-ray photoelectron spectroscopy supports the presence of monovalent Ag atoms in these compounds, which are not charge-balanced. - Graphical abstract: Partial occupation of metal atoms in multiple sites accounts for versatility in Ga-containing chalcogenides La{sub 3}M{sub 1–x}GaCh{sub 7} with noncentrosymmetric hexagonal structures. - Highlights: • La{sub 3}M{sub 1–x}GaCh{sub 7} (M =Ga, Ag, Zn, Cd; Ch =S, Se) adopt related hexagonal structures. • Large displacements of M atoms originate from partial occupation of

  10. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  11. Low-Cd CIGS solar cells made with a hybrid CdS/Zn(O,S) buffer layer

    DOE PAGES

    Garris, Rebekah L.; Mansfield, Lorelle M.; Egaas, Brian; ...

    2016-10-27

    In Cu(In,Ga)Se2 (CIGS) solar cells, CdS and Zn(O,S) buffer layers were compared with a hybrid buffer layer consisting of thin CdS followed Zn(O,S). We explore the physics of this hybrid layer that combines the standard (Cd) approach with the alternative (Zn) approach in the pursuit to unlock further potential for CIGS technology. CdS buffer development has shown optimal interface properties, whereas Zn(O,S) buffer development has shown increased photocurrent. Although a totally Cd-free solar module is more marketable, the retention of a small amount of Cd can be beneficial to achieve optimum junction properties. As long as the amount of Cdmore » is reduced to less than 0.01% by weight, the presence of Cd does not violate the hazardous substance restrictions of the European Union (EU). We estimate the amount of Cd allowed in the EU for CIGS on both glass and stainless steel substrates, and we show that reducing Cd becomes increasingly important as substrate weights decrease. As a result, this hybrid buffer layer had reduced Cd content and a wider space charge region, while achieving equal or better solar cell performance than buffer layers of either CdS or Zn(O,S) alone.« less

  12. Facilitation through Buffer Saturation: Constraints on Endogenous Buffering Properties

    PubMed Central

    Matveev, Victor; Zucker, Robert S.; Sherman, Arthur

    2004-01-01

    Synaptic facilitation (SF) is a ubiquitous form of short-term plasticity, regulating synaptic dynamics on fast timescales. Although SF is known to depend on the presynaptic accumulation of Ca2+, its precise mechanism is still under debate. Recently it has been shown that at certain central synapses SF results at least in part from the progressive saturation of an endogenous Ca2+ buffer (Blatow et al., 2003), as proposed by Klingauf and Neher (1997). Using computer simulations, we study the magnitude of SF that can be achieved by a buffer saturation mechanism (BSM), and explore its dependence on the endogenous buffering properties. We find that a high SF magnitude can be obtained either by a global saturation of a highly mobile buffer in the entire presynaptic terminal, or a local saturation of a completely immobilized buffer. A characteristic feature of BSM in both cases is that SF magnitude depends nonmonotonically on the buffer concentration. In agreement with results of Blatow et al. (2003), we find that SF grows with increasing distance from the Ca2+ channel cluster, and increases with increasing external Ca2+, [Ca2+]ext, for small levels of [Ca2+]ext. We compare our modeling results with the experimental properties of SF at the crayfish neuromuscular junction, and find that the saturation of an endogenous mobile buffer can explain the observed SF magnitude and its supralinear accumulation time course. However, we show that the BSM predicts slowing of the SF decay rate in the presence of exogenous Ca2+ buffers, contrary to experimental observations at the crayfish neuromuscular junction. Further modeling and data are required to resolve this aspect of the BSM. PMID:15111389

  13. Modification of opto-electronic properties of ZnO by incorporating metallic tin for buffer layer in thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deepu, D. R.; Jubimol, J.; Kartha, C. Sudha

    2015-06-24

    In this report, the effect of incorporation of metallic tin (Sn) on opto-electronic properties of ZnO thin films is presented. ZnO thin films were deposited through ‘automated chemical spray pyrolysis’ (CSP) technique; later different quantities of ‘Sn’ were evaporated on it and subsequently annealed. Vacuum annealing showed a positive effect on crystallinity of films. Creation of sub band gap levels due to ‘Sn’ diffusion was evident from the absorption and PL spectra. The tin incorporated films showed good photo response in visible region. Tin incorporated ZnO thin films seem to satisfy the desirable criteria for buffer layer in thin filmmore » solar cells.« less

  14. Ultra-thin flexible GaAs photovoltaics in vertical forms printed on metal surfaces without interlayer adhesives

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Juho; Song, Kwangsun; Kim, Namyun

    2016-06-20

    Wearable flexible electronics often require sustainable power sources that are also mechanically flexible to survive the extreme bending that accompanies their general use. In general, thinner microelectronic devices are under less strain when bent. This paper describes strategies to realize ultra-thin GaAs photovoltaics through the interlayer adhesiveless transfer-printing of vertical-type devices onto metal surfaces. The vertical-type GaAs photovoltaic devices recycle reflected photons by means of bottom electrodes. Systematic studies with four different types of solar microcells indicate that the vertical-type solar microcells, at only a quarter of the thickness of similarly designed lateral-type cells, generate a level of electric powermore » similar to that of thicker cells. The experimental results along with the theoretical analysis conducted here show that the ultra-thin vertical-type solar microcells are durable under extreme bending and thus suitable for use in the manufacturing of wearable flexible electronics.« less

  15. Evidence for reentrant spin glass behavior in transition metal substituted Co-Ga alloys near critical concentration

    NASA Astrophysics Data System (ADS)

    Yasin, Sk. Mohammad; Srinivas, V.; Kasiviswanathan, S.; Vagadia, Megha; Nigam, A. K.

    2018-04-01

    In the present study magnetic and electrical transport properties of transition metal substituted Co-Ga alloys (near critical cobalt concentration) have been investigated. Analysis of temperature and field dependence of dc magnetization and ac susceptibility (ACS) data suggests an evidence of reentrant spin glass (RSG) phase in Co55.5TM3Ga41.5 (TM = Co, Cr, Fe, Cu). The magnetic transition temperatures (TC and Tf) are found to depend on the nature of TM element substitution with the exchange coupling strength Co-Fe > Co-Co > Co-Cu > Co-Cr. From magnetization dynamics precise transition temperatures for the glassy phases are estimated. It is found that characteristic relaxation times are higher than that of spin glasses with minimal spin-cluster formation. The RSG behavior has been further supported by the temperature dependence of magnetotransport studies. From the magnetic field and substitution effects it has been established that the magnetic and electrical transport properties are correlated in this system.

  16. Interfacial reactions between metal and gallium arsenide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, J.C.; Schulz, K.J.; Hsieh, K.C.

    1989-10-01

    The phase formation sequence for GaAs/metal ternary diffusion couples is discussed. The diffusion path concept is introduced and is used with the phase diagram to understand interfacial reactions between GaAs and metal. The correlation between growth kinetics and interface morphology is discussed. Studies of bulk and thin film couples in two systems, GaAs/Pd and GaAs/Pt, are given to illustrate these concepts.

  17. Decagonal quasicrystal and related crystalline phases in Mn-Ga alloys with 52 to 63 a/o Ga

    NASA Astrophysics Data System (ADS)

    Wu, J. S.; Kuo, K. H.

    1997-03-01

    A decagonal quasicrystal (DQC) and six related intermetallic phases with large unit cells have been found in binary Mn-Ga alloys with 52 to 63 at. pct Ga by means of transmission electron microscopy (TEM). As does the Al-Mn DQC, the Ga-Mn DQC also has a periodicity of 1.25 nm along its tenfold axis. However, its Mn content, determined by electron microprobe X-ray analysis (about 45 to 50 at. pct Mn), is much higher than that of the Al-Mn DQC (about 20 to 30 at. pct Mn). The compositions of the intermetallic phases are about 53, 56, 58, and 62 at. pct Ga, corresponding respectively to the unknown structures of MnGa (50.7 to 53.4 at. pct Ga), Mn5Ga6 (55 at pct Ga), Mn5Ga7 (57.9 at. pct Ga), and Mn3Ga5 (62.9 at. pct Ga) given in the binary Mn-Ga phase diagram ( Metals Hand-book, T.B. Massalski, J.L. Murray, L.H. Benneft, and H. Baker, eds., ASM, Metals Park, OH, 1986, vol. 2, p. 1144). Their lattice types have been determined by selected area electron diffraction. The ferromagnetic Mn3Ga5 is tetragonal, a=1.25 nm and c=2.50 nm; Mn5Ga7 is orthorhombic, a=4.57 nm, b=1.25 nm, and c=1.44 nm; Mn5Ga6 has two different but closely related orthorhombic unit cells, a=1.26 nm, b=1.25 nm, and c=1.48 nm as well as a=0.77 nm, b=1.25 nm, and c=2.36 nm; MnGa also has two different and related unit cells, one orthorhombic with a=2.04 nm, b=1.25 nm, and c=1.48 nm and the other monoclinic with a=2.59 nm, b=1.25 nm, c=1.15 nm, and β≈=110 deg. All these orthorhombic phases have b=1.25 nm, being the same as the periodicity along the tenfold axis of the Ga-Mn and Al-Mn DQCs. Moreover, all these six intermetallic phases give electron diffraction patterns displaying a pseudo-tenfold distribution of strong diffraction spots and are considered to be crystalline approximants of the Ga-Mn DQC.

  18. Electrically pumped 1.3 microm room-temperature InAs/GaAs quantum dot lasers on Si substrates by metal-mediated wafer bonding and layer transfer.

    PubMed

    Tanabe, Katsuaki; Guimard, Denis; Bordel, Damien; Iwamoto, Satoshi; Arakawa, Yasuhiko

    2010-05-10

    An electrically pumped InAs/GaAs quantum dot laser on a Si substrate has been demonstrated. The double-hetero laser structure was grown on a GaAs substrate by metal-organic chemical vapor deposition and layer-transferred onto a Si substrate by GaAs/Si wafer bonding mediated by a 380-nm-thick Au-Ge-Ni alloy layer. This broad-area Fabry-Perot laser exhibits InAs quantum dot ground state lasing at 1.31 microm at room temperature with a threshold current density of 600 A/cm(2). (c) 2010 Optical Society of America.

  19. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  20. Behavior of Photocarriers in the Light-Induced Metastable State in the p-n Heterojunction of a Cu(In,Ga)Se2 Solar Cell with CBD-ZnS Buffer Layer.

    PubMed

    Lee, Woo-Jung; Yu, Hye-Jung; Wi, Jae-Hyung; Cho, Dae-Hyung; Han, Won Seok; Yoo, Jisu; Yi, Yeonjin; Song, Jung-Hoon; Chung, Yong-Duck

    2016-08-31

    We fabricated Cu(In,Ga)Se2 (CIGS) solar cells with a chemical bath deposition (CBD)-ZnS buffer layer grown with varying ammonia concentrations in aqueous solution. The solar cell performance was degraded with increasing ammonia concentration, due to actively dissolved Zn atoms during CBD-ZnS precipitation. These formed interfacial defect states, such as hydroxide species in the CBD-ZnS film, and interstitial and antisite Zn defects at the p-n heterojunction. After light/UV soaking, the CIGS solar cell performance drastically improved, with a rise in fill factor. With the Zn-based buffer layer, the light soaking treatment containing blue photons induced a metastable state and enhanced the CIGS solar cell performance. To interpret this effect, we suggest a band structure model of the p-n heterojunction to explain the flow of photocarriers under white light at the initial state, and then after light/UV soaking. The determining factor is a p+ defect layer, containing an amount of deep acceptor traps, located near the CIGS surface. The p+ defect layer easily captures photoexcited electrons, and then when it becomes quasi-neutral, attracts photoexcited holes. This alters the barrier height and controls the photocurrent at the p-n junction, and fill factor values, determining the solar cell performance.