Sample records for hf-based high-k gate

  1. Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, E.; Hellström, P.-E.; Östling, M.

    2015-06-01

    High-k interfacial layers have been proposed as a way to extend the scalability of Hf-based high-k/metal gate CMOS technology, which is currently limited by strong degradations in threshold voltage control, channel mobility and device reliability when the chemical oxide (SiOx) interfacial layer is scaled below 0.4 nm. We have previously demonstrated that thulium silicate (TmSiO) is a promising candidate as a high-k interfacial layer, providing competitive advantages in terms of EOT scalability and channel mobility. In this work, the effect of the TmSiO interfacial layer on threshold voltage control is evaluated, showing that the TmSiO/HfO2 dielectric stack is compatible with threshold voltage control techniques commonly used with SiOx/HfO2 stacks. Specifically, we show that the flatband voltage can be set in the range -1 V to +0.5 V by the choice of gate metal and that the effective workfunction of the stack is properly controlled by the metal workfunction in a gate-last process flow. Compatibility with a gate-first approach is also demonstrated, showing that integration of La2O3 and Al2O3 capping layers can induce a flatband voltage shift of at least 150 mV. Finally, the effect of the annealing conditions on flatband voltage is investigated, finding that the duration of the final forming gas anneal can be used as a further process knob to tune the threshold voltage. The evaluation performed on MOS capacitors is confirmed by the fabrication of TmSiO/HfO2/TiN MOSFETs achieving near-symmetric threshold voltages at sub-nm EOT.

  2. Characteristics of high-k gate dielectric formed by the oxidation of sputtered Hf/Zr/Hf thin films on the Si substrate

    NASA Astrophysics Data System (ADS)

    Kim, H. D.; Roh, Y.; Lee, J. E.; Kang, H.-B.; Yang, C.-W.; Lee, N.-E.

    2004-07-01

    We have investigated the effects of high temperature annealing on the physical and electrical properties of multilayered high-k gate oxide [HfSixOy/HfO2/intermixed-layer(IL)/ZrO2/intermixed-layer(IL)/HfO2] in metal-oxide-semiconductor device. The multilayered high-k films were formed after oxidizing the Hf/Zr/Hf films deposited directly on the Si substrate. The subsequent N2 annealing at high temperature (>= 700 °C) not only results in the polycrystallization of the multilayered high-k films, but also causes the diffusion of Zr. The latter transforms the HfSixOy/HfO2/IL/ZrO2/IL/HfO2 film into the Zr-doped HfO2 film, and improves electrical properties in general. However, the thin SiOx interfacial layer starts to form if annealing temperature increases over 700 °C, deteriorating the equivalent oxide thickness. .

  3. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  4. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    NASA Astrophysics Data System (ADS)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  5. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  6. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  7. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  8. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    PubMed

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  9. Enhanced Performance of Gate-First p-Channel Metal-Insulator-Semiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    NASA Astrophysics Data System (ADS)

    Kitano, Naomu; Horie, Shinya; Arimura, Hiroaki; Kawahara, Takaaki; Sakashita, Shinsuke; Nishida, Yukio; Yugami, Jiro; Minami, Takashi; Kosuda, Motomu; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-12-01

    We demonstrated the use of an in situ metal/high-k fabrication method for improving the performance of metal-insulator-semiconductor field-effect transistors (MISFETs). Gate-first pMISFETs with polycrystalline silicon (poly-Si)/TiN/HfSiON stacks were fabricated by techniques based on low-damage physical vapor deposition, in which high-quality HfSiON dielectrics were formed by the interface reaction between an ultrathin metal-Hf layer (0.5 nm thick) and a SiO2 underlayer, and TiN electrodes were continuously deposited on the gate dielectrics without exposure to air. Gate-first pMISFETs with high carrier mobility and a low threshold voltage (Vth) were realized by reducing the carbon impurity in the gate stacks and improving the Vth stability against thermal treatment. As a result, we obtained superior current drivability (Ion = 350 μA/μm at Ioff = 200 pA/μm), which corresponds to a 13% improvement over that of conventional chemical vapor deposition-based metal/high-k devices.

  10. On gate stack scalability of double-gate negative-capacitance FET with ferroelectric HfO2 for energy efficient sub-0.2 V operation

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-02-01

    We have investigated the gate stack scalability and energy efficiency of double-gate negative-capacitance FET (DGNCFET) with a CMOS-compatible ferroelectric HfO2 (FE:HfO2). Analytic model-based simulation is conducted to investigate the impacts of ferroelectric characteristic of FE:HfO2 and gate stack thickness on the I on/I off ratio of DGNCFET. DGNCFET has wider design window for the gate stack where higher I on/I off ratio can be achieved than DG classical MOSFET. Under a process-induced constraint with sub-10 nm gate length (L g), FE:HfO2-based DGNCFET still has a design point for high I on/I off ratio. With an optimized gate stack thickness for sub-10 nm L g, FE:HfO2-based DGNCFET has 2.5× higher energy efficiency than DG classical MOSFET even at ultralow operation voltage of sub-0.2 V.

  11. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  12. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  13. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  14. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  15. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    NASA Astrophysics Data System (ADS)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  16. Oxygen vacancy defect engineering using atomic layer deposited HfAlOx in multi-layered gate stack

    NASA Astrophysics Data System (ADS)

    Bhuyian, M. N.; Sengupta, R.; Vurikiti, P.; Misra, D.

    2016-05-01

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlOx with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V+/V2+, are the primary source of defects in these dielectrics. When Al is added in HfO2, the V+ type defects with a defect activation energy of Ea ˜ 0.2 eV modify to V2+ type to Ea ˜ 0.1 eV with reference to the Si conduction band. When devices were stressed in the gate injection mode for 1000 s, more V+ type defects are generated and Ea reverts back to ˜0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO2 contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.

  17. Single layer of Ge quantum dots in HfO2 for floating gate memory capacitors.

    PubMed

    Lepadatu, A M; Palade, C; Slav, A; Maraloiu, A V; Lazanu, S; Stoica, T; Logofatu, C; Teodorescu, V S; Ciurea, M L

    2017-04-28

    High performance trilayer memory capacitors with a floating gate of a single layer of Ge quantum dots (QDs) in HfO 2 were fabricated using magnetron sputtering followed by rapid thermal annealing (RTA). The layer sequence of the capacitors is gate HfO 2 /floating gate of single layer of Ge QDs in HfO 2 /tunnel HfO 2 /p-Si wafers. Both Ge and HfO 2 are nanostructured by RTA at moderate temperatures of 600-700 °C. By nanostructuring at 600 °C, the formation of a single layer of well separated Ge QDs with diameters of 2-3 nm at a density of 4-5 × 10 15 m -2 is achieved in the floating gate (intermediate layer). The Ge QDs inside the intermediate layer are arranged in a single layer and are separated from each other by HfO 2 nanocrystals (NCs) about 8 nm in diameter with a tetragonal/orthorhombic structure. The Ge QDs in the single layer are located at the crossing of the HfO 2 NCs boundaries. In the intermediate layer, besides Ge QDs, a part of the Ge atoms is segregated by RTA at the HfO 2 NCs boundaries, while another part of the Ge atoms is present inside the HfO 2 lattice stabilizing the tetragonal/orthorhombic structure. The fabricated capacitors show a memory window of 3.8 ± 0.5 V and a capacitance-time characteristic with 14% capacitance decay in the first 3000-4000 s followed by a very slow capacitance decrease extrapolated to 50% after 10 years. This high performance is mainly due to the floating gate of a single layer of well separated Ge QDs in HfO 2 , distanced from the Si substrate by the tunnel oxide layer with a precise thickness.

  18. Interface band alignment in high-k gate stacks

    NASA Astrophysics Data System (ADS)

    Eric, Bersch; Hartlieb, P.

    2005-03-01

    In order to successfully implement alternate high-K dielectric materials into MOS structures, the interface properties of MOS gate stacks must be better understood. Dipoles that may form at the metal/dielectric and dielectric/semiconductor interfaces make the band offsets difficult to predict. We have measured the conduction and valence band densities of states for a variety MOS stacks using in situ using inverse photoemission (IPE) and photoemission spectroscopy (PES), respectively. Results obtained from clean and metallized (with Ru or Al) HfO2/Si, SiO2/Si and mixed silicate films will be presented. IPE indicates a shift of the conduction band minimum (CBM) to higher energy (i.e. away from EF) with increasing SiO2. The effect of metallization on the location of band edges depends upon the metal species. The addition of N to the dielectrics shifts the CBM in a way that is thickness dependent. Possible mechanisms for these observed effects will be discussed.

  19. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    NASA Astrophysics Data System (ADS)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  20. Field effect transistor with HfO2/Parylene-C bilayer hybrid gate insulator

    NASA Astrophysics Data System (ADS)

    Kumar, Neeraj; Kito, Ai; Inoue, Isao

    2015-03-01

    We have investigated the electric field control of the carrier density and the mobility at the surface of SrTiO3, a well known transition-metal oxide, in a field effect transistor (FET) geometry. We have used a Parylene-C (8 nm)/HfO2 (20 nm) double-layer gate insulator (GI), which can be a potential candidate for a solid state GI for the future Mott FETs. So far, only examples of the Mott FET used liquid electrolyte or ferroelectric oxides for the GI. However, possible electrochemical reaction at the interface causes damage to the surface of the Mott insulator. Thus, an alternative GI has been highly desired. We observed that even an ultra thin Parylene-C layer is effective for keeping the channel surface clean and free from oxygen vacancies. The 8 nm Parylene-C film has a relatively low resistance and consequentially its capacitance does not dominate the total capacitance of the Parylene-C/HfO2 GI. The breakdown gate voltage at 300 K is usually more than 10 V (~ 3.4 MV/cm). At gate voltage of 3 V the carrier density measured by the Hall effect is about 3 ×1013 cm-2, competent to cause the Mott transition. Moreover, the field effect mobility reaches in the range of 10 cm2/Vs indicating the Parylene-C passivated surface is actually very clean.

  1. Reliability investigation of high-k/metal gate in nMOSFETs by three-dimensional kinetic Monte-Carlo simulation with multiple trap interactions

    NASA Astrophysics Data System (ADS)

    Li, Yun; Jiang, Hai; Lun, Zhiyuan; Wang, Yijiao; Huang, Peng; Hao, Hao; Du, Gang; Zhang, Xing; Liu, Xiaoyan

    2016-04-01

    Degradation behaviors in the high-k/metal gate stacks of nMOSFETs are investigated by three-dimensional (3D) kinetic Monte-Carlo (KMC) simulation with multiple trap coupling. Novel microscopic mechanisms are simultaneously considered in a compound system: (1) trapping/detrapping from/to substrate/gate; (2) trapping/detrapping to other traps; (3) trap generation and recombination. Interacting traps can contribute to random telegraph noise (RTN), bias temperature instability (BTI), and trap-assisted tunneling (TAT). Simulation results show that trap interaction induces higher probability and greater complexity in trapping/detrapping processes and greatly affects the characteristics of RTN and BTI. Different types of trap distribution cause largely different behaviors of RTN, BTI, and TAT. TAT currents caused by multiple trap coupling are sensitive to the gate voltage. Moreover, trap generation and recombination have great effects on the degradation of HfO2-based nMOSFETs under a large stress.

  2. Development of III-V p-MOSFETs with high-kappa gate stack for future CMOS applications

    NASA Astrophysics Data System (ADS)

    Nagaiah, Padmaja

    As the semiconductor industry approaches the limits of traditional silicon CMOS scaling, non-silicon materials and new device architectures are gradually being introduced to improve Si integrated circuit performance and continue transistor scaling. Recently, the replacement of SiO2 with a high-k material (HfO2) as gate dielectric has essentially removed one of the biggest advantages of Si as channel material. As a result, alternate high mobility materials are being considered to replace Si in the channel to achieve higher drive currents and switching speeds. III-V materials in particular have become of great interest as channel materials, owing to their superior electron transport properties. However, there are several critical challenges that need to be addressed before III-V based CMOS can replace Si CMOS technology. Some of these challenges include development of a high quality, thermally stable gate dielectric/III-V interface, and improvement in III-V p-channel hole mobility to complement the n-channel mobility, low source/drain resistance and integration onto Si substrate. In this thesis, we would be addressing the first two issues i.e. the development high performance III-V p-channels and obtaining high quality III-V/high-k interface. We start with using the device architecture of the already established InGaAs n-channels as a baseline to understand the effect of remote scattering from the high-k oxide and oxide/semiconductor interface on channel transport properties such as electron mobility and channel electron concentration. Temperature dependent Hall electron mobility measurements were performed to separate various scattering induced mobility limiting factors. Dependence of channel mobility on proximity of the channel to the oxide interface, oxide thickness, annealing conditions are discussed. The results from this work will be used in the design of the p-channel MOSFETs. Following this, InxGa1-xAs (x>0.53) is chosen as channel material for developing p

  3. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  4. Combining a multi deposition multi annealing technique with a scavenging (Ti) to improve the high-k/metal gate stack performance for a gate-last process

    NASA Astrophysics Data System (ADS)

    ShuXiang, Zhang; Hong, Yang; Bo, Tang; Zhaoyun, Tang; Yefeng, Xu; Jing, Xu; Jiang, Yan

    2014-10-01

    ALD HfO2 films fabricated by a novel multi deposition multi annealing (MDMA) technique are investigated, we have included samples both with and without a Ti scavenging layer. As compared to the reference gate stack treated by conventional one-time deposition and annealing (D&A), devices receiving MDMA show a significant reduction in leakage current. Meanwhile, EOT growth is effectively controlled by the Ti scavenging layer. This improvement strongly correlates with the cycle number of D&A (while keeping the total annealing time and total dielectrics thickness the same). Transmission electron microscope and energy-dispersive X-ray spectroscopy analysis suggests that oxygen incorporation into both the high-k film and the interfacial layer is likely to be responsible for the improvement of the device. This novel MDMA is promising for the development of gate stack technology in a gate last integration scheme.

  5. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  6. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  7. Oxygen vacancy defect engineering using atomic layer deposited HfAlO{sub x} in multi-layered gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhuyian, M. N., E-mail: mnb3@njit.edu; Misra, D.; Sengupta, R.

    2016-05-02

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlO{sub x} with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V{sup +}/V{sup 2+}, are the primary source of defects in these dielectrics. When Al is added in HfO{sub 2}, the V{sup +} type defects with a defect activation energy of E{sub a} ∼ 0.2 eV modify to V{sup 2+} type to E{sub a} ∼ 0.1 eV with reference to the Si conduction band. When devices were stressedmore » in the gate injection mode for 1000 s, more V{sup +} type defects are generated and E{sub a} reverts back to ∼0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO{sub 2} contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.« less

  8. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  9. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  10. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  11. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  12. The effect of Al segregation on Schottky barrier height and effective work function in TiAl/TiN/HfO2 gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Geun-Myeong; Oh, Young Jun; Chang, K. J.

    2016-07-01

    We perform first-principles density functional calculations to investigate the effects of Al incorporation on the p-type Schottky barrier height ≤ft({φ\\text{p}}\\right) and the effective work function for various high-k/metal gate stacks, such as TiN/HfO2 with interface Al impurities, Ti1-x Al x N/HfO2, and TiAl/TiN/HfO2. When Al atoms substitute for the interface Ti atoms at TiN/HfO2 interface, interface dipole fields become stronger, leading to the increase of {φ\\text{p}} and thereby the n-type shift of effective work function. In Ti1-x Al x N/HfO2 interface, {φ\\text{p}} linearly increases with the Al content, attributed to the presence of interface Al atoms. On the other hand, in TiAl/TiN/HfO2 interface, where Al is assumed not to segregate from TiAl to TiN, {φ\\text{p}} is nearly independent of the thickness of TiAl. Our results indicate that Al impurities at the metal/dielectric interface play an important role in controlling the effective work function, and provide a clue to understanding the n-type shift of the effective work function observed in TiAl/TiN/HfO2 gate stacks fabricated by using thegate-last process.

  13. Configurations and decay hindrances of high-K states in 180Hf

    NASA Astrophysics Data System (ADS)

    Tandel, S. K.; Chowdhury, P.; Kondev, F. G.; Janssens, R. V. F.; Khoo, T. L.; Carpenter, M. P.; Lauritsen, T.; Lister, C. J.; Seweryniak, D.; Zhu, S.; Deacon, A.; Freeman, S. J.; Hammond, N. J.; Jones, G. D.; Moore, E. F.; Smith, J. F.

    2016-12-01

    Multi-quasiparticle high-K states, several of which are isomeric, were observed in 180Hf with the Gammasphere array. Lifetimes in the ns-μ s range were determined using centroid-shift and decay measurements within a μ s coincidence time window. The configurations of high-K states involve two and four quasiparticles, with states up to Kπ=(18-) established. High-K excitations are found to be progressively more favored with increasing excitation energy. The K quantum number is quite robust up to the highest spins observed, as evidenced by the large values of the reduced hindrance for isomeric decays. Rotational bands built on three high-K states are identified, and the measured branching ratios in these sequences enable the assignment of underlying configurations. Multi-quasiparticle calculations using the Lipkin-Nogami approach for pairing, with blocking included, reproduce the observed high-K energies quite well.

  14. Configurations and decay hindrances of high- K states in Hf 180

    DOE PAGES

    Tandel, S. K.; Chowdhury, P.; Kondev, F. G.; ...

    2016-12-02

    Multi-quasiparticle high-K states, several of which are isomeric, were observed in Hf-180 with the Gammasphere array. We determined the lifetimes in the ns-μs range using centroid-shift and decay measurements within a mu s coincidence time window. The configurations of high-K states involve two and four quasiparticles, with states up to K π = (18 -) established. High-K excitations are found to be progressively more favored with increasing excitation energy. The K quantum number is quite robust up to the highest spins observed, as evidenced by the large values of the reduced hindrance for isomeric decays. Furthermore, rotational bands built onmore » three high-K states are identified, and the measured branching ratios in these sequences enable the assignment of underlying configurations. Multi-quasiparticle calculations using the Lipkin-Nogami approach for pairing, with blocking included, reproduce the observed high-K energies quite well.« less

  15. Configurations and decay hindrances of high- K states in Hf 180

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tandel, S. K.; Chowdhury, P.; Kondev, F. G.

    Multi-quasiparticle high-K states, several of which are isomeric, were observed in Hf-180 with the Gammasphere array. We determined the lifetimes in the ns-μs range using centroid-shift and decay measurements within a mu s coincidence time window. The configurations of high-K states involve two and four quasiparticles, with states up to K π = (18 -) established. High-K excitations are found to be progressively more favored with increasing excitation energy. The K quantum number is quite robust up to the highest spins observed, as evidenced by the large values of the reduced hindrance for isomeric decays. Furthermore, rotational bands built onmore » three high-K states are identified, and the measured branching ratios in these sequences enable the assignment of underlying configurations. Multi-quasiparticle calculations using the Lipkin-Nogami approach for pairing, with blocking included, reproduce the observed high-K energies quite well.« less

  16. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    PubMed

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  17. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  18. Effect of Thermal Budget on the Electrical Characterization of Atomic Layer Deposited HfSiO/TiN Gate Stack MOSCAP Structure

    PubMed Central

    Khan, Z. N.; Ahmed, S.; Ali, M.

    2016-01-01

    Metal Oxide Semiconductor (MOS) capacitors (MOSCAP) have been instrumental in making CMOS nano-electronics realized for back-to-back technology nodes. High-k gate stacks including the desirable metal gate processing and its integration into CMOS technology remain an active research area projecting the solution to address the requirements of technology roadmaps. Screening, selection and deposition of high-k gate dielectrics, post-deposition thermal processing, choice of metal gate structure and its post-metal deposition annealing are important parameters to optimize the process and possibly address the energy efficiency of CMOS electronics at nano scales. Atomic layer deposition technique is used throughout this work because of its known deposition kinetics resulting in excellent electrical properties and conformal structure of the device. The dynamics of annealing greatly influence the electrical properties of the gate stack and consequently the reliability of the process as well as manufacturable device. Again, the choice of the annealing technique (migration of thermal flux into the layer), time-temperature cycle and sequence are key parameters influencing the device’s output characteristics. This work presents a careful selection of annealing process parameters to provide sufficient thermal budget to Si MOSCAP with atomic layer deposited HfSiO high-k gate dielectric and TiN gate metal. The post-process annealing temperatures in the range of 600°C -1000°C with rapid dwell time provide a better trade-off between the desirable performance of Capacitance-Voltage hysteresis and the leakage current. The defect dynamics is thought to be responsible for the evolution of electrical characteristics in this Si MOSCAP structure specifically designed to tune the trade-off at low frequency for device application. PMID:27571412

  19. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  20. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric

    PubMed Central

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-01

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS2) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS2 and an ultra-thin HfO2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS2-HfO2 interface is responsible for the generation of interface states with a density (Dit) reaching ~7.03 × 1011 cm−2 eV−1. This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in Dit could be achieved by thermally diffusing S atoms to the MoS2-HfO2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS2 devices with carrier transport enhancement. PMID:28084434

  1. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    PubMed

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  2. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    PubMed

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  3. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    NASA Astrophysics Data System (ADS)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  4. CMOS integration of high-k/metal gate transistors in diffusion and gate replacement (D&GR) scheme for dynamic random access memory peripheral circuits

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, Eugenio; Ritzenthaler, Romain; Schram, Tom; Spessot, Alessio; O’Sullivan, Barry; Machkaoutsan, Vladimir; Fazan, Pierre; Ji, Yunhyuck; Mannaert, Geert; Lorant, Christophe; Sebaai, Farid; Thiam, Arame; Ercken, Monique; Demuynck, Steven; Horiguchi, Naoto

    2018-04-01

    Integration of high-k/metal gate stacks in peripheral transistors is a major candidate to ensure continued scaling of dynamic random access memory (DRAM) technology. In this paper, the CMOS integration of diffusion and gate replacement (D&GR) high-k/metal gate stacks is investigated, evaluating four different approaches for the critical patterning step of removing the N-type field effect transistor (NFET) effective work function (eWF) shifter stack from the P-type field effect transistor (PFET) area. The effect of plasma exposure during the patterning step is investigated in detail and found to have a strong impact on threshold voltage tunability. A CMOS integration scheme based on an experimental wet-compatible photoresist is developed and the fulfillment of the main device metrics [equivalent oxide thickness (EOT), eWF, gate leakage current density, on/off currents, short channel control] is demonstrated.

  5. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    PubMed

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  6. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure

    PubMed Central

    2012-01-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458

  7. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miranda, Andre

    2015-08-25

    Hafnium Oxide (HfO 2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO 2 thin films which hasn’t been done with the technique of this study. In this study, two HfO 2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer.more » Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO 2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO 2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO 2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.« less

  8. Deposition temperature dependent optical and electrical properties of ALD HfO{sub 2} gate dielectrics pretreated with tetrakisethylmethylamino hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, J.; School of Sciences, Anhui University of Science and Technology, Huainan 232001; He, G., E-mail: hegang@ahu.edu.cn

    2015-10-15

    Highlights: • ALD-derived HfO{sub 2} gate dielectrics have been deposited on Si substrates. • The leakage current mechanism for different deposition temperature was discussed. • Different emission at different field region has been determined precisely. - Abstract: The effect of deposition temperature on the growth rate, band gap energy and electrical properties of HfO{sub 2} thin film deposited by atomic layer deposition (ALD) has been investigated. By means of characterization of spectroscopy ellipsometry and ultraviolet–visible spectroscopy, the growth rate and optical constant of ALD-derived HfO{sub 2} gate dielectrics are determined precisely. The deposition temperature dependent electrical properties of HfO{sub 2}more » films were determined by capacitance–voltage (C–V) and leakage current density–voltage (J–V) measurements. The leakage current mechanism for different deposition temperature has been discussed systematically. As a result, the optimized deposition temperature has been obtained to achieve HfO{sub 2} thin film with high quality.« less

  9. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  10. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  11. Evaluation of border traps and interface traps in HfO2/MoS2 gate stacks by capacitance–voltage analysis

    NASA Astrophysics Data System (ADS)

    Zhao, Peng; Khosravi, Ava; Azcatl, Angelica; Bolshakov, Pavel; Mirabelli, Gioele; Caruso, Enrico; Hinkle, Christopher L.; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2018-07-01

    Border traps and interface traps in HfO2/few-layer MoS2 top-gate stacks are investigated by C–V characterization. Frequency dependent C–V data shows dispersion in both the depletion and accumulation regions for the MoS2 devices. The border trap density is extracted with a distributed model, and interface traps are analyzed using the high-low frequency and multi-frequency methods. The physical origins of interface traps appear to be caused by impurities/defects in the MoS2 layers, performing as band tail states, while the border traps are associated with the dielectric, likely a consequence of the low-temperature deposition. This work provides a method of using multiple C–V measurements and analysis techniques to analyze the behavior of high-k/TMD gate stacks and deconvolute border traps from interface traps.

  12. Violations of K-Conservation in 178Hf

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hayes, A. B.; Cline, D.; Wu, C. Y.

    2006-03-13

    Coulomb excitation of K{pi}=6+(t1/2=77 ns), 8-(t1/2=4.0 s) and 16+(t1/2=31 y) 178Hf isomers has led to the measurement of a set of E{lambda} matrix elements, coupling the isomer bands to the {gamma}- and ground state bands. The resulting matrix elements, derived using a coupled-channel semiclassical Coulomb excitation search code, have been used to probe the K-components in the wave functions and revealed the onset and saturation of K-mixing in low-K bands, whereas K-mixing is negligible in the high-K bands. The implications can be applied to other quadrupole-deformed nuclei. An upper limit on the Coulomb depopulation yield of the 16+ isomer wasmore » calculated based on the present set of matrix elements.« less

  13. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  14. Synthesis of Hf 8O 7, a new binary hafnium oxide, at high pressures and high temperatures

    DOE PAGES

    Bayarjargal, L.; Morgenroth, W.; Schrodt, N.; ...

    2017-01-23

    In this paper, two binary phases in the system Hf-O have been synthesized at pressures between 12 and 34 GPa and at temperatures up to 3000 K by reacting Hf with HfO 2 using a laser-heated diamond anvil cell. In situ X-ray diffraction in conjunction with density functional theory calculations has been employed to characterize a previously unreported tetragonal Hf 8O 7 phase. This phase has a structure which is based on an fcc Hf packing with oxygen atoms occupying octahedral interstitial positions. Its predicted bulk modulus is 223(1) GPa. The second phase has a composition close to Hf 6O,more » where oxygen atoms occupy octahedral interstitial sites in an hcp Hf packing. Its experimentally determined bulk modulus is 128(30) GPa. Finally, the phase diagram of Hf metal was further constrained at high pressures and temperatures, where we show that α-Hf transforms to β-Hf around 2160(150) K and 18.2 GPa and β-Hf remains stable up to at least 2800 K at this pressure.« less

  15. Low-voltage organic strain sensor on plastic using polymer/high- K inorganic hybrid gate dielectrics

    NASA Astrophysics Data System (ADS)

    Jung, Soyoun; Ji, Taeksoo; Varadan, Vijay K.

    2007-12-01

    In this paper, gate-induced pentacene semiconductor strain sensors based on hybrid-gate dielectrics using poly-vinylphenol (PVP) and high-K inorganic, Ta IIO 5 are fabricated on flexible substrates, polyethylene naphthalate (PEN). The Ta IIO 5 gate dielectric layer is combined with a thin PVP layer to obtain very smooth and hydrophobic surfaces which improve the molecular structures of pentacene films. The PVP-Ta IIO 5 hybrid-gate dielectric films exhibit a high dielectric capacitance and low leakage current. The sensors adopting thin film transistor (TFT)-like structures show a significantly reduced operating voltage (~6V), and good device characteristics with a field-effect mobility of 1.89 cm2/V•s, a threshold voltage of -0.5 V, and an on/off ratio of 10 3. The strain sensor, one of the practical applications in large-area organic electronics, was characterized with different bending radii of 50, 40, 30, and 20 mm. The sensor output signals were significantly improved with low-operating voltages.

  16. Efficient III-Nitride MIS-HEMT devices with highgate dielectric for high-power switching boost converter circuits

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Mohankumar, N.; Godwin Raj, D.; Sarkar, Partha; Saha, Samar K.

    2017-03-01

    The paper reports the results of a systematic theoretical study on efficient recessed-gate, double-heterostructure, and normally-OFF metal-insulator-semiconductor high-electron mobility transistors (MIS-HEMTs), HfAlOx/AlGaN on Al2O3 substrate. In device architecture, a thin AlGaN layer is used in the AlGaN graded barrier MIS-HEMTs that offers an excellent enhancement-mode device operation with threshold voltage higher than 5.3 V and drain current above 0.64 A/mm along with high on-current/off-current ratio over 107 and subthreshold slope less than 73 mV/dec. In addition, a high OFF-state breakdown voltage of 1200 V is achieved for a device with a gate-to-drain distance and field-plate length of 15 μm and 5.3 μm, respectively at a drain current of 1 mA/mm with a zero gate bias, and the substrate grounded. The numerical device simulation results show that in comparison to a conventional AlGaN/GaN MIS-HEMT of similar design, a graded barrier MIS-HEMT device exhibits a better interface property, remarkable suppression of leakage current, and a significant improvement of breakdown voltage for HfAlOx gate dielectric. Finally, the benefit of HfAlOx graded-barrier AlGaN MIS-HEMTs based switching devices is evaluated on an ultra-low-loss converter circuit.

  17. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  18. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  19. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  20. Ferroelectric HfZrOx-based MoS2 negative capacitance transistor with ITO capping layers for steep-slope device application

    NASA Astrophysics Data System (ADS)

    Xu, Jing; Jiang, Shu-Ye; Zhang, Min; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2018-03-01

    A negative capacitance field-effect transistor (NCFET) built with hafnium-based oxide is one of the most promising candidates for low power-density devices due to the extremely steep subthreshold swing (SS) and high on-state current induced by incorporating the ferroelectric material in the gate stack. Here, we demonstrated a two-dimensional (2D) back-gate NCFET with the integration of ferroelectric HfZrOx in the gate stack and few-layer MoS2 as the channel. Instead of using the conventional TiN capping metal to form ferroelectricity in HfZrOx, the NCFET was fabricated on a thickness-optimized Al2O3/indium tin oxide (ITO)/HfZrOx/ITO/SiO2/Si stack, in which the two ITO layers sandwiching the HfZrOx film acted as the control back gate and ferroelectric gate, respectively. The thickness of each layer in the stack was engineered for distinguishable optical identification of the exfoliated 2D flakes on the surface. The NCFET exhibited small off-state current and steep switching behavior with minimum SS as low as 47 mV/dec. Such a steep-slope transistor is compatible with the standard CMOS fabrication process and is very attractive for 2D logic and sensor applications and future energy-efficient nanoelectronic devices with scaling power supply.

  1. High-k shallow traps observed by charge pumping with varying discharging times

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ho, Szu-Han; Chen, Ching-En; Tseng, Tseung-Yuen

    2013-11-07

    In this paper, we investigate the influence of falling time and base level time on high-k bulk shallow traps measured by charge pumping technique in n-channel metal-oxide-semiconductor field-effect transistors with HfO{sub 2}/metal gate stacks. N{sub T}-V{sub high} {sub level} characteristic curves with different duty ratios indicate that the electron detrapping time dominates the value of N{sub T} for extra contribution of I{sub cp} traps. N{sub T} is the number of traps, and I{sub cp} is charge pumping current. By fitting discharge formula at different temperatures, the results show that extra contribution of I{sub cp} traps at high voltage are inmore » fact high-k bulk shallow traps. This is also verified through a comparison of different interlayer thicknesses and different Ti{sub x}N{sub 1−x} metal gate concentrations. Next, N{sub T}-V{sub high} {sub level} characteristic curves with different falling times (t{sub falling} {sub time}) and base level times (t{sub base} {sub level}) show that extra contribution of I{sub cp} traps decrease with an increase in t{sub falling} {sub time}. By fitting discharge formula for different t{sub falling} {sub time}, the results show that electrons trapped in high-k bulk shallow traps first discharge to the channel and then to source and drain during t{sub falling} {sub time}. This current cannot be measured by the charge pumping technique. Subsequent measurements of N{sub T} by charge pumping technique at t{sub base} {sub level} reveal a remainder of electrons trapped in high-k bulk shallow traps.« less

  2. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    NASA Astrophysics Data System (ADS)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  3. Nanocrystals embedded in hafnium dioxide-based dielectrics as charge storage nodes of nano-floating gate memory

    NASA Astrophysics Data System (ADS)

    Lee, Pui Fai

    2007-12-01

    Nanocrystals (NC) embedded in dielectrics have attracted a great deal of attention recently because they can potentially be applied in nonvolatile, high-speed, high-density and low-power memory devices. This device benefits from a relatively low operating voltage, high endurance, fast write-erase speeds and better immunity to soft errors. The nanocrystal materials suitable for such an application can be either metals or semiconductors. Recent studies have shown that high-k dielectrics, instead of SiO2 , for the tunneling layer in nanocrystal floating gate memory can improve the trade-off between data retention and program efficiency due to the unique band alignment of high-k dielectrics in the programming and retention modes. In this project, HfAlO has been selected as the high- k dielectric for the nanocrystal floating gate memory structure. The trilayer structure (HfAlO/Ge-NC/HfAlO) on Si was fabricated by PLD. Results revealed that relatively low substrate temperature and growth rate are favourable for the formation of smaller-size Ge nanocrystals. Effects of size/density of the Ge nanocrystal, the tunneling and control oxide layer thicknesses and the oxygen partial pressure during their growth on the charge storage and charge retention characteristics have also been studied. The island structure of the Ge nanocrystal suggests that the growth is based on the Volmer-Webber mode. The self-organized Ge nanocrystals so formed were uniform in size (5--20 nm diameter) and distribution with a density approaching 1012--1013cm-2. Flat-band voltage shift (DeltaVFB) of about 3.6 V and good retention property have been achieved. By varying aggregation distance, sputtering gas pressure and ionization power of the nanocluster source, nanoclusters of Ge with different sizes can be formed. The memory effect of the trilayer structure so formed with 10 nm Ge nanoclusters are manifested by the counter-clockwise hysteresis loop in the C-V curves and a maximum flat-band voltage

  4. Insights into thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks and their suppressed reaction with atomically thin AlO{sub x} interlayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ogawa, Shingo, E-mail: Shingo-Ogawa@trc.toray.co.jp; Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871; Asahara, Ryohei

    2015-12-21

    The thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that {sup 18}O-tracers composing the GeO{sub 2} underlayers diffuse within the HfO{sub 2} overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO{sub 2} also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO{sub 2} surfaces, and the reaction was further enhanced at high temperatures withmore » the assistance of GeO desorption. A technique to insert atomically thin AlO{sub x} interlayers between the HfO{sub 2} and GeO{sub 2} layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks.« less

  5. Surface and Interface Chemistry for Gate Stacks on Silicon

    NASA Astrophysics Data System (ADS)

    Frank, M. M.; Chabal, Y. J.

    This chapter addresses the fundamental silicon surface science associated with the continued progress of nanoelectronics along the path prescribed by Moore's law. Focus is on hydrogen passivation layers and on ultrathin oxide films encountered during silicon cleaning and gate stack formation in the fabrication of metal-oxide-semiconductor field-effect transistors (MOSFETs). Three main topics are addressed. (i) First, the current practices and understanding of silicon cleaning in aqueous solutions are reviewed, including oxidizing chemistries and cleans leading to a hydrogen passivation layer. The dependence of the final surface termination and morphology/roughness on reactant choice and pH and the influence of impurities such as dissolved oxygen or metal ions are discussed. (ii) Next, the stability of hydrogen-terminated silicon in oxidizing liquid and gas phase environments is considered. In particular, the remarkable stability of hydrogen-terminated silicon surface in pure water vapor is discussed in the context of atomic layer deposition (ALD) of high-permittivity (high-k) gate dielectrics where water is often used as an oxygen precursor. Evidence is also provided for co-operative action between oxygen and water vapor that accelerates surface oxidation in humid air. (iii) Finally, the fabrication of hafnium-, zirconium- and aluminum-based high-k gate stacks is described, focusing on the continued importance of the silicon/silicon oxide interface. This includes a review of silicon surface preparation by wet or gas phase processing and its impact on high-k nucleation during ALD growth, and the consideration of gate stack capacitance and carrier mobility. In conclusion, two issues are highlighted: the impact of oxygen vacancies on the electrical characteristics of high-k MOS devices, and the way alloyed metal ions (such as Al in Hf-based gate stacks) in contact with the interfacial silicon oxide layer can be used to control flatband and threshold voltages.

  6. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  7. Electrical properties of HfO2 high- k thin-film MOS capacitors for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Khairnar, A. G.; Patil, L. S.; Salunke, R. S.; Mahajan, A. M.

    2015-11-01

    We deposited the hafnium dioxide (HfO2) thin films on p-Si (100) substrates. The thin films were deposited with deposition time variations, viz 2, 4, 7 and 20 min using RF-sputtering technique. The thickness and refractive index of the films were measured using spectroscopic ellipsometer. The thicknesses of the films were measured to be 13.7, 21.9, 35.38 and 92.2 nm and refractive indices of 1.90, 1.93, 1.99 and 1.99, respectively, of the films deposited for 2, 4, 7 and 20 min deposition time. The crystal structures of the deposited HfO2 thin films were determined using XRD spectra and showed the monoclinic structure, confirmed with the ICDD card no 34-0104. Aluminum metallization was carried to form the Al/HfO2/ p-Si MOS structures by using thermal evaporation system with electrode area of 12.56 × 10-4 cm2. Capacitance voltage and current voltage measurements were taken to know electrical behavior of these fabricated MOS structures. The electrical parameters such as dielectric constant, flat-band shift and interface trap density determined through CV measurement were 7.99, 0.11 V and 6.94 × 1011 eV-1 cm-2, respectively. The low leakage current density was obtained from IV measurement of fabricated MOS structure at 1.5 V is 4.85 × 10-10 Acm-2. Aforesaid properties explored the suitability of the fabricated HfO2 high- k-based MOS capacitors for advanced CMOS technology.

  8. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  9. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    PubMed

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  10. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  11. Impact of In situ Postnitridation Annealing for Successful Fabrication of HfSiON Thin Film

    NASA Astrophysics Data System (ADS)

    Horii, Sadayoshi; Ishikawa, Dai; Sano, Atsushi; Imai, Yoshinori; Kunii, Yasuo

    2007-05-01

    For the successful integration of high-k gate dielectrics into advanced complementary metal-oxide-semiconductor (CMOS) processes, it is important to determine the stability of high-k materials during exposure to an ambient atmosphere. In this work, we investigated the effect of exposure to air on the nitrogen concentration in HfSiON films formed by sequentially combining HfSiO chemical vapor deposition (CVD), plasma nitridation, and postnitridation annealing (PNA). We observed that exposure to air after the nitridation step reduces the nitrogen concentration due to a reaction between the HfSiON surface and the constituents of atmospheric air. We also found that exposure to air for even a short time between nitridation and PNA leads to a significant loss of nitrogen concentration, indicating that in situ PNA is critical for achieving precise control of the nitridation. These results confirmed the importance of using clustered multichamber platforms for successful high-k fabrication.

  12. Gating, Regulation, and Structure in K2P K+ Channels: In Varietate Concordia?

    PubMed

    Niemeyer, María Isabel; Cid, L Pablo; González, Wendy; Sepúlveda, Francisco V

    2016-09-01

    K2P K(+) channels with two pore domains in tandem associate as dimers to produce so-called background conductances that are regulated by a variety of stimuli. Whereas gating in K2P channels has been poorly understood, recent developments have provided important clues regarding the gating mechanism for this family of proteins. Two modes of gating present in other K(+) channels have been considered. The first is the so-called activation gating that occurs by bundle crossing and the splaying apart of pore-lining helices commanding ion passage. The second mode involves a change in conformation at the selectivity filter (SF), which impedes ion flow at this narrow portion of the conduction pathway and accounts for extracellular pH modulation of several K2P channels. Although some evidence supports the existence of an activation gate in K2P channels, recent results suggest that perhaps all stimuli, even those sensed at a distant location in the protein, are also mediated by SF gating. Recently resolved crystal structures of K2P channels in conductive and nonconductive conformations revealed that the nonconductive state is reached by blockade by a lipid acyl chain that gains access to the channel cavity through intramembrane fenestrations. Here we discuss whether this novel type of gating, proposed so far only for membrane tension gating, might mediate gating in response to other stimuli or whether SF gating is the only type of opening/closing mechanism present in K2P channels. Copyright © 2016 by The American Society for Pharmacology and Experimental Therapeutics.

  13. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  14. A Non-canonical Voltage-Sensing Mechanism Controls Gating in K2P K(+) Channels.

    PubMed

    Schewe, Marcus; Nematian-Ardestani, Ehsan; Sun, Han; Musinszki, Marianne; Cordeiro, Sönke; Bucci, Giovanna; de Groot, Bert L; Tucker, Stephen J; Rapedius, Markus; Baukrowitz, Thomas

    2016-02-25

    Two-pore domain (K2P) K(+) channels are major regulators of excitability that endow cells with an outwardly rectifying background "leak" conductance. In some K2P channels, strong voltage-dependent activation has been observed, but the mechanism remains unresolved because they lack a canonical voltage-sensing domain. Here, we show voltage-dependent gating is common to most K2P channels and that this voltage sensitivity originates from the movement of three to four ions into the high electric field of an inactive selectivity filter. Overall, this ion-flux gating mechanism generates a one-way "check valve" within the filter because outward movement of K(+) induces filter opening, whereas inward movement promotes inactivation. Furthermore, many physiological stimuli switch off this flux gating mode to convert K2P channels into a leak conductance. These findings provide insight into the functional plasticity of a K(+)-selective filter and also refine our understanding of K2P channels and the mechanisms by which ion channels can sense voltage. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  15. Analysis of high-k spacer on symmetric underlap DG-MOSFET with Gate Stack architecture

    NASA Astrophysics Data System (ADS)

    Das, Rahul; Chakraborty, Shramana; Dasgupta, Arpan; Dutta, Arka; Kundu, Atanu; Sarkar, Chandan K.

    2016-09-01

    This paper shows the systematic study of underlap double gate (U-DG) NMOSFETs with Gate Stack (GS) under the influence of high-k spacers. In highly scaled devices, underlap is used at the Source and Drain side so as to reduce the short channel effects (SCE's), however, it significantly reduces the on current due to the increased channel resistance. To overcome these drawbacks, the use of high-k spacers is projected as one of the remedies. In this paper, the analog performance of the devices is studied on the basis of parameters like transconductance (gm), transconductance generation factor (gm/Id) and intrinsic gain (gmro). The RF performance is analyzed on the merits of intrinsic capacitance (Cgd, Cgs), resistance (Rgd, Rgs), transport delay (τm), inductance (Lsd), cutoff frequency (fT), and the maximum frequency of oscillation (fmax). The circuit performance of the devices are studied by implementing the device as the driver MOSFET in a Single Stage Common Source Amplifier. The Gain Bandwidth Product (GBW) has been analyzed from the frequency response of the circuit.

  16. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  17. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  18. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  19. Simulation design of high reverse blocking high-K/low-K compound passivation AlGaN/GaN Schottky barrier diode with gated edge termination

    NASA Astrophysics Data System (ADS)

    Bai, Zhiyuan; Du, Jiangfeng; Xin, Qi; Li, Ruonan; Yu, Qi

    2017-11-01

    In this paper, a novel high-K/low-K compound passivation AlGaN/GaN Schottky Barrier Diode (CPG-SBD) is proposed to improve the off-state characteristics of AlGaN/GaN schottky barrier diode with gated edge termination (GET-SBD) by adding low-K blocks in to the high-K passivation layer. The reverse leakage current of CPG-SBD can be reduced to 1.6 nA/mm by reducing the thickness of high-K dielectric under GET region to 5 nm, while the forward voltage and on-state resistance keep 1 V and 3.8 Ω mm, respectively. Breakdown voltage of CPG-SBDs can be improved by inducing discontinuity of the electric field at the high-K/low-K interface. The breakdown voltage of the optimized CPG-SBD with 4 blocks of low-K can reach 1084 V with anode to cathode distance of 5 μm yielding a high FOM of 5.9 GW/cm2. From the C-V simulation results, CPG-SBDs induce no parasitic capacitance by comparison of the GET-SBDs.

  20. Combinatorial study of Ni-Ti-Pt ternary metal gate electrodes on HfO{sub 2} for the advanced gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, K.-S.; Green, M. L.; Suehle, J.

    2006-10-02

    The authors have fabricated combinatorial Ni-Ti-Pt ternary metal gate thin film libraries on HfO{sub 2} using magnetron co-sputtering to investigate flatband voltage shift ({delta}V{sub fb}), work function ({phi}{sub m}), and leakage current density (J{sub L}) variations. A more negative {delta}V{sub fb} is observed close to the Ti-rich corner than at the Ni- and Pt-rich corners, implying smaller {phi}{sub m} near the Ti-rich corners and higher {phi}{sub m} near the Ni- and Pt-rich corners. In addition, measured J{sub L} values can be explained consistently with the observed {phi}{sub m} variations. Combinatorial methodologies prove to be useful in surveying the large compositionalmore » space of ternary alloy metal gate electrode systems.« less

  1. Demonstration of hetero-gate-dielectric tunneling field-effect transistors (HG TFETs).

    PubMed

    Choi, Woo Young; Lee, Hyun Kook

    2016-01-01

    The steady scaling-down of semiconductor device for improving performance has been the most important issue among researchers. Recently, as low-power consumption becomes one of the most important requirements, there have been many researches about novel devices for low-power consumption. Though scaling supply voltage is the most effective way for low-power consumption, performance degradation is occurred for metal-oxide-semiconductor field-effect transistors (MOSFETs) when supply voltage is reduced because subthreshold swing (SS) of MOSFETs cannot be lower than 60 mV/dec. Thus, in this thesis, hetero-gate-dielectric tunneling field-effect transistors (HG TFETs) are investigated as one of the most promising alternatives to MOSFETs. By replacing source-side gate insulator with a high- k material, HG TFETs show higher on-current, suppressed ambipolar current and lower SS than conventional TFETs. Device design optimization through simulation was performed and fabrication based on simulation demonstrated that performance of HG TFETs were better than that of conventional TFETs. Especially, enlargement of gate insulator thickness while etching gate insulator at the source side was improved by introducing HF vapor etch process. In addition, the proposed HG TFETs showed higher performance than our previous results by changing structure of sidewall spacer by high- k etching process.

  2. Effects of HfO2/Al2O3 gate stacks on electrical performance of planar In x Ga1- x As tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ahn, Dae-Hwan; Yoon, Sang-Hee; Takenaka, Mitsuru; Takagi, Shinichi

    2017-08-01

    We study the impact of gate stacks on the electrical characteristics of Zn-diffused source In x Ga1- x As tunneling field-effect transistors (TFETs) with Al2O3 or HfO2/Al2O3 gate insulators. Ta and W gate electrodes are compared in terms of the interface trap density (D it) of InGaAs MOS interfaces. It is found that D it is lower at the W/HfO2/Al2O3 InGaAs MOS interface than at the Ta/HfO2/Al2O3 interface. The In0.53Ga0.47As TFET with a W/HfO2 (2.7 nm)/Al2O3 (0.3 nm) gate stack of 1.4-nm-thick capacitance equivalent thickness (CET) has a steep minimum subthreshold swing (SS) of 57 mV/dec, which is attributed to the thin CET and low D it. Also, the In0.53Ga0.47As (2.6 nm)/In0.67Ga0.33As (3.2 nm)/In0.53Ga0.47As (96.5 nm) quantum-well (QW) TFET supplemented with this 1.4-nm-thick CET gate stack exhibits a steeper minimum SS of 54 mV/dec and a higher on-current (I on) than those of the In0.53Ga0.47As TFET.

  3. Electrical Characteristics of WSi2 Nanocrystal Capacitors with Barrier-Engineered High-k Tunnel Layers

    NASA Astrophysics Data System (ADS)

    Lee, Hyo Jun; Lee, Dong Uk; Kim, Eun Kyu; You, Hee-Wook; Cho, Won-Ju

    2011-06-01

    Nanocrystal-floating gate capacitors with WSi2 nanocrystals and high-k tunnel layers were fabricated to improve the electrical properties such as retention, programming/erasing speed, and endurance. The WSi2 nanocrystals were distributed uniformly between the tunnel and control gate oxide layers. The electrical performance of the tunnel barrier with the SiO2/HfO2/Al2O3 (2/1/3 nm) (OHA) tunnel layer appeared to be better than that with the Al2O3/HfO2/Al2O3 (2/1/3 nm) (AHA) tunnel layer. When ΔVFB is about 1 V after applying voltage at ±8 V, the programming/erasing speeds of AHA and OHA tunnel layers are 300 ms and 500 µs, respectively. In particular, the device with WSi2 nanocrystals and the OHA tunnel barrier showed a large memory window of about 7.76 V when the voltage swept from 10 to -10 V, and it was maintained at about 2.77 V after 104 cycles.

  4. 2-D modeling and analysis of short-channel behavior of a front high- K gate stack triple-material gate SB SON MOSFET

    NASA Astrophysics Data System (ADS)

    Banerjee, Pritha; Kumari, Tripty; Sarkar, Subir Kumar

    2018-02-01

    This paper presents the 2-D analytical modeling of a front high- K gate stack triple-material gate Schottky Barrier Silicon-On-Nothing MOSFET. Using the two-dimensional Poisson's equation and considering the popular parabolic potential approximation, expression for surface potential as well as the electric field has been considered. In addition, the response of the proposed device towards aggressive downscaling, that is, its extent of immunity towards the different short-channel effects, has also been considered in this work. The analytical results obtained have been validated using the simulated results obtained using ATLAS, a two-dimensional device simulator from SILVACO.

  5. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  6. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer

    NASA Astrophysics Data System (ADS)

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-01

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd)4Ti3O12 films as insulator, and HfO2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO2 defect control layer shows a low leakage current density of 3.1 × 10-9 A/cm2 at a gate voltage of - 3 V.

  7. Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors

    PubMed Central

    Jeong, Seong-Jun; Gu, Yeahyun; Heo, Jinseong; Yang, Jaehyun; Lee, Chang-Seok; Lee, Min-Hyun; Lee, Yunseong; Kim, Hyoungsub; Park, Seongjun; Hwang, Sungwoo

    2016-01-01

    The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer. PMID:26861833

  8. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  9. Interplay between low threshold voltage-gated K+ channels and synaptic inhibition in neurons of the chicken nucleus laminaris along its frequency axis

    PubMed Central

    Hamlet, William R.; Liu, Yu-Wei; Tang, Zheng-Quan; Lu, Yong

    2014-01-01

    Central auditory neurons that localize sound in horizontal space have specialized intrinsic and synaptic cellular mechanisms to tightly control the threshold and timing for action potential generation. However, the critical interplay between intrinsic voltage-gated conductances and extrinsic synaptic conductances in determining neuronal output are not well understood. In chicken, neurons in the nucleus laminaris (NL) encode sound location using interaural time difference (ITD) as a cue. Along the tonotopic axis of NL, there exist robust differences among low, middle, and high frequency (LF, MF, and HF, respectively) neurons in a variety of neuronal properties such as low threshold voltage-gated K+ (LTK) channels and depolarizing inhibition. This establishes NL as an ideal model to examine the interactions between LTK currents and synaptic inhibition across the tonotopic axis. Using whole-cell patch clamp recordings prepared from chicken embryos (E17–E18), we found that LTK currents were larger in MF and HF neurons than in LF neurons. Kinetic analysis revealed that LTK currents in MF neurons activated at lower voltages than in LF and HF neurons, whereas the inactivation of the currents was similar across the tonotopic axis. Surprisingly, blockade of LTK currents using dendrotoxin-I (DTX) tended to broaden the duration and increase the amplitude of the depolarizing inhibitory postsynaptic potentials (IPSPs) in NL neurons without dependence on coding frequency regions. Analyses of the effects of DTX on inhibitory postsynaptic currents led us to interpret this unexpected observation as a result of primarily postsynaptic effects of LTK currents on MF and HF neurons, and combined presynaptic and postsynaptic effects in LF neurons. Furthermore, DTX transferred subthreshold IPSPs to spikes. Taken together, the results suggest a critical role for LTK currents in regulating inhibitory synaptic strength in ITD-coding neurons at various frequencies. PMID:24904297

  10. Interplay between low threshold voltage-gated K(+) channels and synaptic inhibition in neurons of the chicken nucleus laminaris along its frequency axis.

    PubMed

    Hamlet, William R; Liu, Yu-Wei; Tang, Zheng-Quan; Lu, Yong

    2014-01-01

    Central auditory neurons that localize sound in horizontal space have specialized intrinsic and synaptic cellular mechanisms to tightly control the threshold and timing for action potential generation. However, the critical interplay between intrinsic voltage-gated conductances and extrinsic synaptic conductances in determining neuronal output are not well understood. In chicken, neurons in the nucleus laminaris (NL) encode sound location using interaural time difference (ITD) as a cue. Along the tonotopic axis of NL, there exist robust differences among low, middle, and high frequency (LF, MF, and HF, respectively) neurons in a variety of neuronal properties such as low threshold voltage-gated K(+) (LTK) channels and depolarizing inhibition. This establishes NL as an ideal model to examine the interactions between LTK currents and synaptic inhibition across the tonotopic axis. Using whole-cell patch clamp recordings prepared from chicken embryos (E17-E18), we found that LTK currents were larger in MF and HF neurons than in LF neurons. Kinetic analysis revealed that LTK currents in MF neurons activated at lower voltages than in LF and HF neurons, whereas the inactivation of the currents was similar across the tonotopic axis. Surprisingly, blockade of LTK currents using dendrotoxin-I (DTX) tended to broaden the duration and increase the amplitude of the depolarizing inhibitory postsynaptic potentials (IPSPs) in NL neurons without dependence on coding frequency regions. Analyses of the effects of DTX on inhibitory postsynaptic currents led us to interpret this unexpected observation as a result of primarily postsynaptic effects of LTK currents on MF and HF neurons, and combined presynaptic and postsynaptic effects in LF neurons. Furthermore, DTX transferred subthreshold IPSPs to spikes. Taken together, the results suggest a critical role for LTK currents in regulating inhibitory synaptic strength in ITD-coding neurons at various frequencies.

  11. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    PubMed

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  12. A High Temperature Silicon Carbide mosfet Power Module With Integrated Silicon-On-Insulator-Based Gate Drive

    DOE PAGES

    Wang, Zhiqiang; Shi, Xiaojie; Tolbert, Leon M.; ...

    2014-04-30

    Here we present a board-level integrated silicon carbide (SiC) MOSFET power module for high temperature and high power density application. Specifically, a silicon-on-insulator (SOI)-based gate driver capable of operating at 200°C ambient temperature is designed and fabricated. The sourcing and sinking current capability of the gate driver are tested under various ambient temperatures. Also, a 1200 V/100 A SiC MOSFET phase-leg power module is developed utilizing high temperature packaging technologies. The static characteristics, switching performance, and short-circuit behavior of the fabricated power module are fully evaluated at different temperatures. Moreover, a buck converter prototype composed of the SOI gate drivermore » and SiC power module is built for high temperature continuous operation. The converter is operated at different switching frequencies up to 100 kHz, with its junction temperature monitored by a thermosensitive electrical parameter and compared with thermal simulation results. The experimental results from the continuous operation demonstrate the high temperature capability of the power module at a junction temperature greater than 225°C.« less

  13. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer.

    PubMed

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-27

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd) 4 Ti 3 O 12 films as insulator, and HfO 2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO 2 defect control layer shows a low leakage current density of 3.1 × 10 -9  A/cm 2 at a gate voltage of - 3 V.

  14. Black Phosphorus Based Field Effect Transistors with Simultaneously Achieved Near Ideal Subthreshold Swing and High Hole Mobility at Room Temperature.

    PubMed

    Liu, Xinke; Ang, Kah-Wee; Yu, Wenjie; He, Jiazhu; Feng, Xuewei; Liu, Qiang; Jiang, He; Dan Tang; Wen, Jiao; Lu, Youming; Liu, Wenjun; Cao, Peijiang; Han, Shun; Wu, Jing; Liu, Wenjun; Wang, Xi; Zhu, Deliang; He, Zhubing

    2016-04-22

    Black phosphorus (BP) has emerged as a promising two-dimensional (2D) material for next generation transistor applications due to its superior carrier transport properties. Among other issues, achieving reduced subthreshold swing and enhanced hole mobility simultaneously remains a challenge which requires careful optimization of the BP/gate oxide interface. Here, we report the realization of high performance BP transistors integrated with HfO2 high-k gate dielectric using a low temperature CMOS process. The fabricated devices were shown to demonstrate a near ideal subthreshold swing (SS) of ~69 mV/dec and a room temperature hole mobility of exceeding >400 cm(2)/Vs. These figure-of-merits are benchmarked to be the best-of-its-kind, which outperform previously reported BP transistors realized on traditional SiO2 gate dielectric. X-ray photoelectron spectroscopy (XPS) analysis further reveals the evidence of a more chemically stable BP when formed on HfO2 high-k as opposed to SiO2, which gives rise to a better interface quality that accounts for the SS and hole mobility improvement. These results unveil the potential of black phosphorus as an emerging channel material for future nanoelectronic device applications.

  15. Lanthanide-based oxides and silicates for high-kappa gate dielectric applications

    NASA Astrophysics Data System (ADS)

    Jur, Jesse Stephen

    substantial improvement over SiO(N) dielectrics, allowing for increased device scaling. High-temperature processing, consistent with the source/drain activation anneal in MOSFET processing, is performed on lanthanum-silicate based MOS devices with Ta or TaN gate electrodes and a W metal capping layer. The thermal limit of Ta is observed to be less than 800°C, resulting in a phase transformation that can result in uncontrolled shifting of the MOS device flat-band voltage. TaN is observed to be more thermally stable (up to 1000°C) and results in an increase in the capacitance density suggesting that it impedes oxygen reaction with silicon to produce SiO2. It is later observed that a W metal capping layer can serve as a high-oxygen source, which results in an increased interfacial SiO2 formation. By limiting the oxygen content in the W capping layer and by utilizing a thermally stable TaN gate electrode, control over the electrical properties of the MOS device is acquired. To determine the stability of amorphous lanthanum-silicate in contact with investigated by means of back-side secondary ion mass spectroscopy profiling. The results are the first reported data showing that the lanthanum incorporated in the silica matrix doe not diffuse into the silicon substrate after high temperature processing. The decrease in the device effective work function (φM,eff ) observed in these samples is examined in detail. First, as a La 2O3 capping layer on HfSiO(N), the shift yields ideal-φ M,eff values for nMOSFET deices (4.0 eV) that were previously inaccessible. Other lanthanide oxides (Dy, Ho and Yb) used as capping layers show similar effects. It is also shown that tuning of φM,eff can be realized by controlling the extent of lanthanide-silicate formation. This research, conducted in conjunction with SEMATECH and the SRC, represents a significant technological advancement in realizing 45 and sub-45 nm MOSFET device nodes.

  16. Hard magnetic property enhancement of Co{sub 7}Hf-based ribbons by boron doping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, H. W.; Liao, M. C.; Shih, C. W.

    2014-11-10

    Hard magnetic property enhancement of melt spun Co{sub 88}Hf{sub 12} ribbons by boron doping is demonstrated. B-doping could not only remarkably enhance the magnetic properties from energy product ((BH){sub max}) of 2.6 MGOe and intrinsic coercivity ({sub i}H{sub c}) of 1.5 kOe for B-free Co{sub 88}Hf{sub 12} ribbons to (BH){sub max} = 7.7 MGOe and {sub i}H{sub c} = 3.1 kOe for Co{sub 85}Hf{sub 12}B{sub 3} ribbons but also improve the Curie temperature (T{sub C}) of 7:1 phase. The (BH){sub max} value achieved in Co{sub 85}Hf{sub 12}B{sub 3} ribbons is the highest in Co-Hf alloy ribbons ever reported, which is about 15% higher thanmore » that of Co{sub 11}Hf{sub 2}B ribbons spun at 16 m/s [M. A. McGuire, O. Rios, N. J. Ghimire, and M. Koehler, Appl. Phys. Lett. 101, 202401 (2012)]. The structural analysis confirms that B enters the orthorhombic Co{sub 7}Hf (7:1) crystal structure as interstitial atoms, forming Co{sub 7}HfB{sub x}, in the as-spun state. Yet B may diffuse out from the 7:1 phase after post-annealing, leading to the reduction of Curie temperature and the magnetic properties. The uniformly refined microstructure with B-doping results in high remanence (B{sub r}) and improves the squareness of demagnetization curve. The formation of interstitial-atom-modified Co{sub 7}HfB{sub x} phase and the microstructure refinement are the main reasons to give rise to the enhancement of hard magnetic properties in the B-containing Co{sub 7}Hf-based ribbons.« less

  17. Density functional theory study of HfCl4, ZrCl4, and Al(CH3)3 decomposition on hydroxylated SiO2: Initial stage of high-k atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Jeloaica, L.; Estève, A.; Djafari Rouhani, M.; Estève, D.

    2003-07-01

    The initial stage of atomic layer deposition of HfO2, ZrO2, and Al2O3 high-k films, i.e., the decomposition of HfCl4, ZrCl4, and Al(CH3)3 precursor molecules on an OH-terminated SiO2 surface, is investigated within density functional theory. The energy barriers are determined using artificial activation of vibrational normal modes. For all precursors, reaction proceeds through the formation of intermediate complexes that have equivalent formation energies (˜-0.45 eV), and results in HCl and CH4 formation with activation energies of 0.88, 0.91, and 1.04 eV for Hf, Zr, and Al based precursors, respectively. The reaction product of Al(CH3)3 decomposition is found to be more stable (by -1.45 eV) than the chemisorbed intermediate complex compared to the endothermic decomposition of HfCl4 and ZrCl4 chemisorbed precursors (0.26 and 0.29 eV, respectively).

  18. Technology and characterization of Thin-Film Transistors (TFTs) with a-IGZO semiconductor and high-k dielectric layer

    NASA Astrophysics Data System (ADS)

    Mroczyński, R.; Wachnicki, Ł.; Gierałtowska, S.

    2016-12-01

    In this work, we present the design of the technology and fabrication of TFTs with amorphous IGZO semiconductor and high-k gate dielectric layer in the form of hafnium oxide (HfOx). In the course of this work, the IGZO fabrication was optimized by means of Taguchi orthogonal tables approach in order to obtain an active semiconductor with reasonable high concentration of charge carriers, low roughness and relatively high mobility. The obtained Thin-Film Transistors can be characterized by very good electrical parameters, i.e., the effective mobility (μeff ≍ 12.8 cm2V-1s-1) significantly higher than that for a-Si TFTs (μeff ≍ 1 cm2V-1s-1). However, the value of sub-threshold swing (i.e., 640 mV/dec) points that the interfacial properties of IGZO/HfOx stack is characterized by high value of interface states density (Dit) which, in turn, demands further optimization for future applications of the demonstrated TFT structures.

  19. Downscaling ferroelectric field effect transistors by using ferroelectric Si-doped HfO2

    NASA Astrophysics Data System (ADS)

    Martin, Dominik; Yurchuk, Ekaterina; Müller, Stefan; Müller, Johannes; Paul, Jan; Sundquist, Jonas; Slesazeck, Stefan; Schlösser, Till; van Bentum, Ralf; Trentzsch, Martin; Schröder, Uwe; Mikolajick, Thomas

    2013-10-01

    Throughout the 22 nm technology node HfO2 is established as a reliable gate dielectric in contemporary complementary metal oxide semiconductor (CMOS) technology. The working principle of ferroelectric field effect transistors FeFET has also been demonstrated for some time for dielectric materials like Pb[ZrxTi1-x]O3 and SrBi2Ta2O9. However, integrating these into contemporary downscaled CMOS technology nodes is not trivial due to the necessity of an extremely thick gate stack. Recent developments have shown HfO2 to have ferroelectric properties, given the proper doping. Moreover, these doped HfO2 thin films only require layer thicknesses similar to the ones already in use in CMOS technology. This work will show how the incorporation of Si induces ferroelectricity in HfO2 based capacitor structures and finally demonstrate non-volatile storage in nFeFETs down to a gate length of 100 nm. A memory window of 0.41 V can be retained after 20,000 switching cycles. Retention can be extrapolated to 10 years.

  20. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  1. Electro-optic modulator based gate transient suppression for sine-wave gated InGaAs/InP single photon avalanche photodiode

    NASA Astrophysics Data System (ADS)

    Zhang, Yixin; Zhang, Xuping; Shi, Yuanlei; Ying, Zhoufeng; Wang, Shun

    2014-06-01

    Capacitive gate transient noise has been problematic for the high-speed single photon avalanche photodiode (SPAD), especially when the operating frequency extends to the gigahertz level. We proposed an electro-optic modulator based gate transient noise suppression method for sine-wave gated InGaAs/InP SPAD. With the modulator, gate transient is up-converted to its higher-order harmonics that can be easily removed by low pass filtering. The proposed method enables online tuning of the operating rate without modification of the hardware setup. At 250 K, detection efficiency of 14.7% was obtained with 4.8×10-6 per gate dark count and 3.6% after-pulse probabilities for 1550-nm optical signal under 1-GHz gating frequency. Experimental results have shown that the performance of the detector can be maintained within a designated frequency range from 0.97 to 1.03 GHz, which is quite suitable for practical high-speed SPAD applications operated around the gigahertz level.

  2. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  3. Coupling of activation and inactivation gate in a K+-channel: potassium and ligand sensitivity

    PubMed Central

    Ader, Christian; Schneider, Robert; Hornig, Sönke; Velisetty, Phanindra; Vardanyan, Vitya; Giller, Karin; Ohmert, Iris; Becker, Stefan; Pongs, Olaf; Baldus, Marc

    2009-01-01

    Potassium (K+)-channel gating is choreographed by a complex interplay between external stimuli, K+ concentration and lipidic environment. We combined solid-state NMR and electrophysiological experiments on a chimeric KcsA–Kv1.3 channel to delineate K+, pH and blocker effects on channel structure and function in a membrane setting. Our data show that pH-induced activation is correlated with protonation of glutamate residues at or near the activation gate. Moreover, K+ and channel blockers distinctly affect the open probability of both the inactivation gate comprising the selectivity filter of the channel and the activation gate. The results indicate that the two gates are coupled and that effects of the permeant K+ ion on the inactivation gate modulate activation-gate opening. Our data suggest a mechanism for controlling coordinated and sequential opening and closing of activation and inactivation gates in the K+-channel pore. PMID:19661921

  4. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    NASA Astrophysics Data System (ADS)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  5. Closed cycle high-repetition-rate pulsed HF laser

    NASA Astrophysics Data System (ADS)

    Harris, Michael R.; Morris, A. V.; Gorton, Eric K.

    1997-04-01

    The design and performance of a closed cycle high repetition rate HF laser is described. A short pulse, glow discharge is formed in a 10 SF6:1 H2 gas mixture at a total pressure of approximately 110 torr within a 15 by 0.5 by 0.5 cm3 volume. Transverse, recirculated gas flow adequate to enable repetitive operation up to 3 kHz is imposed by a centrifugal fan. The fan also forces the gas through a scrubber cell to eliminate ground state HF from the gas stream. An automated gas make-up system replenishes spent gas removed by the scrubber. Typical mean laser output powers up to 3 W can be maintained for extended periods of operation.

  6. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  7. An overview of high-latitude hf induced aurora from EISCAT

    NASA Astrophysics Data System (ADS)

    Kosch, M.; Gustavsson, B.; Rietveld, M.

    The EISCAT HF facility is capable of transmitting over 200 MW into the ionosphere below 5.423 MHz using the low-gain antenna array. Over 1000 MW above 5.423 MHz is available using the high-gain antenna array. During O-mode pumping in the hours after sunset, F-region electrons can be accelerated sufficiently to excite the oxygen atoms and nitrogen molecules, resulting in observable optical emissions at 844.6 (O), 630 (O1D), 557.7 (O1S) and 427.8 (N2) nm above EISCAT. Initial success came in February 1999 with optical recordings by ALIS (Auroral Large Imaging System) from various Swedish locations south of EISCAT and DASI (Digital All-Sky Imager) from Skibotn, Norway, 50 km south-east of EISCAT. Several observations have features unique to high latitudes. Novel discoveries include: (1) Very large electron temperature enhancements of a few 1000 K, which maximise along the magnetic field line direction (2) Ion temperature enhancements of a few 100 K accompanied by large ion outflows, (3) The optical emission usually appears near the magnetic field line direction regardless of the HF transmitter beam pointing direction, (4) The optical emission appears below the HF pump reflection altitude as well as the upper-hybrid resonance height, (5) The optical emission and HF coherent radar backscatter disappears when pumping on the 3rd, 4th or 5th gyro-harmonic frequency, (6) The first artificial optical observations at 844.6 (O) and 427.8 (N2) nm and (7) Annular optical structures, which subsequently collapse into blobs.

  8. 10 K gate I(2)L and 1 K component analog compatible bipolar VLSI technology - HIT-2

    NASA Astrophysics Data System (ADS)

    Washio, K.; Watanabe, T.; Okabe, T.; Horie, N.

    1985-02-01

    An advanced analog/digital bipolar VLSI technology that combines on the same chip 2-ns 10 K I(2)L gates with 1 K analog devices is proposed. The new technology, called high-density integration technology-2, is based on a new structure concept that consists of three major techniques: shallow grooved-isolation, I(2)L active layer etching, and I(2)L current gain increase. I(2)L circuits with 80-MHz maximum toggle frequency have developed compatibly with n-p-n transistors having a BV(CE0) of more than 10 V and an f(T) of 5 GHz, and lateral p-n-p transistors having an f(T) of 150 MHz.

  9. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  10. High-frequency electromechanical resonators based on thin GaTe

    NASA Astrophysics Data System (ADS)

    Chitara, Basant; Ya'akobovitz, Assaf

    2017-10-01

    Gallium telluride (GaTe) is a layered material, which exhibits a direct bandgap (˜1.65 eV) regardless of its thickness and therefore holds great potential for integration as a core element in stretchable optomechanical and optoelectronic devices. Here, we characterize and demonstrate the elastic properties and electromechanical resonators of suspended thin GaTe nanodrums. We used atomic force microscopy to extract the Young’s modulus of GaTe (average value ˜39 GPa) and to predict the resonance frequencies of suspended GaTe nanodrums of various geometries. Electromechanical resonators fabricated from suspended GaTe revealed fundamental resonance frequencies in the range of 10-25 MHz, which closely match predicted values. Therefore, this study paves the way for creating a new generation of GaTe based nanoelectromechanical devices with a direct bandgap vibrating element, which can serve as optomechanical sensors and actuators.

  11. Compact, Intelligent, Digitally Controlled IGBT Gate Drivers for a PEBB-Based ILC Marx Modulator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nguyen, M.N.; Burkhart, C.; Olsen, J.J.

    2010-06-07

    SLAC National Accelerator Laboratory has built and is currently operating a first generation prototype Marx klystron modulator to meet ILC specifications. Under development is a second generation prototype, aimed at improving overall performance, serviceability, and manufacturability as compared to its predecessor. It is designed around 32 cells, each operating at 3.75 kV and correcting for its own capacitor droop. Due to the uniqueness of this application, high voltage gate drivers needed to be developed for the main 6.5 kV and droop correction 1.7 kV IGBTs. The gate driver provides vital functions such as protection of the IGBT from over-voltage andmore » over-current, detection of gate-emitter open and short circuit conditions, and monitoring of IGBT degradation (based on collector-emitter saturation voltage). Gate drive control, diagnostic processing capabilities, and communication are digitally implemented using an FPGA. This paper details the design of the gate driver circuitry, component selection, and construction layout. In addition, experimental results are included to illustrate the effectiveness of the protection circuit.« less

  12. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  13. Voltage Gating of Shaker K+ Channels

    PubMed Central

    Rodríguez, Beatriz M.; Sigg, Daniel; Bezanilla, Francisco

    1998-01-01

    Ionic (Ii) and gating currents (Ig) from noninactivating Shaker H4 K+ channels were recorded with the cut-open oocyte voltage clamp and macropatch techniques. Steady state and kinetic properties were studied in the temperature range 2–22°C. The time course of Ii elicited by large depolarizations consists of an initial delay followed by an exponential rise with two kinetic components. The main Ii component is highly temperature dependent (Q10 > 4) and mildly voltage dependent, having a valence times the fraction of electric field (z) of 0.2–0.3 eo. The Ig On response obtained between −60 and 20 mV consists of a rising phase followed by a decay with fast and slow kinetic components. The main Ig component of decay is highly temperature dependent (Q10 > 4) and has a z between 1.6 and 2.8 eo in the voltage range from −60 to −10 mV, and ∼0.45 eo at more depolarized potentials. After a pulse to 0 mV, a variable recovery period at −50 mV reactivates the gating charge with a high temperature dependence (Q10 > 4). In contrast, the reactivation occurring between −90 and −50 mV has a Q10 = 1.2. Fluctuation analysis of ionic currents reveals that the open probability decreases 20% between 18 and 8°C and the unitary conductance has a low temperature dependence with a Q10 of 1.44. Plots of conductance and gating charge displacement are displaced to the left along the voltage axis when the temperature is decreased. The temperature data suggests that activation consists of a series of early steps with low enthalpic and negative entropic changes, followed by at least one step with high enthalpic and positive entropic changes, leading to final transition to the open state, which has a negative entropic change. PMID:9689029

  14. Lowering the environmental impact of high-kappa/ metal gate stack surface preparation processes

    NASA Astrophysics Data System (ADS)

    Zamani, Davoud

    ABSTRACT Hafnium based oxides and silicates are promising high-κ dielectrics to replace SiO2 as gate material for state-of-the-art semiconductor devices. However, integrating these new high-κ materials into the existing complementary metal-oxide semiconductor (CMOS) process remains a challenge. One particular area of concern is the use of large amounts of HF during wet etching of hafnium based oxides and silicates. The patterning of thin films of these materials is accomplished by wet etching in HF solutions. The use of HF allows dissolution of hafnium as an anionic fluoride complex. Etch selectivity with respect to SiO2 is achieved by appropriately diluting the solutions and using slightly elevated temperatures. From an ESH point of view, it would be beneficial to develop methods which would lower the use of HF. The first objective of this study is to find new chemistries and developments of new wet etch methods to reduce fluoride consumption during wet etching of hafnium based high-κ materials. Another related issue with major environmental impact is the usage of large amounts of rinsing water for removal of HF in post-etch cleaning step. Both of these require a better understanding of the HF interaction with the high-κ surface during the etching, cleaning, and rinsing processes. During the rinse, the cleaning chemical is removed from the wafers. Ensuring optimal resource usage and cycle time during the rinse requires a sound understanding and quantitative description of the transport effects that dominate the removal rate of the cleaning chemicals from the surfaces. Multiple processes, such as desorption and re-adsorption, diffusion, migration and convection, all factor into the removal rate of the cleaning chemical during the rinse. Any of these processes can be the removal rate limiting process, the bottleneck of the rinse. In fact, the process limiting the removal rate generally changes as the rinse progresses, offering the opportunity to save resources

  15. Dual-Gate p-GaN Gate High Electron Mobility Transistors for Steep Subthreshold Slope.

    PubMed

    Bae, Jong-Ho; Lee, Jong-Ho

    2016-05-01

    A steep subthreshold slope characteristic is achieved through p-GaN gate HEMT with dual-gate structure. Obtained subthreshold slope is less than 120 μV/dec. Based on the measured and simulated data obtained from single-gate device, breakdown of parasitic floating-base bipolar transistor and floating gate charged with holes are responsible to increase abruptly in drain current. In the dual-gate device, on-current degrades with high temperature but subthreshold slope is not changed. To observe the switching speed of dual-gate device and transient response of drain current are measured. According to the transient responses of drain current, switching speed of the dual-gate device is about 10(-5) sec.

  16. Enhanced ultraviolet electroluminescence and spectral narrowing from ZnO quantum dots/GaN heterojunction diodes by using high-k HfO{sub 2} electron blocking layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mo, Xiaoming; Long, Hao; Wang, Haoning

    2014-08-11

    We demonstrated the capability of realizing enhanced ZnO-related UV emissions by using the low-cost and solution-processable ZnO quantum dots (QDs) with the help of a high-k HfO{sub 2} electron blocking layer (EBL) for the ZnO QDs/p-GaN light-emitting diodes (LEDs). Full-width at half maximum of the LED devices was greatly decreased from ∼110 to ∼54 nm, and recombinations related to nonradiative centers were significantly suppressed with inserting HfO{sub 2} EBL. The electroluminescence of the ZnO QDs/HfO{sub 2}/p-GaN LEDs demonstrated an interesting spectral narrowing effect with increasing HfO{sub 2} thickness. The Gaussian fitting revealed that the great enhancement of the Zn{sub i}-related emissionmore » at ∼414 nm whereas the deep suppression of the interfacial recombination at ∼477 nm should be the main reason for the spectral narrowing effect.« less

  17. Sterol Regulation of Voltage-Gated K+ Channels.

    PubMed

    Balajthy, Andras; Hajdu, Peter; Panyi, Gyorgy; Varga, Zoltan

    2017-01-01

    Cholesterol is an essential lipid building block of the cellular plasma membrane. In addition to its structural role, it regulates the fluidity and raft structure of the membrane and influences the course of numerous membrane-linked signaling pathways and the function of transmembrane proteins, including ion channels. This is supported by a vast body of scientific data, which demonstrates the modulation of ion channels with a great variety of ion selectivity, gating, and tissue distribution by changes in membrane cholesterol. Here, we review what is currently known about the modulation of voltage-gated K + (Kv) channels by changes in membrane cholesterol content, considering raft association of the channels, the roles of cholesterol recognition sites, and those of adaptor proteins in cholesterol-Kv channel interactions. We specifically focus on Kv1.3, the dominant K + channel of human T cells. Effects of cholesterol depletion and enrichment and 7-dehydrocholesterol enrichment on Kv1.3 gating are discussed in the context of the immunological synapse and the comparison of the in vitro effects of sterol modifications on Kv1.3 function with ex vivo effects on cells from hypercholesterolemic and Smith-Lemli-Opitz patients. © 2017 Elsevier Inc. All rights reserved.

  18. Molecular Aspects of Structure, Gating, and Physiology of pH-Sensitive Background K2P and Kir K+-Transport Channels

    PubMed Central

    Sepúlveda, Francisco V.; Pablo Cid, L.; Teulon, Jacques; Niemeyer, María Isabel

    2015-01-01

    K+ channels fulfill roles spanning from the control of excitability to the regulation of transepithelial transport. Here we review two groups of K+ channels, pH-regulated K2P channels and the transport group of Kir channels. After considering advances in the molecular aspects of their gating based on structural and functional studies, we examine their participation in certain chosen physiological and pathophysiological scenarios. Crystal structures of K2P and Kir channels reveal rather unique features with important consequences for the gating mechanisms. Important tasks of these channels are discussed in kidney physiology and disease, K+ homeostasis in the brain by Kir channel-equipped glia, and central functions in the hearing mechanism in the inner ear and in acid secretion by parietal cells in the stomach. K2P channels fulfill a crucial part in central chemoreception probably by virtue of their pH sensitivity and are central to adrenal secretion of aldosterone. Finally, some unorthodox behaviors of the selectivity filters of K2P channels might explain their normal and pathological functions. Although a great deal has been learned about structure, molecular details of gating, and physiological functions of K2P and Kir K+-transport channels, this has been only scratching at the surface. More molecular and animal studies are clearly needed to deepen our knowledge. PMID:25540142

  19. Oxidation Study of an Ultra High Temperature Ceramic Coatings Based on HfSiCN

    NASA Technical Reports Server (NTRS)

    Sacksteder, Dagny; Waters, Deborah L.; Zhu, Dongming

    2018-01-01

    High temperature fiber-reinforced ceramic matrix composites (CMCs) are important for aerospace applications because of their low density, high strength, and significantly higher-temperature capabilities compared to conventional metallic systems. The use of the SiCf/SiC and Cf/SiC CMCs allows the design of lighter-weight, more fuel efficient aircraft engines and also more advanced spacecraft airframe thermal protection systems. However, CMCs have to be protected with advanced environmental barrier coatings when they are incorporated into components for the harsh environments such as in aircraft engine or spacecraft applications. In this study, high temperature oxidation kinetics of an advanced HfSiCN coating on Cf/SiC CMC substrates were investigated at 1300 C, 1400 C, and 1500 C by using thermogravimetric analysis (TGA). The coating oxidation reaction parabolic rate constant and activation energy were estimated from the experimental results. The oxidation reaction studies showed that the coatings formed the most stable, predominant HfSiO4-HfO2 scales at 1400 C. A peroxidation test at 1400 C then followed by subsequent oxidation tests at various temperatures also showed more adherent scales and slower scale growth because of reduced the initial transient oxidation stage and increased HfSiO4-HfO2 content in the scales formed on the HfSiCN coatings.

  20. Closed-cycle 1-kHz-pulse-repetition-frequency HF(DF) laser

    NASA Astrophysics Data System (ADS)

    Harris, Michael R.; Morris, A. V.; Gorton, Eric K.

    1998-05-01

    We describe the design and performance of a closed cycle, high pulse repetition frequency HF(DF) laser. A short duration, glow discharge is formed in a 10 SF6:1 H2(D2) gas mixture at a total pressure of approximately 110 torr. A pair of profiled electrodes define a 15 X 0.5 X 0.5 cm3 discharge volume through which gas flow is forced in the direction transverse to the optical axis. A centrifugal fan provides adequate gas flow to enable operation up to 3 kHz repetition frequency. The fan also passes the gas through a scrubber cell in which ground state HF(DF) is eliminated from the gas stream. An automated gas make-up system replenishes the spent fuel gases removed by the scrubber. Total gas admission is regulated by monitoring the system pressure, whilst the correct fuel balance is maintained through measurement of the discharge voltage. The HF(DF) generation rate is determined to be close to 5 X 1019 molecules per second per watt of laser output. Typical mean laser output powers of up to 3 watts can be delivered for extended periods of time. The primary limitation to life is found to be the discharge pre- ionization system. A distributed resistance corona pre- ionizer is shown to be advantageous when compared with an alternative arc array scheme.

  1. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  2. Study of Direct-Contact HfO2/Si Interfaces

    PubMed Central

    Miyata, Noriyuki

    2012-01-01

    Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs) but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs. PMID:28817060

  3. Highly sensitive glucose sensors based on enzyme-modified whole-graphene solution-gated transistors

    NASA Astrophysics Data System (ADS)

    Zhang, Meng; Liao, Caizhi; Mak, Chun Hin; You, Peng; Mak, Chee Leung; Yan, Feng

    2015-02-01

    Noninvasive glucose detections are convenient techniques for the diagnosis of diabetes mellitus, which require high performance glucose sensors. However, conventional electrochemical glucose sensors are not sensitive enough for these applications. Here, highly sensitive glucose sensors are successfully realized based on whole-graphene solution-gated transistors with the graphene gate electrodes modified with an enzyme glucose oxidase. The sensitivity of the devices is dramatically improved by co-modifying the graphene gates with Pt nanoparticles due to the enhanced electrocatalytic activity of the electrodes. The sensing mechanism is attributed to the reaction of H2O2 generated by the oxidation of glucose near the gate. The optimized glucose sensors show the detection limits down to 0.5 μM and good selectivity, which are sensitive enough for non-invasive glucose detections in body fluids. The devices show the transconductances two orders of magnitude higher than that of a conventional silicon field effect transistor, which is the main reason for their high sensitivity. Moreover, the devices can be conveniently fabricated with low cost. Therefore, the whole-graphene solution-gated transistors are a high-performance sensing platform for not only glucose detections but also many other types of biosensors that may find practical applications in the near future.

  4. Highly sensitive glucose sensors based on enzyme-modified whole-graphene solution-gated transistors

    PubMed Central

    Zhang, Meng; Liao, Caizhi; Mak, Chun Hin; You, Peng; Mak, Chee Leung; Yan, Feng

    2015-01-01

    Noninvasive glucose detections are convenient techniques for the diagnosis of diabetes mellitus, which require high performance glucose sensors. However, conventional electrochemical glucose sensors are not sensitive enough for these applications. Here, highly sensitive glucose sensors are successfully realized based on whole-graphene solution-gated transistors with the graphene gate electrodes modified with an enzyme glucose oxidase. The sensitivity of the devices is dramatically improved by co-modifying the graphene gates with Pt nanoparticles due to the enhanced electrocatalytic activity of the electrodes. The sensing mechanism is attributed to the reaction of H2O2 generated by the oxidation of glucose near the gate. The optimized glucose sensors show the detection limits down to 0.5 μM and good selectivity, which are sensitive enough for non-invasive glucose detections in body fluids. The devices show the transconductances two orders of magnitude higher than that of a conventional silicon field effect transistor, which is the main reason for their high sensitivity. Moreover, the devices can be conveniently fabricated with low cost. Therefore, the whole-graphene solution-gated transistors are a high-performance sensing platform for not only glucose detections but also many other types of biosensors that may find practical applications in the near future. PMID:25655666

  5. Indium diffusion through high-k dielectrics in high-k/InP stacks

    NASA Astrophysics Data System (ADS)

    Dong, H.; Cabrera, W.; Galatage, R. V.; Santosh KC, Brennan, B.; Qin, X.; McDonnell, S.; Zhernokletov, D.; Hinkle, C. L.; Cho, K.; Chabal, Y. J.; Wallace, R. M.

    2013-08-01

    Evidence of indium diffusion through high-k dielectric (Al2O3 and HfO2) films grown on InP (100) by atomic layer deposition is observed by angle resolved X-ray photoelectron spectroscopy and low energy ion scattering spectroscopy. The analysis establishes that In-out diffusion occurs and results in the formation of a POx rich interface.

  6. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  7. High-power pulse repetitive HF(DF) laser with a solid-state pump generator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Velikanov, S D; Domazhirov, A P; Zaretskiy, N A

    2015-11-30

    Operation of a repetitively pulsed electric-discharge HF(DF) laser with an all-solid-state pump generator based on FID switches is demonstrated. The energy stored in the pump generator capacitors was 880 J at an open-circuit voltage of 240 kV and a discharge pulse repetition rate of 25 Hz. The specific energy extractions were 3.8 and 3.4 J L{sup -1} for the HF and DF lasers, respectively. The possibilities of improving the output laser characteristics are discussed. (lasers)

  8. Temperature dependency of double material gate oxide (DMGO) symmetric dual-k spacer (SDS) wavy FinFET

    NASA Astrophysics Data System (ADS)

    Pradhan, K. P.; Priyanka; Sahu, P. K.

    2016-01-01

    Symmetric Dual-k Spacer (SDS) Trigate Wavy FinFET is a novel hybrid device that combines three significant and advanced technologies i.e., ultra-thin-body (UTB), FinFET, and symmetric spacer engineering on a single silicon on insulator (SOI) platform. This innovative architecture promises to enhance the device performance as compared to conventional FinFET without increasing the chip area. For the first time, we have incorporated two different dielectric materials (SiO2, and HfO2) as gate oxide to analyze the effect on various performance metrics of SDS wavy FinFET. This work evaluates the response of double material gate oxide (DMGO) on parameters like mobility, on current (Ion), transconductance (gm), transconductance generation factor (TGF), total gate capacitance (Cgg), and cutoff frequency (fT) in SDS wavy FinFET. This work also reveals the presence of biasing point i.e., zero temperature coefficient (ZTC) bias point. The ZTC bias point is that point where the device parameters become independent of temperature. The impact of operating temperature (T) on above said various performances are also subjected to extensive analysis. This further validates the reliability of DMGO-SDS FinFET and its application opportunities involved in modeling analog/RF circuits for a broad range of temperature applications. From extensive 3-D device simulation, we have determined that the inclusion of DMGO in SDS wavy FinFET is superior in performance.

  9. Measurement of Thicknesses of HighGate-Dielectric Films on Silicon by Angle-Resolved XPS

    NASA Astrophysics Data System (ADS)

    Powell, Cedric; Smekal, Werner; Werner, Wolfgang

    2006-03-01

    We report on the use of a new NIST database for the Simulation of Electron Spectra for Surface Analysis (SESSA) in measuring thicknesses of candidate highgate-dielectric materials (HfO2, HfSiO4, ZrO2, and ZrSiO4) on silicon by angle-resolved XPS. For conventional measurements of film thicknesses, effective attenuation lengths (EALs) have been computed for these materials from SESSA as a function of film thickness and photoelectron emission angle (i.e., to simulate the effects of tilting the sample). These EALs are believed to be more accurate than similar EALs obtained from the transport approximation because realistic cross sections are used for both elastic and inelastic scattering in the film and substrate materials. We also present ``calibration curves'' showing calculated ratios of selected photoelectron intensities from thin films of HfO2 on Si with an intermediate SiO2 layer. These ratios provide a simple and convenient means of determining the thicknesses of SiO2 and HfO2 films for particular measurement conditions.

  10. The High Field Ultra Low Aspect Ratio Tokamak (HF-ULART)

    NASA Astrophysics Data System (ADS)

    Ribeiro, Celso

    2017-10-01

    Recently, a medium-size HF-ULART has been proposed. The major objective is to explore the high beta and pressure under the high toroidal field, using present day technology. This might be one of pathway scenarios for a potential ultra-compact pulsed neutron source (UCP-NS) based on the spherical tokamak (ST) concept, which may lead to more steady-state NS or even to a fusion reactor, via realistic design scaling. The HF-ULART pulsed mode operation is created by quasi-simultaneous adiabatic compression (AC) in both minor and major radius of a very high beta plasma, possibly with further help of passive-wall stabilization, as envisaged in the RULART concept. This may help the revival of the studies of the AC technique in tokamaks, alongside the less compact and more complex ST-40 device, currently under construction. In addition, by similarities, studies in HF-ULART as a UCP-NS may also help to test the feasibility of the compact NS via the spheromak concept, which also uses the AC technique. Simulations of AC in HF-ULART plasmas will be presented.

  11. Effect of Hf-Rich Particles on the Creep Life of a High-strength Nial Single Crystal Alloy

    NASA Technical Reports Server (NTRS)

    Garg, A.; Raj, S. V.; Darolia, R.

    1995-01-01

    Additions of small amounts of Hf and Si to NiAl single crystals significantly improve their high-temperature strength and creep properties. However, if large Hf-rich dendritic particles formed during casting of the alloyed single crystals are not dissolved completely during homogenization heat treatment, a large variation in creep rupture life can occur. This behavior, observed in five samples of a Hf containing NiAl single crystal alloy tested at 1144 K under an initial stress of 241.4 MPa, is described in detail highlighting the role of interdendritic Hf-rich particles in limiting creep rupture life.

  12. Influence of nitrogen-related defects on optical and electrical behaviour in HfO2-xNx deposited by high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; McCulloch, D. G.; Partridge, J. G.

    2015-09-01

    HfO2-xNx films have been deposited by high-power impulse magnetron sputtering in an Ar-O2-N2 atmosphere with a series of nitrogen partial pressures. X-ray absorption spectroscopy revealed the optimum deposition conditions required to passivate O vacancies in the HfO2-xNx films by nitrogen. Low-mobility interstitial species prevent crystallisation of nitrogen-incorporated films. These effects combine to remove leakage paths resulting in superior breakdown strengths compared to films deposited without nitrogen. The bandgap was maintained at ˜5.9 eV in the films in which nitrogen passivated the oxygen vacancies. This is essential to provide sufficient band offsets for HfO2-xNx films to be used an effective gate dielectric.

  13. Coulomb excitation of the K π= 8⁻ isomeric band in 178Hf

    DOE PAGES

    Napiorkovsk, P. J.; Srebrny, J.; Czosnyka, T.; ...

    2001-12-01

    The Coulomb excitation experiment on the 178Hf was performed using 650 MeV beam of 136Xe. The first observation of discrete transitions in the K π = 8 - isomeric band. Coulomb excited from K π = 0+ ground state, is reported. The possible mechanisms of El coupling of the ground state band and the isomeric band is discussed.

  14. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  15. Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process

    NASA Astrophysics Data System (ADS)

    Wang, Yan-Rong; Yang, Hong; Xu, Hao; Wang, Xiao-Lei; Luo, Wei-Chun; Qi, Lu-Wei; Zhang, Shu-Xiang; Wang, Wen-Wu; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2015-11-01

    A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device’s performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the deposition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1 Å and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601) and the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129).

  16. High-performance SEGISFET pH Sensor using the structure of double-gate a-IGZO TFTs with engineered gate oxides

    NASA Astrophysics Data System (ADS)

    Pyo, Ju-Young; Cho, Won-Ju

    2017-03-01

    In this paper, we propose a high-performance separative extended gate ion-sensitive field-effect transistor (SEGISFET) that consists of a tin dioxide (SnO2) SEG sensing part and a double-gate structure amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with tantalum pentoxide/silicon dioxide (Ta2O5/SiO2)-engineered top-gate oxide. To increase sensitivity, we maximized the capacitive coupling ratio by applying high-k dielectric at the top-gate oxide layer. As an engineered top-gate oxide, a stack of 25 nm-thick Ta2O5 and 10 nm-thick SiO2 layers was found to simultaneously satisfy a small equivalent oxide thickness (˜17.14 nm), a low leakage current, and a stable interfacial property. The threshold-voltage instability, which is a fundamental issue in a-IGZO TFTs, was improved by low-temperature post-deposition annealing (˜87 °C) using microwave irradiation. The double-gate structure a-IGZO TFTs with engineered top-gate oxide exhibited high mobility, small subthreshold swing, high drive current, and larger on/off current ratio. The a-IGZO SEGISFETs with a dual-gate sensing mode showed a pH sensitivity of 649.04 mV pH-1, which is far beyond the Nernst limit. The non-ideal behavior of ISFETs, hysteresis, and drift effect also improved. These results show that the double-gate structure a-IGZO TFTs with engineered top-gate oxide can be a good candidate for cheap and disposable SEGISFET sensors.

  17. Outward Rectification of Voltage-Gated K+ Channels Evolved at Least Twice in Life History.

    PubMed

    Riedelsberger, Janin; Dreyer, Ingo; Gonzalez, Wendy

    2015-01-01

    Voltage-gated potassium (K+) channels are present in all living systems. Despite high structural similarities in the transmembrane domains (TMD), this K+ channel type segregates into at least two main functional categories-hyperpolarization-activated, inward-rectifying (Kin) and depolarization-activated, outward-rectifying (Kout) channels. Voltage-gated K+ channels sense the membrane voltage via a voltage-sensing domain that is connected to the conduction pathway of the channel. It has been shown that the voltage-sensing mechanism is the same in Kin and Kout channels, but its performance results in opposite pore conformations. It is not known how the different coupling of voltage-sensor and pore is implemented. Here, we studied sequence and structural data of voltage-gated K+ channels from animals and plants with emphasis on the property of opposite rectification. We identified structural hotspots that alone allow already the distinction between Kin and Kout channels. Among them is a loop between TMD S5 and the pore that is very short in animal Kout, longer in plant and animal Kin and the longest in plant Kout channels. In combination with further structural and phylogenetic analyses this finding suggests that outward-rectification evolved twice and independently in the animal and plant kingdom.

  18. Outward Rectification of Voltage-Gated K+ Channels Evolved at Least Twice in Life History

    PubMed Central

    Riedelsberger, Janin; Dreyer, Ingo; Gonzalez, Wendy

    2015-01-01

    Voltage-gated potassium (K+) channels are present in all living systems. Despite high structural similarities in the transmembrane domains (TMD), this K+ channel type segregates into at least two main functional categories—hyperpolarization-activated, inward-rectifying (Kin) and depolarization-activated, outward-rectifying (Kout) channels. Voltage-gated K+ channels sense the membrane voltage via a voltage-sensing domain that is connected to the conduction pathway of the channel. It has been shown that the voltage-sensing mechanism is the same in Kin and Kout channels, but its performance results in opposite pore conformations. It is not known how the different coupling of voltage-sensor and pore is implemented. Here, we studied sequence and structural data of voltage-gated K+ channels from animals and plants with emphasis on the property of opposite rectification. We identified structural hotspots that alone allow already the distinction between Kin and Kout channels. Among them is a loop between TMD S5 and the pore that is very short in animal Kout, longer in plant and animal Kin and the longest in plant Kout channels. In combination with further structural and phylogenetic analyses this finding suggests that outward-rectification evolved twice and independently in the animal and plant kingdom. PMID:26356684

  19. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  20. Solution Structure of Enterocin HF, an Antilisterial Bacteriocin Produced by Enterococcus faecium M3K31.

    PubMed

    Arbulu, Sara; Lohans, Christopher T; van Belkum, Marco J; Cintas, Luis M; Herranz, Carmen; Vederas, John C; Hernández, Pablo E

    2015-12-16

    The solution structure of enterocin HF (EntHF), a class IIa bacteriocin of 43 amino acids produced by Enterococcus faecium M3K31, was evaluated by CD and NMR spectroscopy. Purified EntHF was unstructured in water, but CD analysis supports that EntHF adopts an α-helical conformation when exposed to increasing concentrations of trifluoroethanol. Furthermore, NMR spectroscopy indicates that this bacteriocin adopts an antiparallel β-sheet structure in the N-terminal region (residues 1-17), followed by a well-defined central α-helix (residues 19-30) and a more disordered C-terminal end (residues 31-43). EntHF could be structurally organized into three flexible regions that might act in a coordinated manner. This is in agreement with the absence of long-range nuclear Overhauser effect signals between the β-sheet domain and the C-terminal end of the bacteriocin. The 3D structure recorded for EntHF fits emerging facts regarding target recognition and mode of action of class IIa bacteriocins.

  1. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  2. Silicon Nanowires with High-k Hafnium Oxide Dielectrics for Sensitive Detection of Small Nucleic Acid Oligomers

    PubMed Central

    Dorvel, Brian R.; Reddy, Bobby; Go, Jonghyun; Guevara, Carlos Duarte; Salm, Eric; Alam, Muhammad Ashraful; Bashir, Rashid

    2012-01-01

    Nanobiosensors based on silicon nanowire field effect transistors offer advantages of low cost, label-free detection, and potential for massive parallelization. As a result, these sensors have often been suggested as an attractive option for applications in Point-of-care (POC) medical diagnostics. Unfortunately, a number of performance issues such as gate leakage and current instability due to fluid contact, have prevented widespread adoption of the technology for routine use. High-k dielectrics, such as hafnium oxide (HfO2), have the known ability to address these challenges by passivating the exposed surfaces against destabilizing concerns of ion transport. With these fundamental stability issues addressed, a promising target for POC diagnostics and SiNWFET’s has been small oligonucleotides, more specifically microRNA (miRNA). MicroRNA’s are small RNA oligonucleotides which bind to messenger RNA’s, causing translational repression of proteins, gene silencing, and expressions are typically altered in several forms of cancer. In this paper, we describe a process for fabricating stable HfO2 dielectric based silicon nanowires for biosensing applications. Here we demonstrate sensing of single stranded DNA analogues to their microRNA cousins using miR-10b and miR-21 as templates, both known to be upregulated in breast cancer. We characterize the effect of surface functionalization on device performance using the miR-10b DNA analogue as the target sequence and different molecular weight poly-l-lysine as the functionalization layer. By optimizing the surface functionalization and fabrication protocol, we were able to achieve <100fM detection levels of miR-10b DNA analogue, with a theoretical limit of detection of 1fM. Moreover, the non-complementary DNA target strand, based on miR-21, showed very little response, indicating a highly sensitive and highly selective biosensing platform. PMID:22695179

  3. Highly stable organic field-effect transistors with engineered gate dielectrics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kippelen, Bernard; Wang, Cheng-Yin; Fuentes-Hernandez, Canek; Yun, Minseong; Singh, Ankit K.; Dindar, Amir; Choi, Sangmoo; Graham, Samuel

    2016-11-01

    Organic field-effect transistors (OFETs) have the potential to lead to low-cost flexible displays, wearable electronics, and sensors. While recent efforts have focused greatly on improving the maximum charge mobility that can be achieved in such devices, studies about the stability and reliability of such high performance devices are relatively scarce. In this talk, we will discuss the results of recent studies aimed at improving the stability of OFETs under operation and their shelf lifetime. In particular, we will focus on device architectures where the gate dielectric is engineered to act simultaneously as an environmental barrier layer. In the past, our group had demonstrated solution-processed top-gate OFETs using TIPS-pentacene and PTAA blends as a semiconductor layer with a bilayer gate dielectric layer of CYTOP/Al2O3, where the oxide layer was fabricated by atomic layer deposition, ALD. Such devices displayed high operational stability with little degradation after 20,000 on/off scan cycles or continuous operation (24 h), and high environmental stability when kept in air for more than 2 years, with unchanged carrier mobility. Using this stable device geometry, simple circuits and sensors operating in aqueous conditions were demonstrated. However, the Al2O3 layer was found to degrade due to corrosion under prolonged exposure in aqueous solutions. In this talk, we will report on the use of a nanolaminate (NL) composed of Al2O3 and HfO2 by ALD to replace the Al2O3 single layer in the bilayer gate dielectric use in top-gate OFETs. Such OFETs were found to operate under harsh condition such as immersion in water at 95 °C. This work was funded by the Department of Energy (DOE) through the Bay Area Photovoltaics Consortium (BAPVC) under Award Number DE-EE0004946.

  4. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2018-06-04

    Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high- k oxides on hydrogenated-diamond (H-diamond) for metal-oxide-semiconductor (MOS) capacitors and MOS field-effect transistors (MOSFETs) is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High- k oxide insulators are deposited using atomic layer deposition (ALD) and sputtering deposition (SD) techniques. Electrical properties of the H-diamond MOS capacitors with high- k oxides of ALD-Al₂O₃, ALD-HfO₂, ALD-HfO₂/ALD-Al₂O₃ multilayer, SD-HfO₂/ALD-HfO₂ bilayer, SD-TiO₂/ALD-Al₂O₃ bilayer, and ALD-TiO₂/ALD-Al₂O₃ bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al₂O₃/H-diamond and SD-HfO₂/ALD-HfO₂/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO₂/ALD-Al₂O₃ bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p -type channel characteristics for the ALD-Al₂O₃/H-diamond, SD-HfO₂/ALD-HfO₂/H-diamond, and ALD-TiO₂/ALD-Al₂O₃/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high- k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  5. Technical Note: High temporal resolution characterization of gating response time.

    PubMed

    Wiersma, Rodney D; McCabe, Bradley P; Belcher, Andrew H; Jensen, Patrick J; Smith, Brett; Aydogan, Bulent

    2016-06-01

    Low temporal latency between a gating ON/OFF signal and the LINAC beam ON/OFF during respiratory gating is critical for patient safety. Here the authors describe a novel method to precisely measure gating lag times at high temporal resolutions. A respiratory gating simulator with an oscillating platform was modified to include a linear potentiometer for position measurement. A photon diode was placed at linear accelerator isocenter for beam output measurement. The output signals of the potentiometer and diode were recorded simultaneously at 2500 Hz with an analog to digital converter for four different commercial respiratory gating systems. The ON and OFF of the beam signal were located and compared to the expected gating window for both phase and position based gating and the temporal lag times extracted. For phase based gating, a real-time position management (RPM) infrared marker tracking system with a single camera and a RPM system with a stereoscopic camera were measured to have mean gate ON/OFF lag times of 98/90 and 86/44 ms, respectively. For position based gating, an AlignRT 3D surface system and a Calypso magnetic fiducial tracking system were measured to have mean gate ON/OFF lag times of 356/529 and 209/60 ms, respectively. Temporal resolution of the method was high enough to allow characterization of individual gate cycles and was primary limited by the sampling speed of the data recording device. Significant variation of mean gate ON/OFF lag time was found between different gating systems. For certain gating devices, individual gating cycle lag times can vary significantly.

  6. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  7. Nanoscale Multigate TiN Metal Nanocrystal Memory Using High-k Blocking Dielectric and High-Work-Function Gate Electrode Integrated on Silcon-on-Insulator Substrate

    NASA Astrophysics Data System (ADS)

    Lu, Chi-Pei; Luo, Cheng-Kei; Tsui, Bing-Yue; Lin, Cha-Hsin; Tzeng, Pei-Jer; Wang, Ching-Chiun; Tsai, Ming-Jinn

    2009-04-01

    In this study, a charge-trapping-layer-engineered nanoscale n-channel trigate TiN nanocrystal nonvolatile memory was successfully fabricated on silicon-on-insulator (SOI) wafer. An Al2O3 high-k blocking dielectric layer and a P+ polycrystalline silicon gate electrode were used to obtain low operation voltage and suppress the back-side injection effect, respectively. TiN nanocrystals were formed by annealing TiN/Al2O3 nanolaminates deposited by an atomic layer deposition system. The memory characteristics of various samples with different TiN wetting layer thicknesses, post-deposition annealing times, and blocking oxide thicknesses were also investigated. The sample with a thicker wetting layer exhibited a much larger memory window than other samples owing to its larger nanocrystal size. Good retention with a mere 12% charge loss for up to 10 years and high endurance were also obtained. Furthermore, gate disturbance and read disturbance were measured with very small charge migrations after a 103 s stressing bias.

  8. Impact of post metal annealing on gate work function engineering for advanced MOS applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, S. Sachin, E-mail: ssachikl995@yahoo.in; Prasad, Amitesh; Sinha, Amrita

    2016-05-06

    Ultra thin HfO{sub 2} high-k gate dielectric has been deposited directly on strained Si{sub 0.81}Ge{sub 0.19} by Atomic Layer Deposition (ALD) technique. The influence of different types of metal gate electrodes (Al, Au, Pt) on electrical characteristics of Metal-Oxide-Semiconductor capacitors has been studied. Our results show that the electrical characteristics of MOS device are highly dependent on the gate electrodes used. The dependency of electrical characteristics on post metal annealing was studied in detail. The measured flat band (V{sub fb}) and hysteresis (ΔV{sub fb}) from high frequency C-V characteristics were used to study the pre-existing traps in the dielectric. Impactmore » of PMA on interface state density (D{sub it}), border trap density (N{sub bt}) and oxide trap density (Q{sub f/q}) of high-k gate stack were also examined for all the devices. The N{sub bt} and frequency dispersion significantly reduces to ~2.77x1010 cm{sup −2} and ~11.34 % respectively in case of Al electrode with a Dit value of ~4x10{sup 12} eV{sup −1}cm{sup −2} after PMA (350°C) in N{sub 2}, suggesting an improvement in device performance while Pt electrode shows a much less value of ΔVfb (~0.02 V) and Dit (~3.44x10{sup 12} eV{sup −1}cm{sup −2}) after PMA.« less

  9. A wide bandgap silicon carbide (SiC) gate driver for high-temperature and high-voltage applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lamichhane, Ranjan; Ericson, Milton Nance; Frank, Steven Shane

    2014-01-01

    Limitations of silicon (Si) based power electronic devices can be overcome with Silicon Carbide (SiC) because of its remarkable material properties. SiC is a wide bandgap semiconductor material with larger bandgap, lower leakage currents, higher breakdown electric field, and higher thermal conductivity, which promotes higher switching frequencies for high power applications, higher temperature operation, and results in higher power density devices relative to Si [1]. The proposed work is focused on design of a SiC gate driver to drive a SiC power MOSFET, on a Cree SiC process, with rise/fall times (less than 100 ns) suitable for 500 kHz tomore » 1 MHz switching frequency applications. A process optimized gate driver topology design which is significantly different from generic Si circuit design is proposed. The ultimate goal of the project is to integrate this gate driver into a Toyota Prius plug-in hybrid electric vehicle (PHEV) charger module. The application of this high frequency charger will result in lighter, smaller, cheaper, and a more efficient power electronics system.« less

  10. Technical Note: High temporal resolution characterization of gating response time

    PubMed Central

    Wiersma, Rodney D.; McCabe, Bradley P.; Belcher, Andrew H.; Jensen, Patrick J.; Smith, Brett; Aydogan, Bulent

    2016-01-01

    Purpose: Low temporal latency between a gating ON/OFF signal and the LINAC beam ON/OFF during respiratory gating is critical for patient safety. Here the authors describe a novel method to precisely measure gating lag times at high temporal resolutions. Methods: A respiratory gating simulator with an oscillating platform was modified to include a linear potentiometer for position measurement. A photon diode was placed at linear accelerator isocenter for beam output measurement. The output signals of the potentiometer and diode were recorded simultaneously at 2500 Hz with an analog to digital converter for four different commercial respiratory gating systems. The ON and OFF of the beam signal were located and compared to the expected gating window for both phase and position based gating and the temporal lag times extracted. Results: For phase based gating, a real-time position management (RPM) infrared marker tracking system with a single camera and a RPM system with a stereoscopic camera were measured to have mean gate ON/OFF lag times of 98/90 and 86/44 ms, respectively. For position based gating, an AlignRT 3D surface system and a Calypso magnetic fiducial tracking system were measured to have mean gate ON/OFF lag times of 356/529 and 209/60 ms, respectively. Conclusions: Temporal resolution of the method was high enough to allow characterization of individual gate cycles and was primary limited by the sampling speed of the data recording device. Significant variation of mean gate ON/OFF lag time was found between different gating systems. For certain gating devices, individual gating cycle lag times can vary significantly. PMID:27277028

  11. Technical Note: High temporal resolution characterization of gating response time

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wiersma, Rodney D., E-mail: rwiersma@uchicago.edu; McCabe, Bradley P.; Belcher, Andrew H.

    2016-06-15

    Purpose: Low temporal latency between a gating ON/OFF signal and the LINAC beam ON/OFF during respiratory gating is critical for patient safety. Here the authors describe a novel method to precisely measure gating lag times at high temporal resolutions. Methods: A respiratory gating simulator with an oscillating platform was modified to include a linear potentiometer for position measurement. A photon diode was placed at linear accelerator isocenter for beam output measurement. The output signals of the potentiometer and diode were recorded simultaneously at 2500 Hz with an analog to digital converter for four different commercial respiratory gating systems. The ONmore » and OFF of the beam signal were located and compared to the expected gating window for both phase and position based gating and the temporal lag times extracted. Results: For phase based gating, a real-time position management (RPM) infrared marker tracking system with a single camera and a RPM system with a stereoscopic camera were measured to have mean gate ON/OFF lag times of 98/90 and 86/44 ms, respectively. For position based gating, an AlignRT 3D surface system and a Calypso magnetic fiducial tracking system were measured to have mean gate ON/OFF lag times of 356/529 and 209/60 ms, respectively. Conclusions: Temporal resolution of the method was high enough to allow characterization of individual gate cycles and was primary limited by the sampling speed of the data recording device. Significant variation of mean gate ON/OFF lag time was found between different gating systems. For certain gating devices, individual gating cycle lag times can vary significantly.« less

  12. High-κ/Metal Gate Science and Technology

    NASA Astrophysics Data System (ADS)

    Guha, Supratik; Narayanan, Vijay

    2009-08-01

    High-κ/metal gate technology is on the verge of replacing conventional oxynitride dielectrics in state-of-the-art transistors for both high-performance and low-power applications. In this review we discuss some of the key materials issues that complicated the introduction of high-κ dielectrics, including reduced electron mobility, oxygen-based thermal instabilities, and the absence of thermally stable dual-metal electrodes. We show that through a combination of materials innovations and engineering ingenuity these issues were successfully overcome, thereby paving the way for high-κ/metal gate implementation.

  13. Single-source-precursor synthesis of dense SiC/HfCxN1-x-based ultrahigh-temperature ceramic nanocomposites

    NASA Astrophysics Data System (ADS)

    Wen, Qingbo; Xu, Yeping; Xu, Binbin; Fasel, Claudia; Guillon, Olivier; Buntkowsky, Gerd; Yu, Zhaoju; Riedel, Ralf; Ionescu, Emanuel

    2014-10-01

    polycarbosilane (SMP10) and tetrakis(dimethylamido)hafnium(iv) (TDMAH) for the purpose of preparing dense monolithic SiC/HfCxN1-x-based ultrahigh temperature ceramic nanocomposites. The materials obtained at different stages of the synthesis process were characterized via Fourier transform infrared (FT-IR) as well as nuclear magnetic resonance (NMR) spectroscopy. The polymer-to-ceramic transformation was investigated by means of MAS NMR and FT-IR spectroscopy as well as thermogravimetric analysis (TGA) coupled with in situ mass spectrometry. Moreover, the microstructural evolution of the synthesized SiHfCN-based ceramics annealed at different temperatures ranging from 1300 °C to 1800 °C was characterized by elemental analysis, X-ray diffraction, Raman spectroscopy and transmission electron microscopy (TEM). Based on its high temperature behavior, the amorphous SiHfCN-based ceramic powder was used to prepare monolithic SiC/HfCxN1-x-based nanocomposites using the spark plasma sintering (SPS) technique. The results showed that dense monolithic SiC/HfCxN1-x-based nanocomposites with low open porosity (0.74 vol%) can be prepared successfully from single-source precursors. The average grain size of both HfC0.83N0.17 and SiC phases was found to be less than 100 nm after SPS processing owing to a unique microstructure: HfC0.83N0.17 grains were embedded homogeneously in a β-SiC matrix and encapsulated by in situ formed carbon layers which acted as a diffusion barrier to suppress grain growth. The segregated Hf-carbonitride grains significantly influenced the electrical conductivity of the SPS processed monolithic samples. While Hf-free polymer-derived SiC showed an electrical conductivity of ca. 1.8 S cm-1, the electrical conductivity of the Hf-containing material was analyzed to be ca. 136.2 S cm-1. Electronic supplementary information (ESI) available: Raman spectroscopy characterization of the SiHfCN-based ceramics. See DOI: 10.1039/c4nr03376k

  14. Van der Waals Epitaxial Growth of Atomic Layered HfS2 Crystals for Ultrasensitive Near-Infrared Phototransistors.

    PubMed

    Fu, Lei; Wang, Feng; Wu, Bin; Wu, Nian; Huang, Wei; Wang, Hanlin; Jin, Chuanhong; Zhuang, Lin; He, Jun; Fu, Lei; Liu, Yunqi

    2017-08-01

    As a member of the group IVB transition metal dichalcogenides (TMDs) family, hafnium disulfide (HfS 2 ) is recently predicted to exhibit higher carrier mobility and higher tunneling current density than group VIB (Mo and W) TMDs. However, the synthesis of high-quality HfS 2 crystals, sparsely reported, has greatly hindered the development of this new field. Here, a facile strategy for controlled synthesis of high-quality atomic layered HfS 2 crystals by van der Waals epitaxy is reported. Density functional theory calculations are applied to elucidate the systematic epitaxial growth process of the S-edge and Hf-edge. Impressively, the HfS 2 back-gate field-effect transistors display a competitive mobility of 7.6 cm 2 V -1 s -1 and an ultrahigh on/off ratio exceeding 10 8 . Meanwhile, ultrasensitive near-infrared phototransistors based on the HfS 2 crystals (indirect bandgap ≈1.45 eV) exhibit an ultrahigh responsivity exceeding 3.08 × 10 5 A W -1 , which is 10 9 -fold higher than 9 × 10 -5 A W -1 obtained from the multilayer MoS 2 in near-infrared photodetection. Moreover, an ultrahigh photogain exceeding 4.72 × 10 5 and an ultrahigh detectivity exceeding 4.01 × 10 12 Jones, superior to the vast majority of the reported 2D-materials-based phototransistors, imply a great promise in TMD-based 2D electronic and optoelectronic applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Synthesis, characterization, and application of cy-dye- and alexa-dye-labeled hongotoxin(1) analogues. The first high affinity fluorescence probes for voltage-gated K+ channels.

    PubMed

    Pragl, Bernt; Koschak, Alexandra; Trieb, Maria; Obermair, Gerald; Kaufmann, Walter A; Gerster, Uli; Blanc, Eric; Hahn, Christoph; Prinz, Heino; Schütz, Gerhard; Darbon, Herve; Gruber, Hermann J; Knaus, Hans-Günther

    2002-01-01

    Hongotoxin(1) (HgTX(1)), a 39-residue peptide recently isolated from the venom of Centruroides limbatus, blocks the voltage-gated K+ channels K(v)1.1, K(v)1.2, and K(v)1.3 at picomolar toxin concentrations (Koschak, A., Bugianesi, R. M., Mitterdorfer, J., Kaczorowski, G. J., Garcia, M. L., and Knaus, H. G. (1998) J. Biol. Chem. 273, 2639-2644). In this report, we determine the three-dimensional structure of HgTX(1) using NMR spectroscopy (PDB-code: 1HLY). HgTX(1) was found to possess a structure similar to previously characterized K+ channel toxins (e.g. margatoxin) consisting of a three-stranded antiparallel beta-sheet (residues 2-4, 26-30, and 33-37) and a helical conformation (part 3(10) helix and part alpha helix; residues 10-20). Due to the importance of residue Lys-28 for high-affinity interaction with the respective channels, lysine-reactive fluorescence dyes cannot be used to label wild-type HgTX(1). On the basis of previous studies (see above) and our NMR data, a HgTX(1) mutant (HgTX(1)-A19C) was engineered, expressed, and purified. HgTX(1)-A19C-SH was labeled using sulfhydryl-reactive Cy3-, Cy5-, and Alexa-dyes. Pharmacological characterization of fluorescently labeled HgTX(1)-A19C in radioligand binding studies indicated that these hongotoxin(1) analogues retain high-affinity for voltage-gated K+ channels and a respective pharmacological profile. Cy3- and Alexa-dye-labeled hongotoxin(1) analogues were used to investigate the localization of K+ channels in brain sections. The distribution of toxin binding closely follows the distribution of K(v)1.2 immunoreactivity with the highest expression levels in the cerebellar Purkinje cell layer. Taken together, these results demonstrate that fluorescently labeled HgTX(1) analogues comprise novel probes to characterize a subset of voltage-gated K+ channels.

  16. Active Site Gate Dynamics Modulate the Catalytic Activity of the Ubiquitination Enzyme E2-25K.

    PubMed

    Rout, Manoj K; Lee, Brian L; Lin, Aiyang; Xiao, Wei; Spyracopoulos, Leo

    2018-05-03

    The ubiquitin proteasome system (UPS) signals for degradation of proteins through attachment of K48-linked polyubiquitin chains, or alterations in protein-protein recognition through attachment of K63-linked chains. Target proteins are ubiquitinated in three sequential chemical steps by a three-component enzyme system. Ubiquitination, or E2 enzymes, catalyze the central step by facilitating reaction of a target protein lysine with the C-terminus of Ub that is attached to the active site cysteine of the E2 through a thioester bond. E2 reactivity is modulated by dynamics of an active site gate, whose central residue packs against the active site cysteine in a closed conformation. Interestingly, for the E2 Ubc13, which specifically catalyzes K63-linked ubiquitination, the central gate residue adopts an open conformation. We set out to determine if active site gate dynamics play a role in catalysis for E2-25K, which adopts the canonical, closed gate conformation, and which selectively synthesizes K48-linked ubiquitin chains. Gate dynamics were characterized using mutagenesis of key residues, combined with enzyme kinetics measurements, and main chain NMR relaxation. The experimental data were interpreted with all atom MD simulations. The data indicate that active site gate opening and closing rates for E2-25K are precisely balanced.

  17. Synthesizing Biomolecule-based Boolean Logic Gates

    PubMed Central

    Miyamoto, Takafumi; Razavi, Shiva; DeRose, Robert; Inoue, Takanari

    2012-01-01

    One fascinating recent avenue of study in the field of synthetic biology is the creation of biomolecule-based computers. The main components of a computing device consist of an arithmetic logic unit, the control unit, memory, and the input and output devices. Boolean logic gates are at the core of the operational machinery of these parts, hence to make biocomputers a reality, biomolecular logic gates become a necessity. Indeed, with the advent of more sophisticated biological tools, both nucleic acid- and protein-based logic systems have been generated. These devices function in the context of either test tubes or living cells and yield highly specific outputs given a set of inputs. In this review, we discuss various types of biomolecular logic gates that have been synthesized, with particular emphasis on recent developments that promise increased complexity of logic gate circuitry, improved computational speed, and potential clinical applications. PMID:23526588

  18. Synthesizing biomolecule-based Boolean logic gates.

    PubMed

    Miyamoto, Takafumi; Razavi, Shiva; DeRose, Robert; Inoue, Takanari

    2013-02-15

    One fascinating recent avenue of study in the field of synthetic biology is the creation of biomolecule-based computers. The main components of a computing device consist of an arithmetic logic unit, the control unit, memory, and the input and output devices. Boolean logic gates are at the core of the operational machinery of these parts, and hence to make biocomputers a reality, biomolecular logic gates become a necessity. Indeed, with the advent of more sophisticated biological tools, both nucleic acid- and protein-based logic systems have been generated. These devices function in the context of either test tubes or living cells and yield highly specific outputs given a set of inputs. In this review, we discuss various types of biomolecular logic gates that have been synthesized, with particular emphasis on recent developments that promise increased complexity of logic gate circuitry, improved computational speed, and potential clinical applications.

  19. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  20. Voltage-gated K+ channel modulators as neuroprotective agents.

    PubMed

    Leung, Yuk-Man

    2010-05-22

    A manifestation in neurodegeneration is apoptosis of neurons. Neurons undergoing apoptosis may lose a substantial amount of cytosolic K+ through a number of pathways including K+ efflux via voltage-gated K+ (Kv) channels. The consequent drop in cytosolic [K+] relieves inhibition of an array of pro-apoptotic enzymes such as caspases and nucleases. Blocking Kv channels has been known to prevent neuronal apoptosis by preventing K+ efflux. Some neural diseases such as epilepsy are caused by neuronal hyperexcitability, which eventually may lead to neuronal apoptosis. Reduction in activities of A-type Kv channels and Kv7 subfamily members is amongst the etiological causes of neuronal hyperexcitation; enhancing the opening of these channels may offer opportunities of remedy. This review discusses the potential uses of Kv channel modulators as neuroprotective drugs.

  1. Benchmarking gate-based quantum computers

    NASA Astrophysics Data System (ADS)

    Michielsen, Kristel; Nocon, Madita; Willsch, Dennis; Jin, Fengping; Lippert, Thomas; De Raedt, Hans

    2017-11-01

    With the advent of public access to small gate-based quantum processors, it becomes necessary to develop a benchmarking methodology such that independent researchers can validate the operation of these processors. We explore the usefulness of a number of simple quantum circuits as benchmarks for gate-based quantum computing devices and show that circuits performing identity operations are very simple, scalable and sensitive to gate errors and are therefore very well suited for this task. We illustrate the procedure by presenting benchmark results for the IBM Quantum Experience, a cloud-based platform for gate-based quantum computing.

  2. Synthesis and characterisation of ionic liquids based on 1-butyl-3-methylimidazolium chloride and MCl(4), M = Hf and Zr.

    PubMed

    Campbell, Paul S; Santini, Catherine C; Bouchu, Denis; Fenet, Bernard; Rycerz, Leszek; Chauvin, Yves; Gaune-Escard, Marcelle; Bessada, Catherine; Rollet, Anne-Laure

    2010-02-07

    Dialkylimidazolium chlorometallate molten salts resulting from the combination of zirconium or hafnium tetrachloride and 1-butyl-3-methylimidazolium chloride, [C(1)C(4)Im][Cl], have been prepared with a molar fraction of MCl(4), R = n(MCl4)/n(MCl4) + n([C1C4IM][Cl]) equal to 0, 0.1, 0.2, 0.33, 0.5, 0.67. The structure and composition were studied by Differential Scanning Calorimetry (DSC), (35)Cl (263 to 333 K), (1)H and (13)C solid state and solution NMR spectroscopy, and electrospray ionisation (ESI) mass spectrometry. The primary anions of the MCl(4)-based ILs were [MCl(5)], [MCl(6)] and [M(2)Cl(9)], whose relative abundances varied with R. For R = 0.33, pure solid [C(1)C(4)Im](2)[MCl(6)], for both M = Zr and Hf are formed (m.p. = 366 and 385 K, respectively). For R = 0.67 pure ionic liquids [C(1)C(4)Im][M(2)Cl(9)] for both M = Zr and Hf are formed (T(g) = 224 and 220 K, respectively). The thermal dissociation has been attempted of [C(1)C(4)Im](2)[HfCl(6)], and [C(1)C(4)Im](2)[ZrCl(6)] monitored by (35)Cl and (91)Zr solid NMR (high temperature up to 551 K).

  3. Electrical properties of nano-resistors made from the Zr-doped HfO2 high-k dielectric film

    NASA Astrophysics Data System (ADS)

    Zhang, Shumao; Kuo, Yue

    2018-03-01

    Electrical properties of nano-sized resistors made from the breakdown of the metal-oxide-semiconductor capacitor composed of the amorphous high-k gate dielectric have been investigated under different stress voltages and temperatures. The effective resistance of nano-resistors in the device was estimated from the I-V curve in the high voltage range. It decreased with the increase of the number of resistors. The resistance showed complicated temperature dependence, i.e. it neither behaves like a conductor nor a semiconductor. In the low voltage operation range, the charge transfer was controlled by the Schottky barrier at the nano-resistor/Si interface. The barrier height decreased with the increase of stress voltage, which was probably caused by the change of the nano-resistor composition. Separately, it was observed that the barrier height was dependent on the temperature, which was probably due to the dynamic nano-resistor formation process and the inhomogeneous barrier height distribution. The unique electrical characteristics of this new type of nano-resistors are important for many electronic and optoelectronic applications.

  4. Highly flexible SRAM cells based on novel tri-independent-gate FinFET

    NASA Astrophysics Data System (ADS)

    Liu, Chengsheng; Zheng, Fanglin; Sun, Yabin; Li, Xiaojin; Shi, Yanling

    2017-10-01

    In this paper, a novel tri-independent-gate (TIG) FinFET is proposed for highly flexible SRAM cells design. To mitigate the read-write conflict, two kinds of SRAM cells based on TIG FinFETs are designed, and high tradeoff are obtained between read stability and speed. Both cells can offer multi read operations for frequency requirement with single voltage supply. In the first TIG FinFET SRAM cell, the strength of single-fin access transistor (TIG FinFET) can be flexibly adjusted by selecting five different modes to meet the needs of dynamic frequency design. Compared to the previous double-independent-gate (DIG) FinFET SRAM cell, 12.16% shorter read delay can be achieved with only 1.62% read stability decrement. As for the second TIG FinFET SRAM cell, pass-gate feedback technology is applied and double-fin TIG FinFETs are used as access transistors to solve the severe write-ability degradation. Three modes exist to flexibly adjust read speed and stability, and 68.2% larger write margin and 51.7% shorter write delay are achieved at only the expense of 26.2% increase in leakage power, with the same layout area as conventional FinFET SRAM cell.

  5. Leakage current conduction in metal gate junctionless nanowire transistors

    NASA Astrophysics Data System (ADS)

    Oproglidis, T. A.; Karatsori, T. A.; Barraud, S.; Ghibaudo, G.; Dimitriadis, C. A.

    2017-05-01

    In this paper, the experimental off-state drain leakage current behavior is systematically explored in n- and p-channel junctionless nanowire transistors with HfSiON/TiN/p+-polysilicon gate stack. The analysis of the drain leakage current is based on experimental data of the gate leakage current. It has been shown that the off-state drain leakage current in n-channel devices is negligible, whereas in p-channel devices it is significant and dramatically increases with drain voltage. The overall results indicate that the off-state drain leakage current in p-channel devices is mainly due to trap-assisted Fowler-Nordheim tunneling of electrons through the gate oxide of electrons from the metal gate to the silicon layer near the drain region.

  6. Determination of prospective displacement-based gate threshold for respiratory-gated radiation delivery from retrospective phase-based gate threshold selected at 4D CT simulation.

    PubMed

    Vedam, S; Archambault, L; Starkschall, G; Mohan, R; Beddar, S

    2007-11-01

    Four-dimensional (4D) computed tomography (CT) imaging has found increasing importance in the localization of tumor and surrounding normal structures throughout the respiratory cycle. Based on such tumor motion information, it is possible to identify the appropriate phase interval for respiratory gated treatment planning and delivery. Such a gating phase interval is determined retrospectively based on tumor motion from internal tumor displacement. However, respiratory-gated treatment is delivered prospectively based on motion determined predominantly from an external monitor. Therefore, the simulation gate threshold determined from the retrospective phase interval selected for gating at 4D CT simulation may not correspond to the delivery gate threshold that is determined from the prospective external monitor displacement at treatment delivery. The purpose of the present work is to establish a relationship between the thresholds for respiratory gating determined at CT simulation and treatment delivery, respectively. One hundred fifty external respiratory motion traces, from 90 patients, with and without audio-visual biofeedback, are analyzed. Two respiratory phase intervals, 40%-60% and 30%-70%, are chosen for respiratory gating from the 4D CT-derived tumor motion trajectory. From residual tumor displacements within each such gating phase interval, a simulation gate threshold is defined based on (a) the average and (b) the maximum respiratory displacement within the phase interval. The duty cycle for prospective gated delivery is estimated from the proportion of external monitor displacement data points within both the selected phase interval and the simulation gate threshold. The delivery gate threshold is then determined iteratively to match the above determined duty cycle. The magnitude of the difference between such gate thresholds determined at simulation and treatment delivery is quantified in each case. Phantom motion tests yielded coincidence of simulation

  7. A thermodynamic model for the solubility of HfO2(am) in the aqueous K +– HCO 3 -– CO 3 2-–O -–H 2O system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.

    Solubility of HfO2(am) was determined as a function of KHCO3 concentrations ranging from 0.001 mol·kg-1 to 0.1 mol·kg-1. The solubility of HfO2(am) increased dramatically with the increase in KHCO3 concentrations, indicating that Hf(IV) makes strong complexes with carbonate. Thermodynamic equilibrium constants for the formation of Hf-carbonate complexes were determined using both the Pitzer and SIT models. The dramatic increase in Hf concentrations with the increase in KHCO3 concentrations can best be described by the formation of Hf(OH-)2(CO3)22- and Hf(CO3)56-. The log10 K0 values for the reactions [Hf4++2CO32-+2OH-⇌Hf(OH)2(CO3)22-] and [Hf4++5CO32-⇌Hf(CO3)56-], based on the SIT model, were determined to be 44.53±0.46 andmore » 41.53±0.46, respectively, and based on the Pitzer model they were 44.56±0.48 and 40.20±0.48, respectively.« less

  8. Voltage-Gated Potassium Channels: A Structural Examination of Selectivity and Gating

    PubMed Central

    Kim, Dorothy M.; Nimigean, Crina M.

    2016-01-01

    Voltage-gated potassium channels play a fundamental role in the generation and propagation of the action potential. The discovery of these channels began with predictions made by early pioneers, and has culminated in their extensive functional and structural characterization by electrophysiological, spectroscopic, and crystallographic studies. With the aid of a variety of crystal structures of these channels, a highly detailed picture emerges of how the voltage-sensing domain reports changes in the membrane electric field and couples this to conformational changes in the activation gate. In addition, high-resolution structural and functional studies of K+ channel pores, such as KcsA and MthK, offer a comprehensive picture on how selectivity is achieved in K+ channels. Here, we illustrate the remarkable features of voltage-gated potassium channels and explain the mechanisms used by these machines with experimental data. PMID:27141052

  9. Charge storage and tunneling mechanism of Ni nanocrystals embedded HfOx film

    NASA Astrophysics Data System (ADS)

    Zhu, H. X.; Zhang, T.; Wang, R. X.; Zhang, Y. Y.; Li, L. T.; Qiu, X. Y.

    2016-05-01

    A nano-floating gate memory structure based on Ni nanocrystals (NCs) embedded HfOx film is deposited by means of radio-frequency magnetron sputtering. Microstructure investigations reveal that self-organized Ni-NCs with diameters of 4-8 nm are well dispersed in amorphous HfOx matrix. Pt/Ni-NCs embedded HfOx/Si/Ag capacitor structures exhibit voltage-dependent capacitance-voltage hysteresis, and a maximum flat-band voltage shift of 1.5 V, corresponding to a charge storage density of 6.0 × 1012 electrons/cm2, is achieved. These capacitor memory cells exhibit good endurance characteristic up to 4 × 104 cycles and excellent retention performance of 105 s, fulfilling the requirements of next generation non-volatile memory devices. Schottky tunneling is proven to be responsible for electrons tunneling in these capacitors.

  10. Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dielectrics

    PubMed Central

    Henkel, C.; Abermann, S.; Bethge, O.; Pozzovivo, G.; Klang, P.; Stöger-Pollach, M.; Bertagnolli, E.

    2011-01-01

    Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained. PMID:21461054

  11. Annealing properties of open volumes in HfSiOx and HfAlOx gate dielectrics studied using monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Ikeuchi, K.; Yamabe, K.; Ohdaira, T.; Muramatsu, M.; Suzuki, R.; Hamid, A. S.; Chikyow, T.; Torii, K.; Yamada, K.

    2005-07-01

    Thin Hf0.6Si0.4Ox and Hf0.3Al0.7Ox films fabricated by metal-organic chemical-vapor deposition and atomic-layer-deposition techniques were characterized using monoenergetic positron beams. Measurements of the Doppler broadening spectra of annihilation radiation and the lifetime spectra of positions indicated that positrons annihilated from the trapped state by open volumes that exist intrinsically in amorphous structures of the films. For HfSiOx, the mean size of the open volumes and their size distribution decreased with increasing postdeposition annealing (PDA) temperature. For HfAlOx, although the overall behavior of the open volumes in response to annealing was similar to that for HfSiOx, PDA caused a separation of the mean size of the open volumes. When this separation occurred, the value of the line-shape parameter S increased, suggesting an oxygen deficiency in the amorphous matrix. This fragmentation of the amorphous matrix can be suppressed by decreasing the annealing time.

  12. Effects of plasma-induced charging damage on random telegraph noise in metal-oxide-semiconductor field-effect transistors with SiO2 and high-k gate dielectrics

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2014-01-01

    We clarified in this study how plasma-induced charging damage (PCD) affects the so-called “random telegraph noise (RTN)” — a principal concern in designing ultimately scaled large-scale integrated circuits (LSIs). Metal-oxide-semiconductor field-effect transistors (MOSFETs) with SiO2 and high-k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids-Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/μIds was measured, where μIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/μIds), δ(Ids/μIds), in order to clarify the effects of PCD on RTN. δ(Ids/μIds) was increased by PCD for both MOSFETs with the SiO2 and high-k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e., a distribution width increase directly indicates the presence of PCD. The dependence of δ(Ids/μIds) on the overdrive voltage Vg-Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that δ(Ids/μIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high-k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (ΔVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with ΔVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, δ(Ids/μIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in-depth understanding of plasma-induced RTN characteristic changes in future MOSFETs.

  13. Progress towards a microwave-based high-fidelity Toffoli gate with superconducting qubits

    NASA Astrophysics Data System (ADS)

    Rigetti, Chad; Chow, Jerry; Corcoles, Antonio; Rozen, Jim; Keefe, George; Rothwell, Mary Beth; Rohrs, Jack; Borstelmann, Mark; Divincenzo, David; Ketchen, Mark; Steffen, Matthias

    2011-03-01

    We describe recent progress at IBM towards a microwave-based implementation of the Toffoli gate using three capacitively shunted flux qubits dispersively coupled to a resonator. We discuss the device architecture and the microwave protocol, along with expected limits to gate fidelity and scaling.

  14. Determination of prospective displacement-based gate threshold for respiratory-gated radiation delivery from retrospective phase-based gate threshold selected at 4D CT simulation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vedam, S.; Archambault, L.; Starkschall, G.

    2007-11-15

    Four-dimensional (4D) computed tomography (CT) imaging has found increasing importance in the localization of tumor and surrounding normal structures throughout the respiratory cycle. Based on such tumor motion information, it is possible to identify the appropriate phase interval for respiratory gated treatment planning and delivery. Such a gating phase interval is determined retrospectively based on tumor motion from internal tumor displacement. However, respiratory-gated treatment is delivered prospectively based on motion determined predominantly from an external monitor. Therefore, the simulation gate threshold determined from the retrospective phase interval selected for gating at 4D CT simulation may not correspond to the deliverymore » gate threshold that is determined from the prospective external monitor displacement at treatment delivery. The purpose of the present work is to establish a relationship between the thresholds for respiratory gating determined at CT simulation and treatment delivery, respectively. One hundred fifty external respiratory motion traces, from 90 patients, with and without audio-visual biofeedback, are analyzed. Two respiratory phase intervals, 40%-60% and 30%-70%, are chosen for respiratory gating from the 4D CT-derived tumor motion trajectory. From residual tumor displacements within each such gating phase interval, a simulation gate threshold is defined based on (a) the average and (b) the maximum respiratory displacement within the phase interval. The duty cycle for prospective gated delivery is estimated from the proportion of external monitor displacement data points within both the selected phase interval and the simulation gate threshold. The delivery gate threshold is then determined iteratively to match the above determined duty cycle. The magnitude of the difference between such gate thresholds determined at simulation and treatment delivery is quantified in each case. Phantom motion tests yielded coincidence of

  15. Influence of HF acid catalyst concentration on properties of aerogel low-k thin films

    NASA Astrophysics Data System (ADS)

    Gaikwad, A. S.; Gupta, S. A.; Mahajan, A. M.

    2016-08-01

    The effect of hydrofluoric acid (HF) catalyst concentration in coating solution on chemical, physical and structural properties of silica aerogel thin films was investigated. The aerogel films were synthesized by using a sol-gel spin coating method followed by aging in ethanol and CO2 supercritical drying. The refractive index (RI) is observed to be reduced from 1.32 to 1.13 and porosity percentage increased from 30.21% to 71.64% in accordance with increasing HF concentration. Deposition of silica aerogel was confirmed from Fourier transform infrared spectroscopy measurement. The nanoporous nature of deposited films was confirmed from field effect scanning electron microscopy and observed pore diameter is in the range of 3.33 to 6.69 nm. The nanoporous nature of the film was also validated from atomic force microscopy and root mean square roughness was observed to be increased from 2.31 nm to 3.2 nm with increasing acid catalyst concentration in the coating solution. The calculated dielectric constant from CV measurement of fabricated metal-insulator-semiconductor structure for the silica aerogel formed at 0.8 ml HF concentration is observed to be 1.73. These deposited nanoporous silica aerogel low-k films with lower k value and smaller pore size have application as interlayer dielectric materials to minimize the disadvantages of porous materials.

  16. A radial sampling strategy for uniform k-space coverage with retrospective respiratory gating in 3D ultrashort-echo-time lung imaging.

    PubMed

    Park, Jinil; Shin, Taehoon; Yoon, Soon Ho; Goo, Jin Mo; Park, Jang-Yeon

    2016-05-01

    The purpose of this work was to develop a 3D radial-sampling strategy which maintains uniform k-space sample density after retrospective respiratory gating, and demonstrate its feasibility in free-breathing ultrashort-echo-time lung MRI. A multi-shot, interleaved 3D radial sampling function was designed by segmenting a single-shot trajectory of projection views such that each interleaf samples k-space in an incoherent fashion. An optimal segmentation factor for the interleaved acquisition was derived based on an approximate model of respiratory patterns such that radial interleaves are evenly accepted during the retrospective gating. The optimality of the proposed sampling scheme was tested by numerical simulations and phantom experiments using human respiratory waveforms. Retrospectively, respiratory-gated, free-breathing lung MRI with the proposed sampling strategy was performed in healthy subjects. The simulation yielded the most uniform k-space sample density with the optimal segmentation factor, as evidenced by the smallest standard deviation of the number of neighboring samples as well as minimal side-lobe energy in the point spread function. The optimality of the proposed scheme was also confirmed by minimal image artifacts in phantom images. Human lung images showed that the proposed sampling scheme significantly reduced streak and ring artifacts compared with the conventional retrospective respiratory gating while suppressing motion-related blurring compared with full sampling without respiratory gating. In conclusion, the proposed 3D radial-sampling scheme can effectively suppress the image artifacts due to non-uniform k-space sample density in retrospectively respiratory-gated lung MRI by uniformly distributing gated radial views across the k-space. Copyright © 2016 John Wiley & Sons, Ltd.

  17. A 65k pixel, 150k frames-per-second camera with global gating and micro-lenses suitable for fluorescence lifetime imaging

    NASA Astrophysics Data System (ADS)

    Burri, Samuel; Powolny, François; Bruschini, Claudio E.; Michalet, Xavier; Regazzoni, Francesco; Charbon, Edoardo

    2014-05-01

    This paper presents our work on a 65k pixel single-photon avalanche diode (SPAD) based imaging sensor realized in a 0.35μm standard CMOS process. At a resolution of 512 by 128 pixels the sensor is read out in 6.4μs to deliver over 150k monochrome frames per second. The individual pixel has a size of 24μm2 and contains the SPAD with a 12T quenching and gating circuitry along with a memory element. The gating signals are distributed across the chip through a balanced tree to minimize the signal skew between the pixels. The array of pixels is row-addressable and data is sent out of the chip on 128 lines in parallel at a frequency of 80MHz. The system is controlled by an FPGA which generates the gating and readout signals and can be used for arbitrary real-time computation on the frames from the sensor. The communication protocol between the camera and a conventional PC is USB2. The active area of the chip is 5% and can be significantly improved with the application of a micro-lens array. A micro-lens array, for use with collimated light, has been designed and its performance is reviewed in the paper. Among other high-speed phenomena the gating circuitry capable of generating illumination periods shorter than 5ns can be used for Fluorescence Lifetime Imaging (FLIM). In order to measure the lifetime of fluorophores excited by a picosecond laser, the sensor's illumination period is synchronized with the excitation laser pulses. A histogram of the photon arrival times relative to the excitation is then constructed by counting the photons arriving during the sensitive time for several positions of the illumination window. The histogram for each pixel is transferred afterwards to a computer where software routines extract the lifetime at each location with an accuracy better than 100ps. We show results for fluorescence lifetime measurements using different fluorophores with lifetimes ranging from 150ps to 5ns.

  18. Enhancing analog performance and suppression of subthreshold swing using hetero-junctionless double gate TFETs

    NASA Astrophysics Data System (ADS)

    Chauhan, Sudakar Singh; Sharma, Neha

    2017-12-01

    This paper proposes hetero-junctionless double gate tunnel field effect transistor (HJLDG-TFETs) for suppression of subthreshold swing (SS) using an InAs compound semiconductor material. The proposed device with high dielectric material, gives an excellent performance when InAs uses at source side. Because of low band gap of 0.36 eV , it reduces the potential barrier height of source channel interface causing higher band to band tunneling. Whereas, Si at the drain side with higher band gap of 1.12 eV , increasing the barrier height of drain channel interface causing lower quantum tunneling. As a result, the proposed device with high-k (HfO2) at 30 nm channel section provides a tremendous characteristics with high ION /IOFF ratio of 2 ×1011 , a point SS of 43.30 mV / decade and moderate SS of 56.75 mV / decade . All the above results show that the proposed device is assured for a low power switching application. The variation in gate supply voltage also analyzed for transconductance property of the device.

  19. A comparison study: image-based vs signal-based retrospective gating on microCT

    NASA Astrophysics Data System (ADS)

    Liu, Xuan; Salmon, Phil L.; Laperre, Kjell; Sasov, Alexander

    2017-09-01

    Retrospective gating on animal studies with microCT has gained popularity in recent years. Previously, we use ECG signals for cardiac gating and breathing airflow or video signals of abdominal motion for respiratory gating. This method is adequate and works well for most applications. However, through the years, researchers have noticed some pitfalls in the method. For example, the additional signal acquisition step may increase failure rate in practice. X-Ray image-based gating, on the other hand, does not require any extra step in the scanning. Therefore we investigate imagebased gating techniques. This paper presents a comparison study of the image-based versus signal-based approach to retrospective gating. The two application areas we have studied are respiratory and cardiac imaging for both rats and mice. Image-based respiratory gating on microCT is relatively straightforward and has been done by several other researchers and groups. This method retrieves an intensity curve of a region of interest (ROI) placed in the lung area on all projections. From scans on our systems based on step-and-shoot scanning mode, we confirm that this method is very effective. A detailed comparison between image-based and signal-based gating methods is given. For cardiac gating, breathing motion is not negligible and has to be dealt with. Another difficulty in cardiac gating is the relatively smaller amplitude of cardiac movements comparing to the respirational movements, and the higher heart rate. Higher heart rate requires high speed image acquisition. We have been working on our systems to improve the acquisition speed. A dual gating technique has been developed to achieve adequate cardiac imaging.

  20. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    PubMed

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  1. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations

    NASA Astrophysics Data System (ADS)

    Xu, Hao; Yang, Hong; Luo, Wei-Chun; Xu, Ye-Feng; Wang, Yan-Rong; Tang, Bo; Wang, Wen-Wu; Qi, Lu-Wei; Li, Jun-Feng; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2016-08-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it/N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601), the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129), and the Opening Project of Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of MicroElectronics of Chinese Academy of Sciences.

  2. A highly symmetrical 10 transistor 2-read/write dual-port static random access memory bitcell design in 28 nm high-k/metal-gate planar bulk CMOS technology

    NASA Astrophysics Data System (ADS)

    Ishii, Yuichiro; Tanaka, Miki; Yabuuchi, Makoto; Sawada, Yohei; Tanaka, Shinji; Nii, Koji; Lu, Tien Yu; Huang, Chun Hsien; Sian Chen, Shou; Tse Kuo, Yu; Lung, Ching Cheng; Cheng, Osbert

    2018-04-01

    We propose a highly symmetrical 10 transistor (10T) 2-read/write (2RW) dual-port (DP) static random access memory (SRAM) bitcell in 28 nm high-k/metal-gate (HKMG) planar bulk CMOS. It replaces the conventional 8T 2RW DP SRAM bitcell without any area overhead. It significantly improves the robustness of process variations and an asymmetric issue between the true and bar bitline pairs. Measured data show that read current (I read) and read static noise margin (SNM) are respectively boosted by +20% and +15 mV by introducing the proposed bitcell with enlarged pull-down (PD) and pass-gate (PG) N-channel MOSs (NMOSs). The minimum operating voltage (V min) of the proposed 256 kbit 10T DP SRAM is 0.53 V in the TT process, 25 °C under the worst access condition with read/write disturbances, and improved by 90 mV (15%) compared with the conventional one.

  3. Oxidation of ZrB2-and HfB2-Based Ultra-High Temperature Ceramics: Effects of Ta Additions

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth; Levine, Stanley; Lorinez, Jonathan

    2003-01-01

    Several compositions of ZrB2- and HfB2-based Ultra-High Temperature Ceramics (UHTC) were oxidized in stagnant air at 1627 C in ten minute cycles for times up to 100 minutes. These compositions include: ZrB2 - 20v% SiC, HfB2 - 20v% SiC, ZrB2 - 20v% SiC - 20v% TaSi2, ZrB2 - 33v% SiC, HfB2 - 20v% SiC - 20v% TaSi2, and ZrB2 - 20v% SiC - 20v% TaC. The weight change due to oxidation was recorded. The ZrB2 - 20v% SiC - 20v% TaSi2 composition was also oxidized in stagnant air at 1927 C and in an arc jet atmosphere. Samples were analyzed after oxidation by x-ray diffraction, field emission scanning electron microscopy, and energy dispersive spectroscopy to determine the reaction products and to observe the microstructure. The ZrB2 - 20v% SiC - 20v% TaSi2 showed the lowest oxidation rate at 1627 C, but performed poorly under the more extreme tests due to liquid phase formation. Effects of Ta-additions on the oxidation of the diboride-based UHTC are discussed.

  4. 1300 K Creep Behavior of [001] Oriented Ni-49Al-1Hf (at.%) Single Crystals

    NASA Technical Reports Server (NTRS)

    Whittenberger, J. Daniel; Locci, I. E.; Darolia, Ram; Bowman, R.

    1999-01-01

    A study of the 1300 K compressive and tensile creep properties of [001]-oriented NiAl-1Hf (D209) single crystals has been undertaken. Neither post homogenization cooling treatment, minor chemical variations within an ingot or from ingot-to-ingot, nor testing procedure had a significant effect on mechanical behavior; however a heat treatment which dissolved the initial G-phase precipitates and promoted formation of Heusler particles led to a strength reduction. Little primary creep was found utilizing direct measurement of strain, and a misorientation of 18 deg from the [001] did not reduce the creep strength. The effects of heat treatments on properties and a comparison of the flow stress-strain rate data to those predicted by the Jaswon-Cottrell solid solution hardening model indicate that the 1300 K strength in NiAl-1Hf single crystals is mainly due to precipitation hardening mechanisms.

  5. Numerical analysis of the reverse blocking enhancement in High-K passivation AlGaN/GaN Schottky barrier diodes with gated edge termination

    NASA Astrophysics Data System (ADS)

    Bai, Zhiyuan; Du, Jiangfeng; Xin, Qi; Li, Ruonan; Yu, Qi

    2018-02-01

    We conducted a numerical analysis on high-K dielectric passivated AlGaN/GaN Schottky barrier diodes (HPG-SBDs) with a gated edge termination (GET). The reverse blocking characteristics were significantly enhanced without the stimulation of any parasitic effect by varying the dielectric thickness dge under the GET, thickness TP, and dielectric constant εr of the high-K passivation layer. The leakage current was reduced by increasing εr and decreasing dge. The breakdown voltage of the device was enhanced by increasing εr and TP. The highest breakdown voltage of 970 V and the lowest leakage current of 0.5 nA/mm were achieved under the conditions of εr = 80, TP = 800 nm, and dge = 10 nm. C-V simulation revealed that the HPG-SBDs induced no parasitic capacitance by comparing the integrated charges of the devices with different high-K dielectrics and different dge.

  6. Nucleon Alignment and Shape Competition at High Spin in ^180Hf

    NASA Astrophysics Data System (ADS)

    Tandel, U. S.; Chowdhury, P.; Tandel, S. K.; Sheppard, S.; Cline, D.; Wu, C. Y.; Carpenter, M. P.; Janssens, R. V. F.; Khoo, T. L.; Lauritsen, T.; Lister, C. J.; Seweryniak, D.; Zhu, S.

    2006-10-01

    In light even-N Hf isotopes (N = 96-106), the first i13/2 neutron alignment occurs at hφ< 0.3 MeV. In contrast, no alignment was observed up to ˜ 0.4 MeV in ^180,182Hf (N = 108,110) [1]. Theoretical calculations predict that oblate collective rotation becomes yrast at high spins in ^180Hf [2, 3]. In the present work, the yrast band of ^180Hf has been extended to high spins, via inelastic excitation, using a 1300 MeV ^180Hf beam incident on a thin ^232Th target. The γ rays were detected by Gammasphere, with event by event Doppler correction and Q-value selectivity provided by CHICO. The data reveal onset of the first nucleon alignment in ^180Hf at hφ ˜ 0.43 MeV, which is significantly higher than predictions (˜ 0.35 MeV). Interestingly, the γ-vibrational band is crossed by a band with apparent high moment-of-inertia at ˜ 0.25 MeV. This structure, which becomes near yrast at the highest observed spins will be discussed in the context of nucleon alignment and shape competition at high spin in ^180Hf. [1] E. Ngijoi-Yogo, Ph.D. thesis, U.Mass. Lowell (2004) [2] R.R. Hilton and H.J. Mang, Phys. Rev. Lett. 43, 1979 (1979). [3] F.R. Xu et al., Phys. Rev. C62, 014301 (2000).

  7. High-Dimensional Single-Photon Quantum Gates: Concepts and Experiments.

    PubMed

    Babazadeh, Amin; Erhard, Manuel; Wang, Feiran; Malik, Mehul; Nouroozi, Rahman; Krenn, Mario; Zeilinger, Anton

    2017-11-03

    Transformations on quantum states form a basic building block of every quantum information system. From photonic polarization to two-level atoms, complete sets of quantum gates for a variety of qubit systems are well known. For multilevel quantum systems beyond qubits, the situation is more challenging. The orbital angular momentum modes of photons comprise one such high-dimensional system for which generation and measurement techniques are well studied. However, arbitrary transformations for such quantum states are not known. Here we experimentally demonstrate a four-dimensional generalization of the Pauli X gate and all of its integer powers on single photons carrying orbital angular momentum. Together with the well-known Z gate, this forms the first complete set of high-dimensional quantum gates implemented experimentally. The concept of the X gate is based on independent access to quantum states with different parities and can thus be generalized to other photonic degrees of freedom and potentially also to other quantum systems.

  8. Respiratory motion resolved, self-gated 4D-MRI using Rotating Cartesian K-space (ROCK)

    PubMed Central

    Han, Fei; Zhou, Ziwu; Cao, Minsong; Yang, Yingli; Sheng, Ke; Hu, Peng

    2017-01-01

    Purpose To propose and validate a respiratory motion resolved, self-gated (SG) 4D-MRI technique to assess patient-specific breathing motion of abdominal organs for radiation treatment planning. Methods The proposed 4D-MRI technique was based on the balanced steady-state free-precession (bSSFP) technique and 3D k-space encoding. A novel ROtating Cartesian K-space (ROCK) reordering method was designed that incorporates repeatedly sampled k-space centerline as the SG motion surrogate and allows for retrospective k-space data binning into different respiratory positions based on the amplitude of the surrogate. The multiple respiratory-resolved 3D k-space data were subsequently reconstructed using a joint parallel imaging and compressed sensing method with spatial and temporal regularization. The proposed 4D-MRI technique was validated using a custom-made dynamic motion phantom and was tested in 6 healthy volunteers, in whom quantitative diaphragm and kidney motion measurements based on 4D-MRI images were compared with those based on 2D-CINE images. Results The 5-minute 4D-MRI scan offers high-quality volumetric images in 1.2×1.2×1.6mm3 and 8 respiratory positions, with good soft-tissue contrast. In phantom experiments with triangular motion waveform, the motion amplitude measurements based on 4D-MRI were 11.89% smaller than the ground truth, whereas a −12.5% difference was expected due to data binning effects. In healthy volunteers, the difference between the measurements based on 4D-MRI and the ones based on 2D-CINE were 6.2±4.5% for the diaphragm, 8.2±4.9% and 8.9±5.1% for the right and left kidney. Conclusion The proposed 4D-MRI technique could provide high resolution, high quality, respiratory motion resolved 4D images with good soft-tissue contrast and are free of the “stitching” artifacts usually seen on 4D-CT and 4D-MRI based on resorting 2D-CINE. It could be used to visualize and quantify abdominal organ motion for MRI-based radiation treatment

  9. Respiratory motion-resolved, self-gated 4D-MRI using rotating cartesian k-space (ROCK).

    PubMed

    Han, Fei; Zhou, Ziwu; Cao, Minsong; Yang, Yingli; Sheng, Ke; Hu, Peng

    2017-04-01

    To propose and validate a respiratory motion resolved, self-gated (SG) 4D-MRI technique to assess patient-specific breathing motion of abdominal organs for radiation treatment planning. The proposed 4D-MRI technique was based on the balanced steady-state free-precession (bSSFP) technique and 3D k-space encoding. A novel rotating cartesian k-space (ROCK) reordering method was designed which incorporates repeatedly sampled k-space centerline as the SG motion surrogate and allows for retrospective k-space data binning into different respiratory positions based on the amplitude of the surrogate. The multiple respiratory-resolved 3D k-space data were subsequently reconstructed using a joint parallel imaging and compressed sensing method with spatial and temporal regularization. The proposed 4D-MRI technique was validated using a custom-made dynamic motion phantom and was tested in six healthy volunteers, in whom quantitative diaphragm and kidney motion measurements based on 4D-MRI images were compared with those based on 2D-CINE images. The 5-minute 4D-MRI scan offers high-quality volumetric images in 1.2 × 1.2 × 1.6 mm 3 and eight respiratory positions, with good soft-tissue contrast. In phantom experiments with triangular motion waveform, the motion amplitude measurements based on 4D-MRI were 11.89% smaller than the ground truth, whereas a -12.5% difference was expected due to data binning effects. In healthy volunteers, the difference between the measurements based on 4D-MRI and the ones based on 2D-CINE were 6.2 ± 4.5% for the diaphragm, 8.2 ± 4.9% and 8.9 ± 5.1% for the right and left kidney. The proposed 4D-MRI technique could provide high-resolution, high-quality, respiratory motion-resolved 4D images with good soft-tissue contrast and are free of the "stitching" artifacts usually seen on 4D-CT and 4D-MRI based on resorting 2D-CINE. It could be used to visualize and quantify abdominal organ motion for MRI-based radiation treatment planning. © 2017 American

  10. Constraints on Hf and Zr mobility in high-sulfidation epithermal systems: formation of kosnarite, KZr2(PO4)3, in the Chaquicocha gold deposit, Yanacocha district, Peru

    NASA Astrophysics Data System (ADS)

    Deditius, Artur P.; Utsunomiya, Satoshi; Sanchez-Alfaro, Pablo; Reich, Martin; Ewing, Rodney C.; Kesler, Stephen E.

    2015-04-01

    We report the first occurrence of Hf-rich kosnarite [K(Hf,Zr)2(PO4)3], space group R- 3c, Z = 6, in the giant Chaquicocha high-sulfidation epithermal gold deposit in the Yanacocha mining district, Peru. Kosnarite crystals are small (<100 μm) and occur in 2-3-mm-thick veins that cut intensively silicified rocks. The paragenesis includes a first stage of As-free pyrite and quartz (plus gratonite and rutile), followed by trace metal-rich pyrite [(Fe,As,Pb,Au)S2] and secondary Fe sulfates. Kosnarite is associated with quartz and is clearly late within the paragenetic sequence. Electron microprobe analyses (EMPA) of kosnarite show relatively high concentrations of HfO2 and Rb2O (7.61 and 1.05 wt.%, respectively). The re-calculated chemical formulas of kosnarite vary from KΣ1.00(Zr1.93Na0.01Hf0.01Mn0.01)Σ1.96(P3.04O4)Σ3 to (K0.92Rb0.05Na0.03)Σ1.00(Zr1.81Hf0.19)Σ2.00 [(P2.98Si0.02As0.01)Σ3.01O4]Σ3, where Hf and Rb are most likely incorporated according to a coupled substitution of Hf4+ + Rb+ ⇔ Zr4+ + K+. Back-scattered electron (BSE) images and elemental mapping of kosnarite reveal that Hf and Rb are enriched in 2-10-μm-wide oscillatory and/or sector zones. High-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) observations of such zones reveal a pattern of alternating, 5-50-nm-thick, Hf-rich and Zr-rich nanozones. These high-resolution observations indicate that the incorporation of Hf does not appear to cause significant distortion in the kosnarite structure. Semiquantitative TEM-energy-dispersive X-ray spectrometry (EDS) analyses of the nano-layers show up to 22 wt.% of HfO2, which corresponds to 31 mol% of the hypothetical, KHf2(PO4)3, end-member. The presence of kosnarite in the advanced argillic alteration zone at Yanacocha is indicative of Hf and Zr mobility under highly acidic conditions and points towards an unforeseen role of phosphates as sinks of Zr and Hf in high-sulfidation epithermal environments. Finally

  11. P-type field effect transistor based on Na-doped BaSnO3

    NASA Astrophysics Data System (ADS)

    Jang, Yeaju; Hong, Sungyun; Park, Jisung; Char, Kookrin

    We fabricated field effect transistors (FET) based on the p-type Na-doped BaSnO3 (BNSO) channel layer. The properties of epitaxial BNSO channel layer were controlled by the doping rate. In order to modulate the p-type FET, we used amorphous HfOx and epitaxial BaHfO3 (BHO) gate oxides, both of which have high dielectric constants. HfOx was deposited by atomic-layer-deposition and BHO was epitaxially grown by pulsed laser deposition. The pulsed laser deposited SrRuO3 (SRO) was used as the source and the drain contacts. Indium-tin oxide and La-doped BaSnO3 were used as the gate electrodes on top of the HfOx and the BHO gate oxides, respectively. We will analyze and present the performances of the BNSO field effect transistor such as the IDS-VDS, the IDS-VGS, the Ion/Ioff ratio, and the field effect mobility. Samsung Science and Technology Foundation.

  12. SU-F-J-158: Respiratory Motion Resolved, Self-Gated 4D-MRI Using Rotating Cartesian K-Space Sampling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, F; Zhou, Z; Yang, Y

    Purpose: Dynamic MRI has been used to quantify respiratory motion of abdominal organs in radiation treatment planning. Many existing 4D-MRI methods based on 2D acquisitions suffer from limited slice resolution and additional stitching artifacts when evaluated in 3D{sup 1}. To address these issues, we developed a 4D-MRI (3D dynamic) technique with true 3D k-space encoding and respiratory motion self-gating. Methods: The 3D k-space was acquired using a Rotating Cartesian K-space (ROCK) pattern, where the Cartesian grid was reordered in a quasi-spiral fashion with each spiral arm rotated using golden angle{sup 2}. Each quasi-spiral arm started with the k-space center-line, whichmore » were used as self-gating{sup 3} signal for respiratory motion estimation. The acquired k-space data was then binned into 8 respiratory phases and the golden angle ensures a near-uniform k-space sampling in each phase. Finally, dynamic 3D images were reconstructed using the ESPIRiT technique{sup 4}. 4D-MRI was performed on 6 healthy volunteers, using the following parameters (bSSFP, Fat-Sat, TE/TR=2ms/4ms, matrix size=500×350×120, resolution=1×1×1.2mm, TA=5min, 8 respiratory phases). Supplemental 2D real-time images were acquired in 9 different planes. Dynamic locations of the diaphragm dome and left kidney were measured from both 4D and 2D images. The same protocol was also performed on a MRI-compatible motion phantom where the motion was programmed with different amplitude (10–30mm) and frequency (3–10/min). Results: High resolution 4D-MRI were obtained successfully in 5 minutes. Quantitative motion measurements from 4D-MRI agree with the ones from 2D CINE (<5% error). The 4D images are free of the stitching artifacts and their near-isotropic resolution facilitates 3D visualization and segmentation of abdominal organs such as the liver, kidney and pancreas. Conclusion: Our preliminary studies demonstrated a novel ROCK 4D-MRI technique with true 3D k-space encoding and

  13. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    NASA Astrophysics Data System (ADS)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  14. Hf 3 Fe 4 Sn 4 and Hf 9 Fe 4-x Sn 10+x : Two stannide intermetallics with low-dimensional iron sublattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calta, Nicholas P.; Kanatzidis, Mercouri G.

    2016-04-01

    This article reports two new Hf-rich intermetallics synthesized using Sn flux: Hf 3Fe 4Sn 4 and Hf 9Fe 4-xSn 10+x. Hf 3Fe 4Sn 4 adopts an ordered variant the Hf 3Cu 8 structure type in orthorhombic space group Pnma with unit cell edges of a=8.1143(5) Å, b=8.8466(5) Å, and c=10.6069(6) Å. Hf 9Fe 4-xSn 10+x, on the other hand, adopts a new structure type in Cmc21 with unit cell edges of a=5.6458(3) Å, b=35.796(2) Å, and c=8.88725(9) Å for x=0. It exhibits a small amount of phase width in which Sn substitutes on one of the Fe sites. Both structuresmore » are fully three-dimensional and are characterized by pseudo one- and two-dimensional networks of Fe–Fe homoatomic bonding. Hf 9Fe 4-xSn 10+x exhibits antiferromagnetic order at TN=46(2) K and its electrical transport behavior indicates that it is a normal metal with phonon-dictated resistivity. Hf 3Fe 4Sn 4 is also an antiferromagnet with a rather high ordering temperature of TN=373(5) K. Single crystal resistivity measurements indicate that Hf 3Fe 4Sn 4 behaves as a Fermi liquid at low temperatures, indicating strong electron correlation.« less

  15. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    PubMed

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  16. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  17. Voltage gating by molecular subunits of Na+ and K+ ion channels: higher-dimensional cubic kinetics, rate constants, and temperature.

    PubMed

    Fohlmeister, Jürgen F

    2015-06-01

    The structural similarity between the primary molecules of voltage-gated Na and K channels (alpha subunits) and activation gating in the Hodgkin-Huxley model is brought into full agreement by increasing the model's sodium kinetics to fourth order (m(3) → m(4)). Both structures then virtually imply activation gating by four independent subprocesses acting in parallel. The kinetics coalesce in four-dimensional (4D) cubic diagrams (16 states, 32 reversible transitions) that show the structure to be highly failure resistant against significant partial loss of gating function. Rate constants, as fitted in phase plot data of retinal ganglion cell excitation, reflect the molecular nature of the gating transitions. Additional dimensions (6D cubic diagrams) accommodate kinetically coupled sodium inactivation and gating processes associated with beta subunits. The gating transitions of coupled sodium inactivation appear to be thermodynamically irreversible; response to dielectric surface charges (capacitive displacement) provides a potential energy source for those transitions and yields highly energy-efficient excitation. A comparison of temperature responses of the squid giant axon (apparently Arrhenius) and mammalian channel gating yields kinetic Q10 = 2.2 for alpha unit gating, whose transitions are rate-limiting at mammalian temperatures; beta unit kinetic Q10 = 14 reproduces the observed non-Arrhenius deviation of mammalian gating at low temperatures; the Q10 of sodium inactivation gating matches the rate-limiting component of activation gating at all temperatures. The model kinetics reproduce the physiologically large frequency range for repetitive firing in ganglion cells and the physiologically observed strong temperature dependence of recovery from inactivation. Copyright © 2015 the American Physiological Society.

  18. Voltage gating by molecular subunits of Na+ and K+ ion channels: higher-dimensional cubic kinetics, rate constants, and temperature

    PubMed Central

    2015-01-01

    The structural similarity between the primary molecules of voltage-gated Na and K channels (alpha subunits) and activation gating in the Hodgkin-Huxley model is brought into full agreement by increasing the model's sodium kinetics to fourth order (m3 → m4). Both structures then virtually imply activation gating by four independent subprocesses acting in parallel. The kinetics coalesce in four-dimensional (4D) cubic diagrams (16 states, 32 reversible transitions) that show the structure to be highly failure resistant against significant partial loss of gating function. Rate constants, as fitted in phase plot data of retinal ganglion cell excitation, reflect the molecular nature of the gating transitions. Additional dimensions (6D cubic diagrams) accommodate kinetically coupled sodium inactivation and gating processes associated with beta subunits. The gating transitions of coupled sodium inactivation appear to be thermodynamically irreversible; response to dielectric surface charges (capacitive displacement) provides a potential energy source for those transitions and yields highly energy-efficient excitation. A comparison of temperature responses of the squid giant axon (apparently Arrhenius) and mammalian channel gating yields kinetic Q10 = 2.2 for alpha unit gating, whose transitions are rate-limiting at mammalian temperatures; beta unit kinetic Q10 = 14 reproduces the observed non-Arrhenius deviation of mammalian gating at low temperatures; the Q10 of sodium inactivation gating matches the rate-limiting component of activation gating at all temperatures. The model kinetics reproduce the physiologically large frequency range for repetitive firing in ganglion cells and the physiologically observed strong temperature dependence of recovery from inactivation. PMID:25867741

  19. Analysis of thermal stresses in HfO2/SiO2 high reflective optical coatings for high power laser applications

    NASA Astrophysics Data System (ADS)

    Gao, Chunxue; Zhao, Zhiwei; Zhu, Zhuoya; Li, Shuang; Mi, Changwen

    2015-02-01

    HfO2/SiO2 high reflective optical coatings are widely used in high power laser applications because of their high laser damage resistance and appropriate spectral performance. The residual stresses strongly influence the performance and longevity of the optical coatings. Thermal stresses are the primary components of the residual stresses. In the present work, the distribution of thermal stresses in HfO2/SiO2 high reflective optical coatings was investigated using two different computational methods: finite element method (FEM) and an analytical method based on force and moment balances and classical beam bending theory. The results by these two methods were compared and found to be in agreement with each other, demonstrating that these two methods are effective and accurate ways to predict the thermal stresses in HfO2/SiO2 optical coatings. In addition, these two methods were also used to obtain the thermal stresses in HfO2/SiO2 optical coatings with different layer number to investigate the effect of the layer number on the thermal stresses of the HfO2/SiO2 optical coatings. The results show that with the increase of the layer number, the stresses in the substrate increase, while the stresses in the respective SiO2 and HfO2 layers decrease. Besides, it was also found that the radius of curvature of the coating system decreases as the layer number increases, leading to larger bending curvature in the system.

  20. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  1. Flexible, polymer gated, AC-driven organic electroluminescence devices

    NASA Astrophysics Data System (ADS)

    Xu, Junwei; Carroll, David L.

    2017-08-01

    Comparing rigid inorganic layer, polymeric semiconducting gate layer exhibits superior flexibility as well as efficient carrier manipulation in high frequency AC cycles. Mechanism of the carrier manipulation at the gate in forward and reversed bias of AC cycle is studied. The flexible PET-based AC-OEL device with poly[(9,9-bis(3'-((N,N-dimethyl)-Nethylammonium)- propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN-Br) gate shows a stable electroluminescent performance in frequency sweep with a color rendering index (CRI) over 81 at 2800K color temperature.

  2. Topside enhancements of the ionline in response to high-power HF-radio wave pumping at high latitudes

    NASA Astrophysics Data System (ADS)

    Rexer, Theresa; Gustavsson, Björn; Grydeland, Tom; Rietveld, Mike; Leyser, Thomas; Brändström, Urban; Sergienko, Tima

    2017-04-01

    A high power, high frequency heating experiment of the polar ionosphere was conducted in Tromsø, Norway in March 2016. The wave-plasma interactions were observed with the European Incoherent SCATer UHF radar co-located with the heating facility. HF pulses in a 3 minute ON 3 minute OFF cycles were transmitted, sweeping frequencies in 10 and 20 kHz steps from just below to just above the 3rd and 4th multiples of the F-region gyro-frequency. Several interesting features have been found in the radar measurements of the backscatter from the heated plasma. In agreement with current theory we observed an enhanced ionline near the HF reflection height on the bottom-side of the F layer. Simultaneously, a less intense, but clearly visible, ionline enhancement was observed approximately 100 km above this bottom-side enhancement for several 3 minute sweep pulses. We present the observations and discuss the top-side enhanced ion-line in relation to Z and L-mode propagation through the F-region peak.

  3. Tl+-induced micros gating of current indicates instability of the MaxiK selectivity filter as caused by ion/pore interaction.

    PubMed

    Schroeder, Indra; Hansen, Ulf-Peter

    2008-04-01

    Patch clamp experiments on single MaxiK channels expressed in HEK293 cells were performed at high temporal resolution (50-kHz filter) in asymmetrical solutions containing 0, 25, 50, or 150 mM Tl+ on the luminal or cytosolic side with [K+] + [Tl+] = 150 mM and 150 mM K+ on the other side. Outward current in the presence of cytosolic Tl+ did not show fast gating behavior that was significantly different from that in the absence of Tl+. With luminal Tl+ and at membrane potentials more negative than -40 mV, the single-channel current showed a negative slope resistance concomitantly with a flickery block, resulting in an artificially reduced apparent single-channel current I(app). The analysis of the amplitude histograms by beta distributions enabled the estimation of the true single-channel current and the determination of the rate constants of a simple two-state O-C Markov model for the gating in the bursts. The voltage dependence of the gating ratio R = I(true)/I(app) = (k(CO) + k(OC))/k(CO) could be described by exponential functions with different characteristic voltages above or below 50 mM Tl(+). The true single-channel current I(true) decreased with Tl+ concentrations up to 50 mM and stayed constant thereafter. Different models were considered. The most likely ones related the exponential increase of the gating ratio to ion depletion at the luminal side of the selectivity filter, whereas the influence of [Tl+] on the characteristic voltage of these exponential functions and of the value of I(true) were determined by [Tl+] at the inner side of the selectivity filter or in the cavity.

  4. Optimizing Controlling-Value-Based Power Gating with Gate Count and Switching Activity

    NASA Astrophysics Data System (ADS)

    Chen, Lei; Kimura, Shinji

    In this paper, a new heuristic algorithm is proposed to optimize the power domain clustering in controlling-value-based (CV-based) power gating technology. In this algorithm, both the switching activity of sleep signals (p) and the overall numbers of sleep gates (gate count, N) are considered, and the sum of the product of p and N is optimized. The algorithm effectively exerts the total power reduction obtained from the CV-based power gating. Even when the maximum depth is kept to be the same, the proposed algorithm can still achieve power reduction approximately 10% more than that of the prior algorithms. Furthermore, detailed comparison between the proposed heuristic algorithm and other possible heuristic algorithms are also presented. HSPICE simulation results show that over 26% of total power reduction can be obtained by using the new heuristic algorithm. In addition, the effect of dynamic power reduction through the CV-based power gating method and the delay overhead caused by the switching of sleep transistors are also shown in this paper.

  5. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  6. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    NASA Astrophysics Data System (ADS)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  7. Top-gate pentacene-based organic field-effect transistor with amorphous rubrene gate insulator

    NASA Astrophysics Data System (ADS)

    Hiroki, Mizuha; Maeda, Yasutaka; Ohmi, Shun-ichiro

    2018-02-01

    The scaling of organic field-effect transistors (OFETs) is necessary for high-density integration and for this, OFETs with a top-gate configuration are required. There have been several reports of damageless lithography processes for organic semiconductor or insulator layers. However, it is still difficult to fabricate scaled OFETs with a top-gate configuration. In this study, the lift-off process and the device characteristics of the OFETs with a top-gate configuration utilizing an amorphous (α) rubrene gate insulator were investigated. We have confirmed that α-rubrene shows an insulating property, and its extracted linear mobility was 2.5 × 10-2 cm2/(V·s). The gate length and width were 10 and 60 µm, respectively. From these results, the OFET with a top-gate configuration utilizing an α-rubrene gate insulator is promising for the high-density integration of scaled OFETs.

  8. Gate-tunable electron interaction in high-κ dielectric films

    DOE PAGES

    Kondovych, Svitlana; Luk’yanchuk, Igor; Baturina, Tatyana I.; ...

    2017-02-20

    The two-dimensional (2D) logarithmic character of Coulomb interaction between charges and the resulting logarithmic confinement is a remarkable inherent property of high dielectric constant (high-k) thin films with far reaching implications. Most and foremost, this is the charge Berezinskii-Kosterlitz-Thouless transition with the notable manifestation, low-temperature superinsulating topological phase. Here we show that the range of the confinement can be tuned by the external gate electrode and unravel a variety of electrostatic interactions in high-k films. Lastly, our findings open a unique laboratory for the in-depth study of topological phase transitions and a plethora of related phenomena, ranging from criticality ofmore » quantum metal- and superconductor-insulator transitions to the effects of charge-trapping and Coulomb scalability in memory nanodevices.« less

  9. Thermoelectric and Structural Properties of Zr-/Hf-Based Half-Heusler Compounds Produced at a Large Scale

    NASA Astrophysics Data System (ADS)

    Zillmann, D.; Waag, A.; Peiner, E.; Feyand, M.-H.; Wolyniec, A.

    2018-02-01

    The half-Heusler (HH) systems are promising candidates for thermoelectric (TE) applications since they have shown high figures of merit ( zT) of ˜ 1, which are directly related to the energy conversion efficiency. To use HH compounds for TE devices, the materials must be phase-stable at operating temperatures up to 600°C. Currently, only a few HH compositions are available in large quantities. Hence, we focus on the TE and structural properties of three commercially available Zr-/Hf-based HH compounds in this publication. In particular, we evaluate the thermal conductivities and the figures of merit and critically discuss uncertainties and propagation error in the measurements. We find thermal conductivities of less than 6.0 W K^{-1}m^{-1} for all investigated materials and notably high figures of merit of 0.93 and 0.60 for n- and p-type compounds, respectively, at 600°C. Additionally, our investigations reveal that the grain structures of all materials also contain secondary phases like HfO2, Sn-Ni and Ti-Zr-Sn rich phases while an additional SnO_2 phase was found following several hours of harsh heat treatment at 800°C.

  10. Structural basis for the inhibition of voltage-dependent K+ channel by gating modifier toxin

    PubMed Central

    Ozawa, Shin-ichiro; Kimura, Tomomi; Nozaki, Tomohiro; Harada, Hitomi; Shimada, Ichio; Osawa, Masanori

    2015-01-01

    Voltage-dependent K+ (Kv) channels play crucial roles in nerve and muscle action potentials. Voltage-sensing domains (VSDs) of Kv channels sense changes in the transmembrane potential, regulating the K+-permeability across the membrane. Gating modifier toxins, which have been used for the functional analyses of Kv channels, inhibit Kv channels by binding to VSD. However, the structural basis for the inhibition remains elusive. Here, fluorescence and NMR analyses of the interaction between VSD derived from KvAP channel and its gating modifier toxin, VSTx1, indicate that VSTx1 recognizes VSD under depolarized condition. We identified the VSD-binding residues of VSTx1 and their proximal residues of VSD by the cross-saturation (CS) and amino acid selective CS experiments, which enabled to build a docking model of the complex. These results provide structural basis for the specific binding and inhibition of Kv channels by gating modifier toxins. PMID:26382304

  11. Gating, modulation and subunit composition of voltage-gated K+ channels in dendritic inhibitory interneurones of rat hippocampus

    PubMed Central

    Lien, Cheng-Chang; Martina, Marco; Schultz, Jobst H; Ehmke, Heimo; Jonas, Peter

    2002-01-01

    GABAergic interneurones are diverse in their morphological and functional properties. Perisomatic inhibitory cells show fast spiking during sustained current injection, whereas dendritic inhibitory cells fire action potentials with lower frequency. We examined functional and molecular properties of K+ channels in interneurones with horizontal dendrites in stratum oriens-alveus (OA) of the hippocampal CA1 region, which mainly comprise somatostatin-positive dendritic inhibitory cells. Voltage-gated K+ currents in nucleated patches isolated from OA interneurones consisted of three major components: a fast delayed rectifier K+ current component that was highly sensitive to external 4-aminopyridine (4-AP) and tetraethylammonium (TEA) (half-maximal inhibitory concentrations < 0.1 mm for both blockers), a slow delayed rectifier K+ current component that was sensitive to high concentrations of TEA, but insensitive to 4-AP, and a rapidly inactivating A-type K+ current component that was blocked by high concentrations of 4-AP, but resistant to TEA. The relative contributions of these components to the macroscopic K+ current were estimated as 57 ± 5, 25 ± 6, and 19 ± 2 %, respectively. Dendrotoxin, a selective blocker of Kv1 channels had only minimal effects on K+ currents in nucleated patches. Coapplication of the membrane-permeant cAMP analogue 8-(4-chlorophenylthio)-adenosine 3′:5′-cyclic monophosphate (cpt-cAMP) and the phosphodiesterase blocker isobutyl-methylxanthine (IBMX) resulted in a selective inhibition of the fast delayed rectifier K+ current component. This inhibition was absent in the presence of the protein kinase A (PKA) inhibitor H-89, implying the involvement of PKA-mediated phosphorylation. Single-cell reverse transcription-polymerase chain reaction (RT-PCR) analysis revealed a high abundance of Kv3.2 mRNA in OA interneurones, whereas the expression level of Kv3.1 mRNA was markedly lower. Similarly, RT-PCR analysis showed a high abundance of Kv4.3 m

  12. The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors

    NASA Astrophysics Data System (ADS)

    Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.

    2017-12-01

    In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.

  13. Lu-Hf systematics of meteorites

    NASA Astrophysics Data System (ADS)

    Bizzarro, M.; Baker, J. A.; Haack, H.

    2003-04-01

    We have measured Lu-Hf concentrations and Hf isotope ratios on a number of solar system objects with a new digestion and chemical separation technique (1). The analysed materials include a variety of carbonaceous and ordinary chondrites (CC and OC), basaltic eucrites and a diogenite, and work is ongoing on angrites, aubrites and mesosiderites. Nineteen analyses of OC and CC define, for the first time, a statistically significant Lu-Hf isochron with a slope of 0.09465 ± 145 and intercept of 0.279628 ± 47 (2). In contrast to the CC and type 3 OC (176Lu/177Hf = 0.032-0.034), the more highly metamorphosed OC have a large range of 176Lu/177Hf ratios (0.026-0.036). The large range of 176Lu/177Hf values may be related to heterogeneous variations in phosphate abundances in equilibrated OC, which is supported by the observation that most of the observed variation is defined by this type of material. The present-day bulk-earth 176Hf/177Hf ratio calculated from this study, and a 176Lu/177Hf ratio of 0.0332, is identical to the value of (3) and confirms that the chondritic Hf-Hd isotopic composition is displaced (3 ɛ units) to unradiogenic Hf compared to the terrestrial array. The slope and intercept derived from individual regressions of either the OC or the L type alone are identical within analytical uncertainty. Using a mean age of 4.56 Ga for the chondrite forming event, we derive a value for λ176Lu = 1.983 ± 33 time 10-11 y-1 from the regression of the chondrite meteorites, ca. 6% faster than a recent calibration based on terrestrial material, which has important implications for the differentiation of the early Earth (2, 4). The four basaltic eucrites analysed align on the same array as the chondrites and, as such, chondrites and basaltic eucrites also define a statistically significant isochron with a slope of 0.09462 ± 68 and intercept of 0.279627 ± 20, identical to the values derived from the chondrites alone. Moreover, a recent Lu-Hf study of basaltic

  14. XAFS atomistic insight of the oxygen gettering in Ti/HfO 2 based OxRRAM

    NASA Astrophysics Data System (ADS)

    Viennet, R.; Roussel, H.; Rapenne, L.; Deschanvres, J. L.; Renevier, H.; Jousseaume, V.; Jalaguier, E.; Proietti, M. G.

    2018-05-01

    Hafnia-based resistive memories technology has come to maturation and acceded to the market of nonvolatile memories. Nevertheless, the physical mechanisms involved in resistive switching are not yet fully understood and the numerous ab initio simulations studies have few many atomic-scale experimental counterparts. In this study we investigate the oxygen migration mechanism from an amorphous HfO2 layer to the Ti cap layer at a local scale before and after a thermal treatment. X-ray absorption spectroscopy at the Ti K edge and Hf LIII edge has been performed on samples as-deposited and annealed in Ar at 400 ∘C to mimic the back-end-of-line thermal budget (BEOL) of CMOS technology. The short-range Ti and Hf environments have been determined, showing that annealing promotes the migration of O from HfO2 to Ti, the amount of which is quantified. This provokes an expansion and an increase of atomic disorder in the Ti lattice. The nature of the oxygen gettering mechanism by the Ti metal is understood by comparing samples with increasing Ti-capping thickness. We show that the Ti getter effect has to be activated by thermal treatment and that the O diffusion takes place in a region of a few nanometers close to the Ti /HfO2 interface. Therefore, the thermal budget history and the Ti cap-layer thickness determine the oxygen vacancy content in the HfO2 layer, which in turn controls the electrical properties, especially the forming operation.

  15. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  16. First-principles study of lattice thermal conductivity in ZrTe5 and HfTe5

    NASA Astrophysics Data System (ADS)

    Wang, Cong; Wang, Haifeng; Chen, Y. B.; Yao, Shu-Hua; Zhou, Jian

    2018-05-01

    Recently, the layered transition-metal pentatellurides ZrTe5 and HfTe5 have attracted increasing attention because of their interesting topological electronic properties. Nevertheless, some of their other good physical properties seem to be ignored now. Actually, both ZrTe5 and HfTe5 have high electric conductivities (>105 Ω-1 m-1) and Seebeck coefficients (> 100 μV/K) at room temperature, thus making them promising thermoelectric materials. However, the disadvantage is that the thermal conductivities of the two materials are relatively high according to the few available experiments; meanwhile, the detailed mechanism of the intrinsic thermal conductivity has not been studied yet. Based on the density functional theory and the Boltzmann transport theory, we present here the theoretical study of the intrinsic lattice thermal conductivities of ZrTe5 and HfTe5, which are found to be in the range of 5-8 W/mṡK at room temperature and well consistent with the experimental results. We also find that the thermal conductivities of the two materials are anisotropic, which are mainly caused by their anisotropic crystal structures. Based on the detailed analysis, we proposed that the thermal conductivities of the two materials could possibly be reduced by different kinds of structural engineering at the atomic and mesoscopic scales, such as alloying, doping, nano-structuring, and polycrystalline structuring, which could make ZrTe5 and HfTe5 good thermoelectric materials for room temperature thermoelectric applications.

  17. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanne, A.; Movva, H. C. P.; Kang, S.

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriersmore » as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.« less

  18. High-frequency self-aligned graphene transistors with transferred gate stacks

    PubMed Central

    Cheng, Rui; Bai, Jingwei; Liao, Lei; Zhou, Hailong; Chen, Yu; Liu, Lixin; Lin, Yung-Chen; Jiang, Shan; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Graphene has attracted enormous attention for radio-frequency transistor applications because of its exceptional high carrier mobility, high carrier saturation velocity, and large critical current density. Herein we report a new approach for the scalable fabrication of high-performance graphene transistors with transferred gate stacks. Specifically, arrays of gate stacks are first patterned on a sacrificial substrate, and then transferred onto arbitrary substrates with graphene on top. A self-aligned process, enabled by the unique structure of the transferred gate stacks, is then used to position precisely the source and drain electrodes with minimized access resistance or parasitic capacitance. This process has therefore enabled scalable fabrication of self-aligned graphene transistors with unprecedented performance including a record-high cutoff frequency up to 427 GHz. Our study defines a unique pathway to large-scale fabrication of high-performance graphene transistors, and holds significant potential for future application of graphene-based devices in ultra–high-frequency circuits. PMID:22753503

  19. High-frequency self-aligned graphene transistors with transferred gate stacks.

    PubMed

    Cheng, Rui; Bai, Jingwei; Liao, Lei; Zhou, Hailong; Chen, Yu; Liu, Lixin; Lin, Yung-Chen; Jiang, Shan; Huang, Yu; Duan, Xiangfeng

    2012-07-17

    Graphene has attracted enormous attention for radio-frequency transistor applications because of its exceptional high carrier mobility, high carrier saturation velocity, and large critical current density. Herein we report a new approach for the scalable fabrication of high-performance graphene transistors with transferred gate stacks. Specifically, arrays of gate stacks are first patterned on a sacrificial substrate, and then transferred onto arbitrary substrates with graphene on top. A self-aligned process, enabled by the unique structure of the transferred gate stacks, is then used to position precisely the source and drain electrodes with minimized access resistance or parasitic capacitance. This process has therefore enabled scalable fabrication of self-aligned graphene transistors with unprecedented performance including a record-high cutoff frequency up to 427 GHz. Our study defines a unique pathway to large-scale fabrication of high-performance graphene transistors, and holds significant potential for future application of graphene-based devices in ultra-high-frequency circuits.

  20. Gating based on internal/external signals with dynamic correlation updates.

    PubMed

    Wu, Huanmei; Zhao, Qingya; Berbeco, Ross I; Nishioka, Seiko; Shirato, Hiroki; Jiang, Steve B

    2008-12-21

    Precise localization of mobile tumor positions in real time is critical to the success of gated radiotherapy. Tumor positions are usually derived from either internal or external surrogates. Fluoroscopic gating based on internal surrogates, such as implanted fiducial markers, is accurate however requiring a large amount of imaging dose. Gating based on external surrogates, such as patient abdominal surface motion, is non-invasive however less accurate due to the uncertainty in the correlation between tumor location and external surrogates. To address these complications, we propose to investigate an approach based on hybrid gating with dynamic internal/external correlation updates. In this approach, the external signal is acquired at high frequency (such as 30 Hz) while the internal signal is sparsely acquired (such as 0.5 Hz or less). The internal signal is used to validate and update the internal/external correlation during treatment. Tumor positions are derived from the external signal based on the newly updated correlation. Two dynamic correlation updating algorithms are introduced. One is based on the motion amplitude and the other is based on the motion phase. Nine patients with synchronized internal/external motion signals are simulated retrospectively to evaluate the effectiveness of hybrid gating. The influences of different clinical conditions on hybrid gating, such as the size of gating windows, the optimal timing for internal signal acquisition and the acquisition frequency are investigated. The results demonstrate that dynamically updating the internal/external correlation in or around the gating window will reduce false positive with relatively diminished treatment efficiency. This improvement will benefit patients with mobile tumors, especially greater for early stage lung cancers, for which the tumors are less attached or freely floating in the lung.

  1. Negative gating modulation by (R)-N-(benzimidazol-2-yl)-1,2,3,4-tetrahydro-1-naphthylamine (NS8593) depends on residues in the inner pore vestibule: pharmacological evidence of deep-pore gating of K(Ca)2 channels.

    PubMed

    Jenkins, David Paul; Strøbæk, Dorte; Hougaard, Charlotte; Jensen, Marianne L; Hummel, Rene; Sørensen, Ulrik S; Christophersen, Palle; Wulff, Heike

    2011-06-01

    Acting as a negative gating modulator, (R)-N-(benzimidazol-2-yl)-1,2,3,4-tetrahydro-1-naphthylamine (NS8593) shifts the apparent Ca(2+)-dependence of the small-conductance Ca(2+)-activated K(+) channels K(Ca)2.1-2.3 to higher Ca(2+) concentrations. Similar to the positive K(Ca) channel-gating modulators 1-ethyl-2-benzimidazolinone (1-EBIO) and cyclohexyl-[2-(3,5-dimethyl-pyrazol-1-yl)-6-methylpyrimidin-4-yl]-amine (CyPPA), the binding site for NS8593 has been assumed to be located in the C-terminal region, in which these channels interact with their Ca(2+) sensor calmodulin. However, by using a progressive chimeric approach, we were able to localize the site-of-action of NS8593 to the K(Ca)2 pore. For example, when we transferred the C terminus from the NS8593-insensitive intermediate-conductance K(Ca)3.1 channel to K(Ca)2.3, the chimeric channel remained as sensitive to NS8593 as wild-type K(Ca)2.3. In contrast, when we transferred the K(Ca)2.3 pore to K(Ca)3.1, the channel became sensitive to NS8593. Using site-directed mutagenesis, we subsequently identified two specific residues in the inner vestibule of K(Ca)2.3 (Ser507 and Ala532) that determined the effect of NS8593. Mutation of these residues to the corresponding residues in K(Ca)3.1 (Thr250 and Val275) made K(Ca)2.3 insensitive to NS8593, whereas introduction of serine and alanine into K(Ca)3.1 was sufficient to render this channel highly sensitive to NS8593. It is noteworthy that the same two residue positions have been found previously to mediate sensitivity of K(Ca)3.1 to clotrimazole and 1-[(2-chlorophenyl)diphenylmethyl]-1H-pyrazole (TRAM-34). The location of Ser507 in the pore-loop near the selectivity filter and Ala532 in an adjacent position in S6 are within the region predicted to contain the K(Ca)2 channel gate. Hence, we propose that NS8593-mediated gating modulation occurs via interaction with gating structures at a position deep within the inner pore vestibule.

  2. Hf{sub 3}Fe{sub 4}Sn{sub 4} and Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x}: Two stannide intermetallics with low-dimensional iron sublattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calta, Nicholas P.; Kanatzidis, Mercouri G., E-mail: m-kanatzidis@northwestern.edu; Materials Science Division, Argonne National Laboratory

    This article reports two new Hf-rich intermetallics synthesized using Sn flux: Hf{sub 3}Fe{sub 4}Sn{sub 4} and Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x}. Hf{sub 3}Fe{sub 4}Sn{sub 4} adopts an ordered variant the Hf{sub 3}Cu{sub 8} structure type in orthorhombic space group Pnma with unit cell edges of a=8.1143(5) Å, b=8.8466(5) Å, and c=10.6069(6) Å. Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x}, on the other hand, adopts a new structure type in Cmc2{sub 1} with unit cell edges of a=5.6458(3) Å, b=35.796(2) Å, and c=8.88725(9) Å for x=0. It exhibits a small amount of phase width in which Sn substitutes on one of the Fe sites. Bothmore » structures are fully three-dimensional and are characterized by pseudo one- and two-dimensional networks of Fe–Fe homoatomic bonding. Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x} exhibits antiferromagnetic order at T{sub N}=46(2) K and its electrical transport behavior indicates that it is a normal metal with phonon-dictated resistivity. Hf{sub 3}Fe{sub 4}Sn{sub 4} is also an antiferromagnet with a rather high ordering temperature of T{sub N}=373(5) K. Single crystal resistivity measurements indicate that Hf{sub 3}Fe{sub 4}Sn{sub 4} behaves as a Fermi liquid at low temperatures, indicating strong electron correlation. - Graphical abstract: Slightly different growth conditions in Sn flux produce two new intermetallic compounds: Hf{sub 3}Fe{sub 4}Sn{sub 4} and Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x}. - Highlights: • Single crystals of both Hf{sub 3}Fe{sub 4}Sn{sub 4} and Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x} were grown using Sn flux. • The crystal structures were determined using single crystal X-ray diffraction. • The Fe moments in Hf{sub 3}Fe{sub 4}Sn{sub 4} display AFM order below T{sub N}=373 K. • The Fe moments in Hf{sub 9}Fe{sub 4−x}Sn{sub 10+x} display AFM order below T{sub N}=46 K.« less

  3. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we findmore » that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.« less

  4. Electrode influence on the number of oxygen vacancies at the gate/high-κ dielectric interface in nanoscale MIM capacitors

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, Lihnida

    2015-02-01

    In this paper, a particular attention has been paid in determining the impact of the type of top electrode (the gate), on the overall characteristics of the examined metal-insulator-metal structures, that contain doped Ta2O5:Hf high-κ dielectric as an insulator. For that purpose MIM capacitors with different metal gates (conventional Al and also W, Au, Pt, Mo, TiN, Ta) were formed. The results obtained, consider both the influence of metal work function and oxygen affinity, as possible reasons for increasing of number of oxygen vacancies at the gate/dielectric interface. Here we use capacitance-voltage alteration (C-V measurements) under constant current stress (CCS) conditions as characterization technique. The measurements show grater creation of positive oxygen vacancies in the case of metal electrodes with high work function, like Au and Pt, for almost one order of magnitude. It is also indicative that these metals have also the lowest values of heat of oxygen formation, which also favors the creation of oxygen vacancies. All results are discussed taking into consideration the nanoscale thickness of the dielectric layer (of the order of 8 nm), implicating the stronger effect of interface properties on the overall behavior rather than the one originating from the bulk of material.

  5. Influence of gate overlap engineering on ambipolar and high frequency characteristics of tunnel-CNTFET

    NASA Astrophysics Data System (ADS)

    Shaker, Ahmed; Ossaimee, Mahmoud; Zekry, A.; Abouelatta, Mohamed

    2015-10-01

    In this paper, we have investigated the effect of gate overlapping-on-drain on the ambipolar behavior and high frequency performance of tunnel CNTFET (T-CNTFET). It is found that gate overlapping-on-drain suppresses the ambipolar behavior and improves OFF-state current. The simulation results show that there is an optimum choice for the overlapped length. On the other hand, this overlap deteriorates the high frequency performance. The high frequency figure of merit is analyzed in terms of the unit-gain cutoff frequency (fT). Further, we propose two different approaches to improve the high frequency performance of the overlapped T-CNTFET. The first one is based on inserting a high-dielectric constant material below the overlapped part of the gate and the second is based on depositing a different work function gate metal for the overlapped region. The two solutions show very good improvement in the high frequency performance with maintaining the suppression of the ambipolar characteristics.

  6. NextGen Far-Term Concept Exploration for Integrated Gate-to-Gate Trajectory-Based Operations

    NASA Technical Reports Server (NTRS)

    Johnson, Sally C.; Barmore, Bryan E.

    2016-01-01

    NASA is currently conducting concept exploration studies toward the definition of a far-term, gate-to-gate concept for Trajectory-Based Operations. This paper presents a basic architectural framework for the far-term concept and discusses some observations about implementation of trajectory-based operations in the National Airspace System. Within the concept, operators and service providers collaboratively negotiate aircraft trajectories, providing agile, optimized, aircraft-specific routing to meet service provider gate-to-gate flow-management constraints and increasing capacity by smoothly and effectively combining flight-deck-based and ground-based metering, merging, and spacing in a mixed-equipage environment. The far-term TBO concept is intended to influence the direction of mid-term TBO research and to inform the definition of stable requirements and standards for TBO communications infrastructure and user equipage.

  7. HF DBD plasma actuators for reduction of cylinder noise in flow

    NASA Astrophysics Data System (ADS)

    Kopiev, V. F.; Kazansky, P. N.; Kopiev, V. A.; Moralev, I. A.; Zaytsev, M. Yu

    2017-11-01

    Surface high frequency dielectric barrier discharge (HF DBD) was used to reduce flow-induced noise, radiated by circular cylinder in cross flow. Effect of HF DBD actuators is studied for flow velocity up to 80 m s-1 (Reynolds numbers up to 2.18 · 105), corresponding to the typical aircraft landing approach speed. Noise measurements were performed by microphone array in anechoic chamber; averaged flow parameters were studied by particle image velocimetry (PIV). Actuator was powered by high-frequency voltage in hundreds kHz range in steady or modulated mode with the modulation frequency of 0.3-20 kHz (Strouhal number St of 0.4 to 20). It is demonstrated that upstream directed plasma actuators are able to reduce the vortex noise of a cylinder by 10 dB. Noise reduction is accompanied by significant reorganization of the wake behind a cylinder, decreasing both wake width and turbulence level. The physical mechanism related to broadband noise control by HF DBD actuator is also discussed.

  8. VKCDB: voltage-gated K+ channel database updated and upgraded.

    PubMed

    Gallin, Warren J; Boutet, Patrick A

    2011-01-01

    The Voltage-gated K(+) Channel DataBase (VKCDB) (http://vkcdb.biology.ualberta.ca) makes a comprehensive set of sequence data readily available for phylogenetic and comparative analysis. The current update contains 2063 entries for full-length or nearly full-length unique channel sequences from Bacteria (477), Archaea (18) and Eukaryotes (1568), an increase from 346 solely eukaryotic entries in the original release. In addition to protein sequences for channels, corresponding nucleotide sequences of the open reading frames corresponding to the amino acid sequences are now available and can be extracted in parallel with sets of protein sequences. Channels are categorized into subfamilies by phylogenetic analysis and by using hidden Markov model analyses. Although the raw database contains a number of fragmentary, duplicated, obsolete and non-channel sequences that were collected in early steps of data collection, the web interface will only return entries that have been validated as likely K(+) channels. The retrieval function of the web interface allows retrieval of entries that contain a substantial fraction of the core structural elements of VKCs, fragmentary entries, or both. The full database can be downloaded as either a MySQL dump or as an XML dump from the web site. We have now implemented automated updates at quarterly intervals.

  9. TEA HF laser with a high specific radiation energy

    NASA Astrophysics Data System (ADS)

    Puchikin, A. V.; Andreev, M. V.; Losev, V. F.; Panchenko, Yu. N.

    2017-01-01

    Results of experimental studies of the chemical HF laser with a non-chain reaction are presented. The possibility of the total laser efficiency of 5 % is shown when a traditional C-to-C pumping circuit with the charging voltage of 20-24 kV is used. It is experimentally shown that the specific radiation output energy of 21 J/l is reached at the specific pump energy of 350 J/l in SF6/H2 = 14/1 mixture at the total pressure of 0.27 bar.

  10. Creation of Artificial Ionospheric Layers Using High-Power HF Waves

    DTIC Science & Technology

    2010-01-30

    Program ( HAARP ) transmitter in Gakona, Alaska. The HF- driven ionization process is initiated near the 2nd electron gyroharmonic at 220 km altitude in...the 3.6 MW High-Frequency Active Auroral Program ( HAARP ) transmitter in Gakona, Alaska. The HF-driven ionization process is initiated near the 2nd...Maine. USA. Copyright 2010 by the American Geophysical Union. 0094-8276/I0/2009GLO41895SO5.0O Research Program ( HAARP ) transmitter facility, however

  11. VLSI Implementation of Fault Tolerance Multiplier based on Reversible Logic Gate

    NASA Astrophysics Data System (ADS)

    Ahmad, Nabihah; Hakimi Mokhtar, Ahmad; Othman, Nurmiza binti; Fhong Soon, Chin; Rahman, Ab Al Hadi Ab

    2017-08-01

    Multiplier is one of the essential component in the digital world such as in digital signal processing, microprocessor, quantum computing and widely used in arithmetic unit. Due to the complexity of the multiplier, tendency of errors are very high. This paper aimed to design a 2×2 bit Fault Tolerance Multiplier based on Reversible logic gate with low power consumption and high performance. This design have been implemented using 90nm Complemetary Metal Oxide Semiconductor (CMOS) technology in Synopsys Electronic Design Automation (EDA) Tools. Implementation of the multiplier architecture is by using the reversible logic gates. The fault tolerance multiplier used the combination of three reversible logic gate which are Double Feynman gate (F2G), New Fault Tolerance (NFT) gate and Islam Gate (IG) with the area of 160μm x 420.3μm (67.25 mm2). This design achieved a low power consumption of 122.85μW and propagation delay of 16.99ns. The fault tolerance multiplier proposed achieved a low power consumption and high performance which suitable for application of modern computing as it has a fault tolerance capabilities.

  12. HIGH-k GATE DIELECTRIC: AMORPHOUS Ta/La2O3 FILMS GROWN ON Si AT LOW PRESSURE

    NASA Astrophysics Data System (ADS)

    Bahari, Ali; Khorshidi, Zahra

    2014-09-01

    In the present study, Ta/La2O3 films (La2O3 doped with Ta2O5) as a gate dielectric were prepared using a sol-gel method at low pressure. Ta/La2O3 film has some hopeful properties as a gate dielectric of logic device. The structure and morphology of Ta/La2O3 films were studied using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Electrical properties of films were performed using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. The optical bandgap of samples was studied by UV-visible optical absorbance measurement. The optical bandgap, Eopt, is determined from the absorbance spectra. The obtained results show that Ta/La2O3 film as a good gate dielectric has amorphous structure, good thermal stability, high dielectric constant (≈ 25), low leakage current and wide bandgap (≈ 4.7 eV).

  13. Oxidative Modulation of Voltage-Gated Potassium Channels

    PubMed Central

    Sahoo, Nirakar; Hoshi, Toshinori

    2014-01-01

    Abstract Significance: Voltage-gated K+ channels are a large family of K+-selective ion channel protein complexes that open on membrane depolarization. These K+ channels are expressed in diverse tissues and their function is vital for numerous physiological processes, in particular of neurons and muscle cells. Potentially reversible oxidative regulation of voltage-gated K+ channels by reactive species such as reactive oxygen species (ROS) represents a contributing mechanism of normal cellular plasticity and may play important roles in diverse pathologies including neurodegenerative diseases. Recent Advances: Studies using various protocols of oxidative modification, site-directed mutagenesis, and structural and kinetic modeling provide a broader phenomenology and emerging mechanistic insights. Critical Issues: Physicochemical mechanisms of the functional consequences of oxidative modifications of voltage-gated K+ channels are only beginning to be revealed. In vivo documentation of oxidative modifications of specific amino-acid residues of various voltage-gated K+ channel proteins, including the target specificity issue, is largely absent. Future Directions: High-resolution chemical and proteomic analysis of ion channel proteins with respect to oxidative modification combined with ongoing studies on channel structure and function will provide a better understanding of how the function of voltage-gated K+ channels is tuned by ROS and the corresponding reducing enzymes to meet cellular needs. Antioxid. Redox Signal. 21, 933–952. PMID:24040918

  14. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  15. USCG HF SITOR

    Science.gov Websites

    broadcasts from Boston sharing the same transmitters. See table below for station locations and schedules meteorological observations. Boston(NMF) HF SITOR (NBDP) Broadcast Schedule 6314, 8416.5, 12579 kHz 0140Z3 8416.5

  16. Accelerometer-Based Method for Extracting Respiratory and Cardiac Gating Information for Dual Gating during Nuclear Medicine Imaging

    PubMed Central

    Pänkäälä, Mikko; Paasio, Ari

    2014-01-01

    Both respiratory and cardiac motions reduce the quality and consistency of medical imaging specifically in nuclear medicine imaging. Motion artifacts can be eliminated by gating the image acquisition based on the respiratory phase and cardiac contractions throughout the medical imaging procedure. Electrocardiography (ECG), 3-axis accelerometer, and respiration belt data were processed and analyzed from ten healthy volunteers. Seismocardiography (SCG) is a noninvasive accelerometer-based method that measures accelerations caused by respiration and myocardial movements. This study was conducted to investigate the feasibility of the accelerometer-based method in dual gating technique. The SCG provides accelerometer-derived respiratory (ADR) data and accurate information about quiescent phases within the cardiac cycle. The correct information about the status of ventricles and atria helps us to create an improved estimate for quiescent phases within a cardiac cycle. The correlation of ADR signals with the reference respiration belt was investigated using Pearson correlation. High linear correlation was observed between accelerometer-based measurement and reference measurement methods (ECG and Respiration belt). Above all, due to the simplicity of the proposed method, the technique has high potential to be applied in dual gating in clinical cardiac positron emission tomography (PET) to obtain motion-free images in the future. PMID:25120563

  17. ECL gate array with integrated PLL-based clock recovery and synthesis for high-speed data and telecom applications

    NASA Astrophysics Data System (ADS)

    Rosky, David S.; Coy, Bruce H.; Friedmann, Marc D.

    1992-03-01

    A 2500 gate mixed signal gate array has been developed that integrates custom PLL-based clock recovery and clock synthesis functions with 2500 gates of configurable logic cells to provide a single chip solution for 200 - 1244 MHz fiber based digital interface applications. By customizing the digital logic cells, any of the popular telecom and datacom standards may be implemented.

  18. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  19. Thick layered semiconductor devices with water top-gates: High on-off ratio field-effect transistors and aqueous sensors.

    PubMed

    Huang, Yuan; Sutter, Eli; Wu, Liangmei; Xu, Hong; Bao, Lihong; Gao, Hong-Jun; Zhou, Xingjiang; Sutter, Peter

    2018-06-21

    Layered semiconductors show promise as channel materials for field-effect transistors (FETs). Usually, such devices incorporate solid back or top gate dielectrics. Here, we explore de-ionized (DI) water as a solution top gate for field-effect switching of layered semiconductors including SnS2, MoS2, and black phosphorus. The DI water gate is easily fabricated, can sustain rapid bias changes, and its efficient coupling to layered materials provides high on-off current ratios, near-ideal sub-threshold swing, and enhanced short-channel behavior even for FETs with thick, bulk-like channels where such control is difficult to realize with conventional back-gating. Screening by the high-k solution gate eliminates hysteresis due to surface and interface trap states and substantially enhances the field-effect mobility. The onset of water electrolysis sets the ultimate limit to DI water gating at large negative gate bias. Measurements in this regime show promise for aqueous sensing, demonstrated here by the amperometric detection of glucose in aqueous solution. DI water gating of layered semiconductors can be harnessed in research on novel materials and devices, and it may with further development find broad applications in microelectronics and sensing.

  20. Interface passivation and trap reduction via hydrogen fluoride for molybdenum disulfide on silicon oxide back-gate transistors

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; San Yip, Pak; Tang, Chak Wah; Lau, Kei May; Li, Qiang

    2018-04-01

    Layered semiconductor molybdenum disulfide (MoS2) has recently emerged as a promising material for flexible electronic and optoelectronic devices because of its finite bandgap and high degree of gate control. Here, we report a hydrogen fluoride (HF) passivation technique for improving the carrier mobility and interface quality of chemical vapor deposited monolayer MoS2 on a SiO2/Si substrate. After passivation, the fabricated MoS2 back-gate transistors demonstrate a more than double improvement in average electron mobility, a reduced gate hysteresis gap of 3 V, and a low interface trapped charge density of ˜5.8 × 1011 cm-2. The improvements are attributed to the satisfied interface dangling bonds, thus a reduction of interface trap states and trapped charges. Surface x-ray photoelectron spectroscopy analysis and first-principles simulation were performed to verify the HF passivation effect. The results here highlight the necessity of a MoS2/dielectric passivation strategy and provides a viable route for enhancing the performance of MoS2 nano-electronic devices.

  1. Non-ECG-gated unenhanced MRA of the carotids: optimization and clinical feasibility.

    PubMed

    Raoult, H; Gauvrit, J Y; Schmitt, P; Le Couls, V; Bannier, E

    2013-11-01

    To optimise and assess the clinical feasibility of a carotid non-ECG-gated unenhanced MRA sequence. Sixteen healthy volunteers and 11 patients presenting with internal carotid artery (ICA) disease underwent large field-of-view balanced steady-state free precession (bSSFP) unenhanced MRA at 3T. Sampling schemes acquiring the k-space centre either early (kCE) or late (kCL) in the acquisition window were evaluated. Signal and image quality was scored in comparison to ECG-gated kCE unenhanced MRA and TOF. For patients, computed tomography angiography was used as the reference. In volunteers, kCE sampling yielded higher image quality than kCL and TOF, with fewer flow artefacts and improved signal homogeneity. kCE unenhanced MRA image quality was higher without ECG-gating. Arterial signal and artery/vein contrast were higher with both bSSFP sampling schemes than with TOF. The kCE sequence allowed correct quantification of ten significant stenoses, and it facilitated the identification of an infrapetrous dysplasia, which was outside of the TOF imaging coverage. Non-ECG-gated bSSFP carotid imaging offers high-quality images and is a promising sequence for carotid disease diagnosis in a short acquisition time with high spatial resolution and a large field of view. • Non-ECG-gated unenhanced bSSFP MRA offers high-quality imaging of the carotid arteries. • Sequences using early acquisition of the k-space centre achieve higher image quality. • Non-ECG-gated unenhanced bSSFP MRA allows quantification of significant carotid stenosis. • Short MR acquisition times and ungated sequences are helpful in clinical practice. • High 3D spatial resolution and a large field of view improve diagnostic performance.

  2. Isoelectronic substitutions and aluminium alloying in the Ta-Nb-Hf-Zr-Ti high-entropy alloy superconductor

    NASA Astrophysics Data System (ADS)

    von Rohr, Fabian O.; Cava, Robert J.

    2018-03-01

    High-entropy alloys (HEAs) are a new class of materials constructed from multiple principal elements statistically arranged on simple crystallographic lattices. Due to the large amount of disorder present, they are excellent model systems for investigating the properties of materials intermediate between crystalline and amorphous states. Here we report the effects of systematic isoelectronic replacements, using Mo-Y, Mo-Sc, and Cr-Sc mixtures, for the valence electron count 4 and 5 elements in the body-centered cubic (BCC) Ta-Nb-Zr-Hf-Ti high-entropy alloy (HEA) superconductor. We find that the superconducting transition temperature Tc strongly depends on the elemental makeup of the alloy, and not exclusively its electron count. The replacement of niobium or tantalum by an isoelectronic mixture lowers the transition temperature by more than 60%, while the isoelectronic replacement of hafnium, zirconium, or titanium has a limited impact on Tc. We further explore the alloying of aluminium into the nearly optimal electron count [TaNb] 0.67(ZrHfTi) 0.33 HEA superconductor. The electron count dependence of the superconducting Tc for (HEA)Al x is found to be more crystallinelike than for the [TaNb] 1 -x(ZrHfTi) x HEA solid solution. For an aluminum content of x =0.4 the high-entropy stabilization of the simple BCC lattice breaks down. This material crystallizes in the tetragonal β -uranium structure type and superconductivity is not observed above 1.8 K.

  3. Performance limits of tunnel transistors based on mono-layer transition-metal dichalcogenides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Xiang-Wei, E-mail: xwjiang@semi.ac.cn; Li, Shu-Shen; Synergetic Innovation Center of Quantum Information and Quantum Physics, University of Science and Technology of China, Hefei, Anhui 230026

    2014-05-12

    Performance limits of tunnel field-effect transistors based on mono-layer transition metal dichalcogenides are investigated through numerical quantum mechanical simulations. The atomic mono-layer nature of the devices results in a much smaller natural length λ, leading to much larger electric field inside the tunneling diodes. As a result, the inter-band tunneling currents are found to be very high as long as ultra-thin high-k gate dielectric is possible. The highest on-state driving current is found to be close to 600 μA/μm at V{sub g} = V{sub d} = 0.5 V when 2 nm thin HfO{sub 2} layer is used for gate dielectric, outperforming most of the conventional semiconductor tunnelmore » transistors. In the five simulated transition-metal dichalcogenides, mono-layer WSe{sub 2} based tunnel field-effect transistor shows the best potential. Deep analysis reveals that there is plenty room to further enhance the device performance by either geometry, alloy, or strain engineering on these mono-layer materials.« less

  4. Neutralization of a single arginine residue gates open a two-pore domain, alkali-activated K+ channel

    PubMed Central

    Niemeyer, María Isabel; González-Nilo, Fernando D.; Zúñiga, Leandro; González, Wendy; Cid, L. Pablo; Sepúlveda, Francisco V.

    2007-01-01

    Potassium channels share a common selectivity filter that determines the conduction characteristics of the pore. Diversity in K+ channels is given by how they are gated open. TASK-2, TALK-1, and TALK-2 are two-pore region (2P) KCNK K+ channels gated open by extracellular alkalinization. We have explored the mechanism for this alkalinization-dependent gating using molecular simulation and site-directed mutagenesis followed by functional assay. We show that the side chain of a single arginine residue (R224) near the pore senses pH in TASK-2 with an unusual pKa of 8.0, a shift likely due to its hydrophobic environment. R224 would block the channel through an electrostatic effect on the pore, a situation relieved by its deprotonation by alkalinization. A lysine residue in TALK-2 fulfills the same role but with a largely unchanged pKa, which correlates with an environment that stabilizes its positive charge. In addition to suggesting unified alkaline pH-gating mechanisms within the TALK subfamily of channels, our results illustrate in a physiological context the principle that hydrophobic environment can drastically modulate the pKa of charged amino acids within a protein. PMID:17197424

  5. Single-source-precursor synthesis of dense SiC/HfC(x)N(1-x)-based ultrahigh-temperature ceramic nanocomposites.

    PubMed

    Wen, Qingbo; Xu, Yeping; Xu, Binbin; Fasel, Claudia; Guillon, Olivier; Buntkowsky, Gerd; Yu, Zhaoju; Riedel, Ralf; Ionescu, Emanuel

    2014-11-21

    A novel single-source precursor was synthesized by the reaction of an allyl hydrido polycarbosilane (SMP10) and tetrakis(dimethylamido)hafnium(iv) (TDMAH) for the purpose of preparing dense monolithic SiC/HfC(x)N(1-x)-based ultrahigh temperature ceramic nanocomposites. The materials obtained at different stages of the synthesis process were characterized via Fourier transform infrared (FT-IR) as well as nuclear magnetic resonance (NMR) spectroscopy. The polymer-to-ceramic transformation was investigated by means of MAS NMR and FT-IR spectroscopy as well as thermogravimetric analysis (TGA) coupled with in situ mass spectrometry. Moreover, the microstructural evolution of the synthesized SiHfCN-based ceramics annealed at different temperatures ranging from 1300 °C to 1800 °C was characterized by elemental analysis, X-ray diffraction, Raman spectroscopy and transmission electron microscopy (TEM). Based on its high temperature behavior, the amorphous SiHfCN-based ceramic powder was used to prepare monolithic SiC/HfC(x)N(1-x)-based nanocomposites using the spark plasma sintering (SPS) technique. The results showed that dense monolithic SiC/HfC(x)N(1-x)-based nanocomposites with low open porosity (0.74 vol%) can be prepared successfully from single-source precursors. The average grain size of both HfC0.83N0.17 and SiC phases was found to be less than 100 nm after SPS processing owing to a unique microstructure: HfC0.83N0.17 grains were embedded homogeneously in a β-SiC matrix and encapsulated by in situ formed carbon layers which acted as a diffusion barrier to suppress grain growth. The segregated Hf-carbonitride grains significantly influenced the electrical conductivity of the SPS processed monolithic samples. While Hf-free polymer-derived SiC showed an electrical conductivity of ca. 1.8 S cm(-1), the electrical conductivity of the Hf-containing material was analyzed to be ca. 136.2 S cm(-1).

  6. High performance top-gated ferroelectric field effect transistors based on two-dimensional ZnO nanosheets

    NASA Astrophysics Data System (ADS)

    Tian, Hongzheng; Wang, Xudong; Zhu, Yuankun; Liao, Lei; Wang, Xianying; Wang, Jianlu; Hu, Weida

    2017-01-01

    High quality ultrathin two-dimensional zinc oxide (ZnO) nanosheets (NSs) are synthesized, and the ZnO NS ferroelectric field effect transistors (FeFETs) are demonstrated based on the P(VDF-TrFE) polymer film used as the top gate insulating layer. The ZnO NSs exhibit a maximum field effect mobility of 588.9 cm2/Vs and a large transconductance of 2.5 μS due to their high crystalline quality and ultrathin two-dimensional structure. The polarization property of the P(VDF-TrFE) film is studied, and a remnant polarization of >100 μC/cm2 is achieved with a P(VDF-TrFE) thickness of 300 nm. Because of the ultrahigh remnant polarization field generated in the P(VDF-TrFE) film, the FeFETs show a large memory window of 16.9 V and a high source-drain on/off current ratio of more than 107 at zero gate voltage and a source-drain bias of 0.1 V. Furthermore, a retention time of >3000 s of the polarization state is obtained, inspiring a promising candidate for applications in data storage with non-volatile features.

  7. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  8. Separate Gating Mechanisms Mediate the Regulation of K2P Potassium Channel TASK-2 by Intra- and Extracellular pH*

    PubMed Central

    Niemeyer, María Isabel; Cid, L. Pablo; Peña-Münzenmayer, Gaspar; Sepúlveda, Francisco V.

    2010-01-01

    TASK-2 (KCNK5 or K2P5.1) is a background K+ channel that is opened by extracellular alkalinization and plays a role in renal bicarbonate reabsorption and central chemoreception. Here, we demonstrate that in addition to its regulation by extracellular protons (pHo) TASK-2 is gated open by intracellular alkalinization. The following pieces of evidence suggest that the gating process controlled by intracellular pH (pHi) is independent from that under the command of pHo. It was not possible to overcome closure by extracellular acidification by means of intracellular alkalinization. The mutant TASK-2-R224A that lacks sensitivity to pHo had normal pHi-dependent gating. Increasing extracellular K+ concentration acid shifts pHo activity curve of TASK-2 yet did not affect pHi gating of TASK-2. pHo modulation of TASK-2 is voltage-dependent, whereas pHi gating was not altered by membrane potential. These results suggest that pHo, which controls a selectivity filter external gate, and pHi act at different gating processes to open and close TASK-2 channels. We speculate that pHi regulates an inner gate. We demonstrate that neutralization of a lysine residue (Lys245) located at the C-terminal end of transmembrane domain 4 by mutation to alanine abolishes gating by pHi. We postulate that this lysine acts as an intracellular pH sensor as its mutation to histidine acid-shifts the pHi-dependence curve of TASK-2 as expected from its lower pKa. We conclude that intracellular pH, together with pHo, is a critical determinant of TASK-2 activity and therefore of its physiological function. PMID:20351106

  9. ZnO-based multiple channel and multiple gate FinMOSFETs

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Huang, Hung-Lin; Tseng, Chun-Yen; Lee, Hsin-Ying

    2016-02-01

    In recent years, zinc oxide (ZnO)-based metal-oxide-semiconductor field-effect transistors (MOSFETs) have attracted much attention, because ZnO-based semiconductors possess several advantages, including large exciton binding energy, nontoxicity, biocompatibility, low material cost, and wide direct bandgap. Moreover, the ZnO-based MOSFET is one of most potential devices, due to the applications in microwave power amplifiers, logic circuits, large scale integrated circuits, and logic swing. In this study, to enhance the performances of the ZnO-based MOSFETs, the ZnObased multiple channel and multiple gate structured FinMOSFETs were fabricated using the simple laser interference photolithography method and the self-aligned photolithography method. The multiple channel structure possessed the additional sidewall depletion width control ability to improve the channel controllability, because the multiple channel sidewall portions were surrounded by the gate electrode. Furthermore, the multiple gate structure had a shorter distance between source and gate and a shorter gate length between two gates to enhance the gate operating performances. Besides, the shorter distance between source and gate could enhance the electron velocity in the channel fin structure of the multiple gate structure. In this work, ninety one channels and four gates were used in the FinMOSFETs. Consequently, the drain-source saturation current (IDSS) and maximum transconductance (gm) of the ZnO-based multiple channel and multiple gate structured FinFETs operated at a drain-source voltage (VDS) of 10 V and a gate-source voltage (VGS) of 0 V were respectively improved from 11.5 mA/mm to 13.7 mA/mm and from 4.1 mS/mm to 6.9 mS/mm in comparison with that of the conventional ZnO-based single channel and single gate MOSFETs.

  10. Characteristics Of Ferroelectric Logic Gates Using a Spice-Based Model

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Phillips, Thomas A.; Ho, Fat D.

    2005-01-01

    A SPICE-based model of an n-channel ferroelectric field effect transistor has been developed based on both theoretical and empirical data. This model was used to generate the I-V characteristic of several logic gates. The use of ferroelectric field effect transistors in memory circuits is being developed by several organizations. The use of FFETs in other circuits, both analog and digital needs to be better understood. The ability of FFETs to have different characteristics depending on the initial polarization can be used to create logic gates. These gates can have properties not available to standard CMOS logic gates, such as memory, reconfigurability and memory. This paper investigates basic properties of FFET logic gates. It models FFET inverter, NAND gate and multi-input NAND gate. The I-V characteristics of the gates are presented as well as transfer characteristics and timing. The model used is a SPICE-based model developed from empirical data from actual Ferroelectric transistors. It simulates all major characteristics of the ferroelectric transistor, including polarization, hysteresis and decay. Contrasts are made of the differences between FFET logic gates and CMOS logic gates. FFET parameters are varied to show the effect on the overall gate. A recodigurable gate is investigated which is not possible with CMOS circuits. The paper concludes that FFETs can be used in logic gates and have several advantages over standard CMOS gates.

  11. High-fidelity gates in quantum dot spin qubits

    PubMed Central

    Koh, Teck Seng; Coppersmith, S. N.; Friesen, Mark

    2013-01-01

    Several logical qubits and quantum gates have been proposed for semiconductor quantum dots controlled by voltages applied to top gates. The different schemes can be difficult to compare meaningfully. Here we develop a theoretical framework to evaluate disparate qubit-gating schemes on an equal footing. We apply the procedure to two types of double-dot qubits: the singlet–triplet and the semiconducting quantum dot hybrid qubit. We investigate three quantum gates that flip the qubit state: a DC pulsed gate, an AC gate based on logical qubit resonance, and a gate-like process known as stimulated Raman adiabatic passage. These gates are all mediated by an exchange interaction that is controlled experimentally using the interdot tunnel coupling g and the detuning ϵ, which sets the energy difference between the dots. Our procedure has two steps. First, we optimize the gate fidelity (f) for fixed g as a function of the other control parameters; this yields an that is universal for different types of gates. Next, we identify physical constraints on the control parameters; this yields an upper bound that is specific to the qubit-gate combination. We show that similar gate fidelities should be attainable for singlet-triplet qubits in isotopically purified Si, and for hybrid qubits in natural Si. Considerably lower fidelities are obtained for GaAs devices, due to the fluctuating magnetic fields ΔB produced by nuclear spins. PMID:24255105

  12. High-fidelity gates in quantum dot spin qubits.

    PubMed

    Koh, Teck Seng; Coppersmith, S N; Friesen, Mark

    2013-12-03

    Several logical qubits and quantum gates have been proposed for semiconductor quantum dots controlled by voltages applied to top gates. The different schemes can be difficult to compare meaningfully. Here we develop a theoretical framework to evaluate disparate qubit-gating schemes on an equal footing. We apply the procedure to two types of double-dot qubits: the singlet-triplet and the semiconducting quantum dot hybrid qubit. We investigate three quantum gates that flip the qubit state: a DC pulsed gate, an AC gate based on logical qubit resonance, and a gate-like process known as stimulated Raman adiabatic passage. These gates are all mediated by an exchange interaction that is controlled experimentally using the interdot tunnel coupling g and the detuning [Symbol: see text], which sets the energy difference between the dots. Our procedure has two steps. First, we optimize the gate fidelity (f) for fixed g as a function of the other control parameters; this yields an f(opt)(g) that is universal for different types of gates. Next, we identify physical constraints on the control parameters; this yields an upper bound f(max) that is specific to the qubit-gate combination. We show that similar gate fidelities (~99:5%) should be attainable for singlet-triplet qubits in isotopically purified Si, and for hybrid qubits in natural Si. Considerably lower fidelities are obtained for GaAs devices, due to the fluctuating magnetic fields ΔB produced by nuclear spins.

  13. The Distributions of Voltage-Gated K+ current Subtypes in Different Cell Sizes from Adult Mouse Dorsal Root Ganglia.

    PubMed

    Sheng, Anqi; Hong, Jiangru; Zhang, Lulu; Zhang, Yan; Zhang, Guangqin

    2018-03-29

    Voltage-gated K + (K V ) currents play a crucial role in regulating pain by controlling neuronal excitability, and are divided into transient A-type currents (I A ) and delayed rectifier currents (I K ). The dorsal root ganglion (DRG) neurons are heterogeneous and the subtypes of K V currents display different levels in distinct cell sizes. To observe correlations of the subtypes of K V currents with DRG cell sizes, K V currents were recorded by whole-cell patch clamp in freshly isolated mouse DRG neurons. Results showed that I A occupied a high proportion in K V currents in medium- and large-diameter DRG neurons, whereas I K possessed a larger proportion of K V currents in small-diameter DRG neurons. A lower correlation was found between the proportion of I A or I K in K V currents and cell sizes. These data suggest that I A channels are mainly expressed in medium and large cells and I K channels are predominantly expressed in small cells.

  14. Voltage-Gated K+ Channel, Kv3.3 Is Involved in Hemin-Induced K562 Differentiation

    PubMed Central

    Song, Min Seok; Choi, Seon Young; Ryu, Pan Dong; Lee, So Yeong

    2016-01-01

    Voltage-gated K+ (Kv) channels are well known to be involved in cell proliferation. However, even though cell proliferation is closely related to cell differentiation, the relationship between Kv channels and cell differentiation remains poorly investigated. This study demonstrates that Kv3.3 is involved in K562 cell erythroid differentiation. Down-regulation of Kv3.3 using siRNA-Kv3.3 increased hemin-induced K562 erythroid differentiation through decreased activation of signal molecules such as p38, cAMP response element-binding protein, and c-fos. Down-regulation of Kv3.3 also enhanced cell adhesion by increasing integrin β3 and this effect was amplified when the cells were cultured with fibronectin. The Kv channels, or at least Kv3.3, appear to be associated with cell differentiation; therefore, understanding the mechanisms of Kv channel regulation of cell differentiation would provide important information regarding vital cellular processes. PMID:26849432

  15. Room temperature high-fidelity holonomic single-qubit gate on a solid-state spin.

    PubMed

    Arroyo-Camejo, Silvia; Lazariev, Andrii; Hell, Stefan W; Balasubramanian, Gopalakrishnan

    2014-09-12

    At its most fundamental level, circuit-based quantum computation relies on the application of controlled phase shift operations on quantum registers. While these operations are generally compromised by noise and imperfections, quantum gates based on geometric phase shifts can provide intrinsically fault-tolerant quantum computing. Here we demonstrate the high-fidelity realization of a recently proposed fast (non-adiabatic) and universal (non-Abelian) holonomic single-qubit gate, using an individual solid-state spin qubit under ambient conditions. This fault-tolerant quantum gate provides an elegant means for achieving the fidelity threshold indispensable for implementing quantum error correction protocols. Since we employ a spin qubit associated with a nitrogen-vacancy colour centre in diamond, this system is based on integrable and scalable hardware exhibiting strong analogy to current silicon technology. This quantum gate realization is a promising step towards viable, fault-tolerant quantum computing under ambient conditions.

  16. Effects of HfB2 and HfN Additions on the Microstructures and Mechanical Properties of TiB2-Based Ceramic Tool Materials

    PubMed Central

    An, Jing; Song, Jinpeng; Liang, Guoxing; Gao, Jiaojiao; Xie, Juncai; Cao, Lei; Wang, Shiying; Lv, Ming

    2017-01-01

    The effects of HfB2 and HfN additions on the microstructures and mechanical properties of TiB2-based ceramic tool materials were investigated. The results showed that the HfB2 additive not only can inhibit the TiB2 grain growth but can also change the morphology of some TiB2 grains from bigger polygons to smaller polygons or longer ovals that are advantageous for forming a relatively fine microstructure, and that the HfN additive had a tendency toward agglomeration. The improvement of flexural strength and Vickers hardness of the TiB2-HfB2 ceramics was due to the relatively fine microstructure; the decrease of fracture toughness was ascribed to the formation of a weaker grain boundary strength due to the brittle rim phase and the poor wettability between HfB2 and Ni. The decrease of the flexural strength and Vickers hardness of the TiB2-HfN ceramics was due to the increase of defects such as TiB2 coarse grains and HfN agglomeration; the enhancement of fracture toughness was mainly attributed to the decrease of the pore number and the increase of the rim phase and TiB2 coarse grains. The toughening mechanisms of TiB2-HfB2 ceramics mainly included crack bridging and transgranular fracture, while the toughening mechanisms of TiB2-HfN ceramics mainly included crack deflection, crack bridging, transgranular fracture, and the core-rim structure. PMID:28772821

  17. Investigation of microstructural and electrical properties of composition dependent co-sputtered Hf1-x Ta x O2 thin films

    NASA Astrophysics Data System (ADS)

    Das, K. C.; Tripathy, N.; Ghosh, S. P.; Mohanta, S. K.; Nakamura, A.; Kar, J. P.

    2017-11-01

    Tantalum doped HfO2 gate dielectric thin films were deposited on silicon substrates using RF reactive co-sputtering by varying RF power of Ta target from 15 W to 90 W. The morphological, compositional and electrical properties of Hf1-x Ta x O2 films were systematically investigated. The Ta content was found to be increased up to 21% for a Ta target power of 90 W. The evolution of monoclinic phase of Hf1-x Ta x O2 was seen from XRD study upto RF power of 60 W and afterwards, the amorphous like behaviour is appeared. The featureless smooth surface with the decrease in granular morphology has been observed from FESEM micrographs of the doped films at higher RF powers of Ta. The flatband voltage is found to be shifted towards negative voltage in the capacitance-voltage plot, which was attributed to the enhancement in positive oxide charge density with rise in RF power. The interface charge density has a minimum value of 7.85  ×  1011 eV-1 cm-2 for the film deposited at Ta RF power of 75 W. The Hf1-x Ta x O2 films deposited at Ta target RF power of 90 W has shown lower leakage current. The high on/off ratio of the current during the set process in Hf1-x Ta x O2 based memristors is found suitable for bipolar resistive switching memory device applications.

  18. Role of deposition and annealing of the top gate dielectric in a-IGZO TFT-based dual-gate ion-sensitive field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kumar, Narendra; Sutradhar, Moitri; Kumar, Jitendra; Panda, Siddhartha

    2017-03-01

    The deposition of the top gate dielectric in thin film transistor (TFT)-based dual-gate ion-sensitive field-effect transistors (DG ISFETs) is critical, and expected not to affect the bottom gate TFT characteristics, while providing a higher pH sensitive surface and efficient capacitive coupling between the gates. Amorphous Ta2O5, in addition to having good sensing properties, possesses a high dielectric constant of ˜25 making it well suited as the top gate dielectric in a DG ISFET by providing higher capacitive coupling (ratio of C top/C bottom) leading to higher amplification. To avoid damage of the a-IGZO channel reported to be caused by plasma exposure, deposition of Ta2O5 by e-beam evaporation followed by annealing was investigated in this work to obtain sensitivity over the Nernst limit. The deteriorated bottom gate TFT characteristics, indicated by an increase in the channel conductance, confirmed that plasma exposure is not the sole contributor to the changes. Oxygen vacancies at the Ta2O5/a-IGZO interface, which emerged during processing, increased the channel conductivity, became filled by optimum annealing in oxygen at 400 °C for 1 h, which was confirmed by an x-ray photoelectron spectroscopy depth profiling analysis. The obtained pH sensitivity of the TFT-based DG ISFET was 402 mV pH-1, which is about 6.8 times the Nernst limit (59 mV pH-1). The concept of capacitive coupling was also demonstrated by simulating an a-IGZO-based DG TFT structure. Here, the exposure of the top gate dielectric to the electrolyte without applying any top gate bias led to changes in the measured threshold voltage of the bottom gate TFT, and this obviated the requirement of a reference electrode needed in conventional ISFETs and other reported DG ISFETs. These devices, with high sensitivities and requiring low volumes (˜2 μl) of analyte solution, could be potential candidates for utilization as chemical sensors and biosensors.

  19. Geometric validation of self-gating k-space-sorted 4D-MRI vs 4D-CT using a respiratory motion phantom

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yue, Yong, E-mail: yong.yue@cshs.org; Yang, Wensha; McKenzie, Elizabeth

    Purpose: MRI is increasingly being used for radiotherapy planning, simulation, and in-treatment-room motion monitoring. To provide more detailed temporal and spatial MR data for these tasks, we have recently developed a novel self-gated (SG) MRI technique with advantage of k-space phase sorting, high isotropic spatial resolution, and high temporal resolution. The current work describes the validation of this 4D-MRI technique using a MRI- and CT-compatible respiratory motion phantom and comparison to 4D-CT. Methods: The 4D-MRI sequence is based on a spoiled gradient echo-based 3D projection reconstruction sequence with self-gating for 4D-MRI at 3 T. Respiratory phase is resolved by usingmore » SG k-space lines as the motion surrogate. 4D-MRI images are reconstructed into ten temporal bins with spatial resolution 1.56 × 1.56 × 1.56 mm{sup 3}. A MRI-CT compatible phantom was designed to validate the performance of the 4D-MRI sequence and 4D-CT imaging. A spherical target (diameter 23 mm, volume 6.37 ml) filled with high-concentration gadolinium (Gd) gel is embedded into a plastic box (35 × 40 × 63 mm{sup 3}) and stabilized with low-concentration Gd gel. The phantom, driven by an air pump, is able to produce human-type breathing patterns between 4 and 30 respiratory cycles/min. 4D-CT of the phantom has been acquired in cine mode, and reconstructed into ten phases with slice thickness 1.25 mm. The 4D images sets were imported into a treatment planning software for target contouring. The geometrical accuracy of the 4D MRI and CT images has been quantified using target volume, flattening, and eccentricity. The target motion was measured by tracking the centroids of the spheres in each individual phase. Motion ground-truth was obtained from input signals and real-time video recordings. Results: The dynamic phantom has been operated in four respiratory rate (RR) settings, 6, 10, 15, and 20/min, and was scanned with 4D-MRI and 4D-CT. 4D-CT images have target

  20. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    NASA Astrophysics Data System (ADS)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  1. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system.

    PubMed

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D; Konings, Rudy J M; Reece, Michael J; Lee, William E

    2016-12-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta 1-x Hf x C, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041-4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC 0.98 , (4232 ± 84) K, is the highest recorded for any compound studied until now.

  2. Getting to the heart of hERG K+ channel gating

    PubMed Central

    Perry, Matthew D; Ng, Chai-Ann; Mann, Stefan A; Sadrieh, Arash; Imtiaz, Mohammad; Hill, Adam P; Vandenberg, Jamie I

    2015-01-01

    Potassium ion channels encoded by the human ether-a-go-go related gene (hERG) form the ion-conducting subunit of the rapid delayed rectifier potassium current (IKr). Although hERG channels exhibit a widespread tissue distribution they play a particularly important role in the heart. There has been considerable interest in hERG K+ channels for three main reasons. First, they have very unusual gating kinetics, most notably rapid and voltage-dependent inactivation coupled to slow deactivation, which has led to the suggestion that they may play a specific role in the suppression of arrhythmias. Second, mutations in hERG are the cause of 30–40% of cases of congenital long QT syndrome (LQTS), the commonest inherited primary arrhythmia syndrome. Third, hERG is the molecular target for the vast majority of drugs that cause drug-induced LQTS, the commonest cause of drug-induced arrhythmias and cardiac death. Drug-induced LQTS has now been reported for a large range of both cardiac and non-cardiac drugs, in which this side effect is entirely undesired. In recent years there have been comprehensive reviews published on hERG K+ channels (Vandenberg et al. 2012) and we will not re-cover this ground. Rather, we focus on more recent work on the structural basis and dynamics of hERG gating with an emphasis on how the latest developments may facilitate translational research in the area of stratifying risk of arrhythmias. PMID:25820318

  3. Electrical behaviour of fully solution processed HfO2 (MOS) in presence of different light illumination

    NASA Astrophysics Data System (ADS)

    Mondal, Sandip

    2018-04-01

    This experiment demonstrates the electrical behaviors of fully solution processed HfO2(MOS) in presence of different optical illumination. The capacitance voltage measurement was performed at frequency of 100 kHz with a DC gate sweep voltage of ±5V (with additional AC voltage of 100mV) in presence of deep UV (wavelength of 365nm with power of 25W) as well as white light (20W). It is found that there is a large shift in flatband voltage of 120mV due presence of white light during the CV measurement. However there is negligible change in flatband voltage (30mV) has been observed due to illumination of deep UV light.

  4. Low-Temperature Reactivities of Ultra-High Temperature Ceramics (Hf-X System)

    DTIC Science & Technology

    2005-12-01

    as interacting fillers with the preceramic polymer formulations. In situ formation of the SiC phase was also evaluated as a practical approach in...led to a renewal of activities to fabricate MB2/ SiC composites as the materials of choice, because of their high thermal and oxidation resistance...HfB2/ SiC composite microstructures (and also HfC, ZrB2, and ZrC composites ) under pressureless conditions. These can be employed in reactive and

  5. The rotational excitation of HF by H

    NASA Astrophysics Data System (ADS)

    Desrousseaux, Benjamin; Lique, François

    2018-06-01

    The HF molecule is a key tracer of molecular hydrogen in diffuse interstellar medium (ISM). Accurate modelling of the HF abundance in such media requires one to model its excitation by both radiation and collisions. In diffuse ISM, the dominant collisional partners are atomic and molecular hydrogen. We report quantum time-independent calculations of collisional cross-sections and rate coefficients for the rotational excitation of HF by H. The reactive hydrogen exchange channels are taken into account in the scattering calculations. For the first time, HF-H rate coefficients are provided for temperature ranging from 10 to 500 K. The strongest collision-induced rotational HF transitions are those with Δj = 1, and the order of magnitude of the new HF-H rate coefficients is similar to that of the HF-H2 ones previously computed. As a first application, we simulate the excitation of HF by both H and H2 in typical diffuse ISM. We show that, depending on the rotational transition, hydrogen atoms increase or decrease the simulated excitation temperatures compared to collisional excitation only due to H2 molecules. Such results suggest that the new HF-H collisional data have to be used for properly modelling the abundance of HF in diffuse ISM.

  6. Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators

    NASA Astrophysics Data System (ADS)

    Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.

    2009-08-01

    This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.

  7. The open gate of the K(V)1.2 channel: quantum calculations show the key role of hydration.

    PubMed

    Kariev, Alisher M; Njau, Philipa; Green, Michael E

    2014-02-04

    The open gate of the Kv1.2 voltage-gated potassium channel can just hold a hydrated K(+) ion. Quantum calculations starting from the x-ray coordinates of the channel confirm this, showing little change from the x-ray coordinates for the protein. Water molecules not in the x-ray coordinates, and the ion itself, are placed by the calculation. The water molecules, including their orientation and hydrogen bonding, with and without an ion, are critical for the path of the ion, from the solution to the gate. A sequence of steps is postulated in which the potential experienced by the ion in the pore is influenced by the position of the ion. The gate structure, with and without the ion, has been optimized. The charges on the atoms and bond lengths have been calculated using natural bond orbital calculations, giving K(+) ~0.77 charges, rather than 1.0. The PVPV hinge sequence has been mutated in silico to PVVV (P407V in the 2A79 numbering). The water structure around the ion becomes discontinuous, separated into two sections, above and below the ion. PVPV conservation closely relates to maintaining the water structure. Finally, these results have implications concerning gating. Copyright © 2014 Biophysical Society. Published by Elsevier Inc. All rights reserved.

  8. Tunable Resistance or Magnetoresistance Cusp and Extremely Large Magnetoresistance in Defect-Engineered HfTe5 -δ Single Crystals

    NASA Astrophysics Data System (ADS)

    Lv, Yang-Yang; Li, Xiao; Cao, Lin; Lin, Dajun; Yao, Shu-Hua; Chen, Si-Si; Dong, Song-Tao; Zhou, Jian; Chen, Y. B.; Chen, Yan-Feng

    2018-05-01

    The electrical transport behaviors of novel materials under the external magnetic field B , especially the large or tunable magnetoresistance (MR) effect, are of broad importance in both fundamental science and applications. Here three kinds of HfTe5 crystals with varied Te-deficiency concentrations are synthesized under different growth conditions, and they demonstrate distinct electrical and magnetotransport properties. The temperatures of the resistivity cusp or MR cusp of the as-grown HfTe5 -δ (δ =0.02 , 0.08, 0.13) crystals are tuned from 25 to 90 K. The maximum MR of these three HfTe5 -δ crystals, under 2 K and 9 T B , are 1.52 ×103% , 2.63 ×104% , and 6.91 ×103% for sample SI (HfTe4.98 ), SII (HfTe4.92 ), and SIII (HfTe4.87 ), respectively. The fitting of Hall data by the two-carrier model suggests that the extremely large MR effect of sample HfTe4.92 measured at 2 K is attributed to the cooperative action of the high mobility and the coexistence of the electron and hole carriers. Our work provides a viable route to tune superior MR properties in similar compounds through defect engineering, which may be promising to develop magnetic memory sensor devices.

  9. Self-Assembling Molecular Logic Gates Based on DNA Crossover Tiles.

    PubMed

    Campbell, Eleanor A; Peterson, Evan; Kolpashchikov, Dmitry M

    2017-07-05

    DNA-based computational hardware has attracted ever-growing attention due to its potential to be useful in the analysis of complex mixtures of biological markers. Here we report the design of self-assembling logic gates that recognize DNA inputs and assemble into crossover tiles when the output signal is high; the crossover structures disassemble to form separate DNA stands when the output is low. The output signal can be conveniently detected by fluorescence using a molecular beacon probe as a reporter. AND, NOT, and OR logic gates were designed. We demonstrate that the gates can connect to each other to produce other logic functions. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  11. HF-START: A Regional Radio Propagation Simulator

    NASA Astrophysics Data System (ADS)

    Hozumi, K.; Maruyama, T.; Saito, S.; Nakata, H.; Rougerie, S.; Yokoyama, T.; Jin, H.; Tsugawa, T.; Ishii, M.

    2017-12-01

    HF-START (HF Simulator Targeting for All-users' Regional Telecommunications) is a user-friendly simulator developed to meet the needs of space weather users. Prediction of communications failure due to space weather disturbances is of high priority. Space weather users from various backgrounds with high economic impact, i.e. airlines, telecommunication companies, GPS-related companies, insurance companies, international amateur radio union, etc., recently increase. Space weather information provided by Space Weather Information Center of NICT is, however, too professional to be understood and effectively used by the users. To overcome this issue, I try to translate the research level data to the user level data based on users' needs and provide an immediate usable data. HF-START is positioned to be a space weather product out of laboratory based truly on users' needs. It is originally for radio waves in HF band (3-30 MHz) but higher frequencies up to L band are planned to be covered. Regional ionospheric data in Japan and southeast Asia are employed as a reflector of skywave mode propagation. GAIA (Ground-to-topside model of Atmosphere and Ionosphere for Aeronomy) model will be used as ionospheric input for global simulation. To evaluate HF-START, an evaluation campaign for Japan region will be launched in coming months. If the campaign successes, it will be expanded to southeast Asia region as well. The final goal of HF-START is to provide the near-realtime necessary radio parameters as well as the warning message of radio communications failure to the radio and space weather users.

  12. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  13. High-performance pentacene OTFT by incorporating Ti in LaON gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Y. X.; Han, C. Y.; Tang, W. M.; Lai, P. T.

    2017-07-01

    Pentacene organic thin-film transistors (OTFT) using high-k LaTiON gate dielectric with different Ti contents are investigated. The LaxTi(1-x)ON films (with x = 1, 0.87, 0.76, and 0.67) are deposited by reactive sputtering followed by an annealing in N2 at 200 °C. The OTFT with La0.87Ti0.13ON can achieve a high carrier mobility of 2.6 cm2/V.s, a small threshold voltage of -1.5 V, a small sub-threshold swing of 0.07 V/dec, and a small hysteresis of 0.17 V. AFM and X-ray photoelectron spectroscopy reveal that Ti can suppress the hygroscopicity of La oxide to achieve a smoother dielectric surface, which can result in larger pentacene grains and thus higher carrier mobility. All the devices show a clockwise hysteresis because both the LaOH formation and Ti incorporation can generate acceptor-like traps in the gate dielectric.

  14. A 65-kV insulated gate bipolar transistor switch applied in damped AC voltages partial discharge detection system.

    PubMed

    Jiang, J; Ma, G M; Luo, D P; Li, C R; Li, Q M; Wang, W

    2014-02-01

    Damped AC voltages detection system (DAC) is a productive way to detect the faults in power cables. To solve the problems of large volume, complicated structure and electromagnetic interference in existing switches, this paper developed a compact solid state switch based on electromagnetic trigger, which is suitable for DAC test system. Synchronous electromagnetic trigger of 32 Insulated Gate Bipolar Transistors (IGBTs) in series was realized by the topological structure of single line based on pulse width modulation control technology. In this way, external extension was easily achieved. Electromagnetic trigger and resistor-capacitor-diode snubber circuit were optimized to reduce the switch turn-on time and circular layout. Epoxy encapsulating was chosen to enhance the level of partial discharge initial voltage (PDIV). The combination of synchronous trigger and power supply is proposed to reduce the switch volume. Moreover, we have overcome the drawback of the electromagnetic interference and improved the detection sensitivity of DAC by using capacitor storage energy to maintain IGBT gate driving voltage. The experimental results demonstrated that the solid-state switch, with compact size, whose turn-on time was less than 400 ns and PDIV was more than 65 kV, was able to meet the actual demands of 35 kV DAC test system.

  15. Evolution of magnetic properties and microstructure of Hf2Co11B alloys

    DOE PAGES

    McGuire, Michael A.; Rios, Orlando

    2015-02-05

    Amorphous Hf 2Co 11B alloys produced by melt-spinning have been crystallized by annealing at 500-800 °C, and the products have been investigated using magnetization measurements, x-ray diffraction, and scanning electron microscopy. The results reveal the evolution of the phase fractions, microstructure, and magnetic properties with both annealing temperature and time. Crystallization of the phase denoted HfCo 7, which is associated with the development of coercivity, occurs slowly at 500 °C. Annealing at intermediate temperatures produces mixed phase samples containing some of the HfCo 7 phase with the highest values of remanent magnetization and coercivity. The equilibrium structure at 800 °Cmore » contains HfCo3B 2, Hf 6Co 23 and Co, and displays soft ferromagnetism. Maximum values for the remanent magnetization, intrinsic coercivity, and magnetic energy product among the samples are approximately 5.2 kG, 2.0 kOe, and 3.1 MGOe, respectively, which indicates that the significantly higher values observed in crystalline, melt-spun Hf 2Co 11B ribbons are a consequence of the non-equilibrium solidification during the melt-spinning process. Application of high magnetic fields during annealing is observed to strongly affect the microstructural evolution, which may provide access to higher performance materials in Zr/Hf-Co hard ferromagnets. The crystal structure of HfCo 7 and the related Zr analogues is unknown, and without knowledge of atomic positions powder diffraction cannot distinguish among proposed unit cells and symmetries found in the literature.« less

  16. Facile fabrication of highly controllable gating systems based on the combination of inverse opal structure and dynamic covalent chemistry.

    PubMed

    Wang, Chen; Yang, Haowei; Tian, Li; Wang, Shiqiang; Gao, Ning; Zhang, Wanlin; Wang, Peng; Yin, Xianpeng; Li, Guangtao

    2017-06-01

    A three-dimensional (3D) inverse opal with periodic and porous structures has shown great potential for applications not only in optics and optoelectronics, but also in functional membranes. In this work, the benzaldehyde group was initially introduced into a 3D nanoporous inverse opal, serving as a platform for fabricating functional membranes. By employing the dynamic covalent approach, a highly controllable gating system was facilely fabricated to achieve modulable and reversible transport features. It was found that the physical/chemical properties and pore size of the gating system could easily be regulated through post-modification with amines. As a demonstration, the gated nanopores were modified with three kinds of amines to control the wettability, surface charge and nanopore size which in turn was exploited to achieve selective mass transport, including hydrophobic molecules, cations and anions, and the transport with respect to the physical steric hindrance. In particular, the gating system showed extraordinary reversibility and could recover to its pristine state by simply changing pH values. Due to the unlimited variety provided by the Schiff base reaction, the inverse opal described here exhibits a significant extendibility and could be easily post-modified with stimuli-responsive molecules for special purposes. Furthermore, this work can be extended to employ other dynamic covalent routes, for example Diels-Alder, ester exchange and disulfide exchange-based routes.

  17. Getting to the heart of hERG K(+) channel gating.

    PubMed

    Perry, Matthew D; Ng, Chai-Ann; Mann, Stefan A; Sadrieh, Arash; Imtiaz, Mohammad; Hill, Adam P; Vandenberg, Jamie I

    2015-06-15

    Potassium ion channels encoded by the human ether-a-go-go related gene (hERG) form the ion-conducting subunit of the rapid delayed rectifier potassium current (IKr ). Although hERG channels exhibit a widespread tissue distribution they play a particularly important role in the heart. There has been considerable interest in hERG K(+) channels for three main reasons. First, they have very unusual gating kinetics, most notably rapid and voltage-dependent inactivation coupled to slow deactivation, which has led to the suggestion that they may play a specific role in the suppression of arrhythmias. Second, mutations in hERG are the cause of 30-40% of cases of congenital long QT syndrome (LQTS), the commonest inherited primary arrhythmia syndrome. Third, hERG is the molecular target for the vast majority of drugs that cause drug-induced LQTS, the commonest cause of drug-induced arrhythmias and cardiac death. Drug-induced LQTS has now been reported for a large range of both cardiac and non-cardiac drugs, in which this side effect is entirely undesired. In recent years there have been comprehensive reviews published on hERG K(+) channels (Vandenberg et al. 2012) and we will not re-cover this ground. Rather, we focus on more recent work on the structural basis and dynamics of hERG gating with an emphasis on how the latest developments may facilitate translational research in the area of stratifying risk of arrhythmias. © 2015 The Authors. The Journal of Physiology © 2015 The Physiological Society.

  18. A novel optical gating method for laser gated imaging

    NASA Astrophysics Data System (ADS)

    Ginat, Ran; Schneider, Ron; Zohar, Eyal; Nesher, Ofer

    2013-06-01

    For the past 15 years, Elbit Systems is developing time-resolved active laser-gated imaging (LGI) systems for various applications. Traditional LGI systems are based on high sensitive gated sensors, synchronized to pulsed laser sources. Elbit propriety multi-pulse per frame method, which is being implemented in LGI systems, improves significantly the imaging quality. A significant characteristic of the LGI is its ability to penetrate a disturbing media, such as rain, haze and some fog types. Current LGI systems are based on image intensifier (II) sensors, limiting the system in spectral response, image quality, reliability and cost. A novel propriety optical gating module was developed in Elbit, untying the dependency of LGI system on II. The optical gating module is not bounded to the radiance wavelength and positioned between the system optics and the sensor. This optical gating method supports the use of conventional solid state sensors. By selecting the appropriate solid state sensor, the new LGI systems can operate at any desired wavelength. In this paper we present the new gating method characteristics, performance and its advantages over the II gating method. The use of the gated imaging systems is described in a variety of applications, including results from latest field experiments.

  19. Quantum logic gates based on ballistic transport in graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dragoman, Daniela; Academy of Romanian Scientists, Splaiul Independentei 54, 050094 Bucharest; Dragoman, Mircea, E-mail: mircea.dragoman@imt.ro

    2016-03-07

    The paper presents various configurations for the implementation of graphene-based Hadamard, C-phase, controlled-NOT, and Toffoli gates working at room temperature. These logic gates, essential for any quantum computing algorithm, involve ballistic graphene devices for qubit generation and processing and can be fabricated using existing nanolithographical techniques. All quantum gate configurations are based on the very large mean-free-paths of carriers in graphene at room temperature.

  20. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  1. A routine high-precision method for Lu-Hf isotope geochemistry and chronology

    USGS Publications Warehouse

    Patchett, P.J.; Tatsumoto, M.

    1981-01-01

    A method for chemical separation of Lu and Hf from rock, meteorite and mineral samples is described, together with a much improved mass spectrometric running technique for Hf. This allows (i) geo- and cosmochronology using the176Lu???176Hf+??- decay scheme, and (ii) geochemical studies of planetary processes in the earth and moon. Chemical yields for the three-stage ion-exchange column procedure average 90% for Hf. Chemical blanks are <0.2 ng for Lu and Hf. From 1 ??g of Hf, a total ion current of 0.5??10-11 Ampere can be maintained for 3-5 h, yielding 0.01-0.03% precision on the ratio176Hf/177Hf. Normalisation to179Hf/177Hf=0.7325 is used. Extensive results for the Johnson Matthey Hf standard JMC 475 are presented, and this sample is urged as an international mass spectrometric standard; suitable aliquots, prepared from a single batch of JMC 475, are available from Denver. Lu-Hf analyses of the standard rocks BCR-1 and JB-1 are given. The potential of the Lu-Hf method in isotope geochemistry is assessed. ?? 1980 Springer-Verlag.

  2. Highgate dielectrics: Current status and materials properties considerations

    NASA Astrophysics Data System (ADS)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  3. Low-voltage back-gated atmospheric pressure chemical vapor deposition based graphene-striped channel transistor with high-κ dielectric showing room-temperature mobility > 11,000 cm(2)/V·s.

    PubMed

    Smith, Casey; Qaisi, Ramy; Liu, Zhihong; Yu, Qingkai; Hussain, Muhammad Mustafa

    2013-07-23

    Utilization of graphene may help realize innovative low-power replacements for III-V materials based high electron mobility transistors while extending operational frequencies closer to the THz regime for superior wireless communications, imaging, and other novel applications. Device architectures explored to date suffer a fundamental performance roadblock due to lack of compatible deposition techniques for nanometer-scale dielectrics required to efficiently modulate graphene transconductance (gm) while maintaining low gate capacitance-voltage product (CgsVgs). Here we show integration of a scaled (10 nm) highgate dielectric aluminum oxide (Al2O3) with an atmospheric pressure chemical vapor deposition (APCVD)-derived graphene channel composed of multiple 0.25 μm stripes to repeatedly realize room-temperature mobility of 11,000 cm(2)/V·s or higher. This high performance is attributed to the APCVD graphene growth quality, excellent interfacial properties of the gate dielectric, conductivity enhancement in the graphene stripes due to low tox/Wgraphene ratio, and scaled high-κ dielectric gate modulation of carrier density allowing full actuation of the device with only ±1 V applied bias. The superior drive current and conductance at Vdd = 1 V compared to other top-gated devices requiring undesirable seed (such as aluminum and poly vinyl alcohol)-assisted dielectric deposition, bottom gate devices requiring excessive gate voltage for actuation, or monolithic (nonstriped) channels suggest that this facile transistor structure provides critical insight toward future device design and process integration to maximize CVD-based graphene transistor performance.

  4. Gating of a pH-sensitive K(2P) potassium channel by an electrostatic effect of basic sensor residues on the selectivity filter.

    PubMed

    Zúñiga, Leandro; Márquez, Valeria; González-Nilo, Fernando D; Chipot, Christophe; Cid, L Pablo; Sepúlveda, Francisco V; Niemeyer, María Isabel

    2011-01-25

    K(+) channels share common selectivity characteristics but exhibit a wide diversity in how they are gated open. Leak K(2P) K(+) channels TASK-2, TALK-1 and TALK-2 are gated open by extracellular alkalinization. The mechanism for this alkalinization-dependent gating has been proposed to be the neutralization of the side chain of a single arginine (lysine in TALK-2) residue near the pore of TASK-2, which occurs with the unusual pK(a) of 8.0. We now corroborate this hypothesis by transplanting the TASK-2 extracellular pH (pH(o)) sensor in the background of a pH(o)-insensitive TASK-3 channel, which leads to the restitution of pH(o)-gating. Using a concatenated channel approach, we also demonstrate that for TASK-2 to open, pH(o) sensors must be neutralized in each of the two subunits forming these dimeric channels with no apparent cross-talk between the sensors. These results are consistent with adaptive biasing force analysis of K(+) permeation using a model selectivity filter in wild-type and mutated channels. The underlying free-energy profiles confirm that either a doubly or a singly charged pH(o) sensor is sufficient to abolish ion flow. Atomic detail of the associated mechanism reveals that, rather than a collapse of the pore, as proposed for other K(2P) channels gated at the selectivity filter, an increased height of the energetic barriers for ion translocation accounts for channel blockade at acid pH(o). Our data, therefore, strongly suggest that a cycle of protonation/deprotonation of pH(o)-sensing arginine 224 side chain gates the TASK-2 channel by electrostatically tuning the conformational stability of its selectivity filter.

  5. The role of an ancestral hyperpolarization-activated cyclic nucleotide-gated K+ channel in branchial acid-base regulation in the green crab, Carcinus maenas.

    PubMed

    Fehsenfeld, Sandra; Weihrauch, Dirk

    2016-03-01

    Numerous electrophysiological studies on branchial K(+) transport in brachyuran crabs have established an important role for potassium channels in osmoregulatory ion uptake and ammonia excretion in the gill epithelium of decapod crustaceans. However, hardly anything is known of the actual nature of these channels in crustaceans. In the present study, the identification of a hyperpolarization-activated cyclic nucleotide-gated potassium channel (HCN) in the transcriptome of the green crab Carcinus maenas and subsequent performance of quantitative real-time PCR revealed the ubiquitous expression of this channel in this species. Even though mRNA expression levels in the cerebral ganglion were found to be approximately 10 times higher compared with all other tissues, posterior gills still expressed significant levels of HCN, indicating an important role for this transporter in branchial ion regulation. The relatively unspecific K(+)-channel inhibitor Ba(2+), as well as the HCN-specific blocker ZD7288, as applied in gill perfusion experiments and electrophysiological studies employing the split gill lamellae revealed the presence of at least two different K(+)/NH4(+)-transporting structures in the branchial epithelium of C. maenas. Furthermore, HCN mRNA levels in posterior gill 7 decreased significantly in response to the respiratory or metabolic acidosis that was induced by acclimation of green crabs to high environmental PCO2 and ammonia, respectively. Consequently, the present study provides first evidence that HCN-promoted NH4(+) epithelial transport is involved in both branchial acid-base and ammonia regulation in an invertebrate. © 2016. Published by The Company of Biologists Ltd.

  6. GATE: software for the analysis and visualization of high-dimensional time series expression data.

    PubMed

    MacArthur, Ben D; Lachmann, Alexander; Lemischka, Ihor R; Ma'ayan, Avi

    2010-01-01

    We present Grid Analysis of Time series Expression (GATE), an integrated computational software platform for the analysis and visualization of high-dimensional biomolecular time series. GATE uses a correlation-based clustering algorithm to arrange molecular time series on a two-dimensional hexagonal array and dynamically colors individual hexagons according to the expression level of the molecular component to which they are assigned, to create animated movies of systems-level molecular regulatory dynamics. In order to infer potential regulatory control mechanisms from patterns of correlation, GATE also allows interactive interroga-tion of movies against a wide variety of prior knowledge datasets. GATE movies can be paused and are interactive, allowing users to reconstruct networks and perform functional enrichment analyses. Movies created with GATE can be saved in Flash format and can be inserted directly into PDF manuscript files as interactive figures. GATE is available for download and is free for academic use from http://amp.pharm.mssm.edu/maayan-lab/gate.htm

  7. Oxidation Resitant HfC-TaC Rocket Thruster for High Performance Propellants

    NASA Technical Reports Server (NTRS)

    Patterson, Mark

    1999-01-01

    The purpose of this reasearch effort was to develop high temperature, oxidation resistant thrusters which would lessen the thruster cooling requirements, resulting in increased performance and longer life for onboard propulsion systems for spacecraft. This research effort focussed on developing ceramic matrix composites (CMCs) for this application, and specifically investigated the use of HfC stabilized with TaC. This material composition can potentially operate in a stoichiometric oxygen to hydrogen ratio at a temperature of 5000 deg F (2760 deg C) in a radiatively cooled mode. Various compositions of Hafnium Carbide (HfC) and Tantalum Carbide (TaC) were deposited by chemical vapor deposition (CVD) and tested, in an attempt to identify the composition which offered the best oxidation resistance. Although it was identified that small amounts of TaC appeared to offer improved oxidation resistance over HfC alone, an optimal composition was not identified. A 251bf thruster was fabricated from a novel CMC sandwich construction with a HfC-TaC matrix, and survived two test firings, each of 5 seconds before a failure in the injector caused the run to be aborted. It was not possible to control the relative stoichiometry of the HfC and TaC composition throughout the reactor due to the large difference in the thermodynamics of the HfC and TaC formation from their respective chlorides. This also resulted in an inability to infiltrate TaC into the preform under the experimental conditions investigated. Other material compositions were fabricated and tested and two material systems; HfC-SiC functionally graded to C(sub f)/C and Re functionally graded to C(sub f)/C were selected for further testing. These functionally graded composites have use in a number of propulsion applications presently of interest to NASA and the defense industry. Their ability to withstand extremely hostile thermal environments and the light-weight and high-strength exhibited from the C(sub f

  8. Characterization and Fabrication of High k dielectric-High Mobility Channel Transistors

    NASA Astrophysics Data System (ADS)

    Sun, Xiao

    As the conventional scaling of Si-based MOSFETs would bring negligible or even negative merits for IC's beyond the 7-nm CMOS technology node, many perceive the use of high-mobility channels to be one of the most likely principle changes, in order to achieve higher performance and lower power. However, interface and oxide traps have become a major obstacle for high-mobility semiconductors (such as Ge, InGaAs, GaSb, GaN...) to replace Si CMOS technology. In this thesis, the distinct properties of the traps in the high-k dielectric/high-mobility substrate system is discussed, as well as the challenges to characterize and passivate them. By modifying certain conventional gate admittance methods, both the fast and slow traps in Ge MOS gate stacks is investigated. In addition, a novel ac-transconductance method originated at Yale is introduced and demonstrated with several advanced transistors provided by collaborating groups, such as ultra-thin-body & box SO1 MOSFETs (CEA-LETI), InGaAs MOSFETs (IMEC, UT Austin, Purdue), and GaN MOS-HEMT (MIT). By use of the aforementioned characterization techniques, several effective passivation techniques on high mobility substrates (Ge, InGaAs, GaSb, GeSn, etc.) are evaluated, including a novel Ba sub-monolayer passivation of Ge surface. The key factors that need to be considered in passivating high mobility substrates are revealed. The techniques that we have established for characterizing traps in advanced field-effect transistors, as well as the knowledge gained about these traps by the use of these techniques, have been applied to the study of ionizing radiation effects in high-mobility-channel transistors, because it is very important to understand such effects as these devices are likely to be exposed to radiation-harsh environments, such as in outer space, nuclear plants, and during X-ray or UHV lithography. In this thesis, the total ionizing dose (TD) radiation effects of InGaAs-based MOSFETs and GaN-based MOS-HEMT are

  9. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps withmore » a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.« less

  10. Characterization of high-dose and high-energy implanted gate and source diode and analysis of lateral spreading of p gate profile in high voltage SiC static induction transistors

    NASA Astrophysics Data System (ADS)

    Onose, Hidekatsu; Kobayashi, Yutaka; Onuki, Jin

    2017-03-01

    The effect of the p gate dose on the characteristics of the gate-source diode in SiC static induction transistors (SIT) was investigated. It was found that a dose of 1.5 × 1014 cm-2 yields a pn junction breakdown voltage higher than 60 V and good forward characteristics. A normally on SiC SIT was fabricated and demonstrated. A blocking voltage higher than 2.0 kV at a gate-source voltage of -50 V and on-resistance of 70 mΩ cm2 were obtained. Device simulations were performed to investigate the effect of the lateral spreading. By comparing the measured I-V curves with simulation results, the lateral spreading factor was estimated to be about 0.5. The lateral spreading detrimentally affected the electrical properties of the SIT made using implantations at energies higher than 1 MeV.

  11. Teleportation-based realization of an optical quantum two-qubit entangling gate

    PubMed Central

    Gao, Wei-Bo; Goebel, Alexander M.; Lu, Chao-Yang; Dai, Han-Ning; Wagenknecht, Claudia; Zhang, Qiang; Zhao, Bo; Peng, Cheng-Zhi; Chen, Zeng-Bing; Chen, Yu-Ao; Pan, Jian-Wei

    2010-01-01

    In recent years, there has been heightened interest in quantum teleportation, which allows for the transfer of unknown quantum states over arbitrary distances. Quantum teleportation not only serves as an essential ingredient in long-distance quantum communication, but also provides enabling technologies for practical quantum computation. Of particular interest is the scheme proposed by D. Gottesman and I. L. Chuang [(1999) Nature 402:390–393], showing that quantum gates can be implemented by teleporting qubits with the help of some special entangled states. Therefore, the construction of a quantum computer can be simply based on some multiparticle entangled states, Bell-state measurements, and single-qubit operations. The feasibility of this scheme relaxes experimental constraints on realizing universal quantum computation. Using two different methods, we demonstrate the smallest nontrivial module in such a scheme—a teleportation-based quantum entangling gate for two different photonic qubits. One uses a high-fidelity six-photon interferometer to realize controlled-NOT gates, and the other uses four-photon hyperentanglement to realize controlled-Phase gates. The results clearly demonstrate the working principles and the entangling capability of the gates. Our experiment represents an important step toward the realization of practical quantum computers and could lead to many further applications in linear optics quantum information processing. PMID:21098305

  12. Teleportation-based realization of an optical quantum two-qubit entangling gate.

    PubMed

    Gao, Wei-Bo; Goebel, Alexander M; Lu, Chao-Yang; Dai, Han-Ning; Wagenknecht, Claudia; Zhang, Qiang; Zhao, Bo; Peng, Cheng-Zhi; Chen, Zeng-Bing; Chen, Yu-Ao; Pan, Jian-Wei

    2010-12-07

    In recent years, there has been heightened interest in quantum teleportation, which allows for the transfer of unknown quantum states over arbitrary distances. Quantum teleportation not only serves as an essential ingredient in long-distance quantum communication, but also provides enabling technologies for practical quantum computation. Of particular interest is the scheme proposed by D. Gottesman and I. L. Chuang [(1999) Nature 402:390-393], showing that quantum gates can be implemented by teleporting qubits with the help of some special entangled states. Therefore, the construction of a quantum computer can be simply based on some multiparticle entangled states, Bell-state measurements, and single-qubit operations. The feasibility of this scheme relaxes experimental constraints on realizing universal quantum computation. Using two different methods, we demonstrate the smallest nontrivial module in such a scheme--a teleportation-based quantum entangling gate for two different photonic qubits. One uses a high-fidelity six-photon interferometer to realize controlled-NOT gates, and the other uses four-photon hyperentanglement to realize controlled-Phase gates. The results clearly demonstrate the working principles and the entangling capability of the gates. Our experiment represents an important step toward the realization of practical quantum computers and could lead to many further applications in linear optics quantum information processing.

  13. Lu-Hf constraints on the evolution of lunar basalts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fujimaki, H.; Tatsumoto, M.

    1984-02-15

    Very low Ti basalts andd green glass samples from the moon show high Lu/Hf ratios and low Hf concentrations. Low-Ti lunar basalts show high and variable Lu/Hf ratios and higher Hf concentrations, whereas high-Ti lunar basalts show low Lu/Hf ratios and high Hf concentrations. KREEP basalts have constant Lu/Hf ratios and high but variable Hf concentrations. Using the Lu-Hf behavior as a constraint, we propose a model for the mare basalts evolution. This constraint requires extensive crystallization of the primary lunar magma ocean prior to formation of the lunar mare basalt sources and the KREEP basalts. Mare basalts are producedmore » by the melting of the cumulate rocks, and KREEP basalts represent the residual liquid of the magma ocean.« less

  14. Synthesis of MAX Phases in the Hf-Al-C System.

    PubMed

    Lapauw, Thomas; Tunca, Bensu; Cabioc'h, Thierry; Lu, Jun; Persson, Per O Å; Lambrinou, Konstantina; Vleugels, Jozef

    2016-11-07

    For the first time, MAX phases in the Hf-Al-C system were experimentally synthesized using reactive hot pressing. HfC was observed as the main competing phase. The lattice parameters of Hf 2 AlC and Hf 3 AlC 2 were determined by Rietveld refinement based on the X-ray diffraction data. The atomic stacking sequence was revealed by high-resolution scanning transmission electron microscopy. Mixtures of 211 and 312 stacking were observed within the same grain, including 523 layers. This transition in atomic structure is discussed.

  15. Charge trapping and current-conduction mechanisms of metal-oxide-semiconductor capacitors with La xTa y dual-doped HfON dielectrics

    NASA Astrophysics Data System (ADS)

    Cheng, Chin-Lung; Horng, Jeng-Haur; Chang-Liao, Kuei-Shu; Jeng, Jin-Tsong; Tsai, Hung-Yang

    2010-10-01

    Charge trapping and related current-conduction mechanisms in metal-oxide-semiconductor (MOS) capacitors with La xTa y dual-doped HfON dielectrics have been investigated under various post-deposition annealing (PDA). The results indicate that by La xTa y incorporation into HfON dielectric enhances electrical and reliability characteristics, including equivalent-oxide-thickness (EOT), stress-induced leakage current (SILC), and trap energy level. The mechanisms related to larger positive charge generation in the gate dielectric bulk can be attributed to La xTa y dual-doped HfON dielectric. The results of C- V measurement indicate that more negative charges are induced with increasing PDA temperature for the La xTa y dual-doped HfON dielectric. The charge current transport mechanisms through various dielectrics have been analyzed with current-voltage ( I- V) measurements under various temperatures. The current-conduction mechanisms of HfLaTaON dielectric at the low-, medium-, and high-electrical fields were dominated by Schottky emission (SE), Frenkel-Poole emission (F-P), and Fowler-Nordheim (F-N), respectively. A low trap energy level ( Φ trap) involved in Frenkel-Pool conduction in an HfLaTaON dielectric was estimated to be around 0.142 eV. Although a larger amount of positive charges generated in the HfLaTaON dielectric was obtained, the Φ trap of these positive charges in the HfLaTaON dielectric are shallow compared with HfON dielectric.

  16. Half-Heusler (TiZrHf)NiSn Unileg Module with High Powder Density.

    PubMed

    Populoh, Sascha; Brunko, Oliver C; Gałązka, Krzysztof; Xie, Wenjie; Weidenkaff, Anke

    2013-03-27

    (TiZrHf)NiSn half-Heusler compounds were prepared by arc melting and their thermoelectric properties characterized in the temperature range between 325 K and 857 K, resulting in a Figure of Merit ZT ≈ 0.45. Furthermore, the prepared samples were used to construct a unileg module. This module was characterized in a homemade thermoelectric module measurement stand and yielded 275 mW/cm² and a maximum volumetric power density of 700 mW/cm³. This was reached using normal silver paint as a contacting material; from an improved contacting, much higher power yields are to be expected.

  17. Restorative effect of oxygen annealing on device performance in HfIZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2015-03-01

    Metal-oxide based thin-film transistors (oxide-TFTs) are very promising for use in next generation electronics such as transparent displays requiring high switching and driving performance. In this study, we demonstrate an optimized process to secure excellent device performance with a favorable shift of the threshold voltage toward 0V in amorphous hafnium-indium-zinc-oxide (a-HfIZO) TFTs by using post-treatment with oxygen annealing. This enhancement results from the improved interfacial characteristics between gate dielectric and semiconductor layers due to the reduction in the density of interfacial states related to oxygen vacancies afforded by oxygen annealing. The device statistics confirm the improvement in the device-to-device and run-to-run uniformity. We also report on the photo-induced stability in such oxide-TFTs against long-term UV irradiation, which is significant for transparent displays.

  18. Capacitorless one-transistor dynamic random-access memory based on asymmetric double-gate Ge/GaAs-heterojunction tunneling field-effect transistor with n-doped boosting layer and drain-underlap structure

    NASA Astrophysics Data System (ADS)

    Yoon, Young Jun; Seo, Jae Hwa; Kang, In Man

    2018-04-01

    In this work, we present a capacitorless one-transistor dynamic random-access memory (1T-DRAM) based on an asymmetric double-gate Ge/GaAs-heterojunction tunneling field-effect transistor (TFET) for DRAM applications. The n-doped boosting layer and gate2 drain-underlap structure is employed in the device to obtain an excellent 1T-DRAM performance. The n-doped layer inserted between the source and channel regions improves the sensing margin because of a high rate of increase in the band-to-band tunneling (BTBT) probability. Furthermore, because the gate2 drain-underlap structure reduces the recombination rate that occurs between the gate2 and drain regions, a device with a gate2 drain-underlap length (L G2_D-underlap) of 10 nm exhibited a longer retention performance. As a result, by applying the n-doped layer and gate2 drain-underlap structure, the proposed device exhibited not only a high sensing margin of 1.11 µA/µm but also a long retention time of greater than 100 ms at a temperature of 358 K (85 °C).

  19. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    NASA Astrophysics Data System (ADS)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  20. Strong flux pinning at 4.2 K in SmBa2Cu3O y coated conductors with BaHfO3 nanorods controlled by low growth temperature

    NASA Astrophysics Data System (ADS)

    Miura, S.; Tsuchiya, Y.; Yoshida, Y.; Ichino, Y.; Awaji, S.; Matsumoto, K.; Ibi, A.; Izumi, T.

    2017-08-01

    In order to apply REBa2Cu3O y (REBCO, RE = rare earth elements or Y) coated conductors in high magnetic field, coil-based applications, the isotropic improvement of their critical current performance with respect to the directions of the magnetic field under these operating conditions is required. Most applications operate at temperatures lower than 50 K and magnetic fields over 2 T. In this study, the improvement of critical current density (J c) performance for various applied magnetic field directions was achieved by controlling the nanostructure of the BaHfO3 (BHO)-doped SmBa2Cu3O y (SmBCO) films on metallic substrates. The corresponding minimum J c value of the films at 40 K under an applied 3 T field was 5.2 MA cm-2, which is over ten times higher than that of a fully optimized Nb-Ti wire at 4.2 K. At 4.2 K, under a 17.5 T field, a flux pinning force density of 1.4 TN m-3 for B//c was realized; this value is among the highest values reported for REBCO films to date. More importantly, the F p for B//c corresponds to the minimum value for various applied magnetic field directions. We investigated the dominant flux pinning centers of films at 4.2 K using the anisotropic scaling approach based on the effective mass model. The dominant flux pinning centers are random pinning centers at 4.2 K, i.e., a high pinning performance was achieved by the high number density of random pins in the matrix of the BHO-doped SmBCO films.

  1. Gating of high-mobility InAs metamorphic heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shabani, J.; McFadden, A. P.; Shojaei, B.

    We investigate the performance of gate-defined devices fabricated on high mobility InAs metamorphic heterostructures. We find that heterostructures capped with In{sub 0.75}Ga{sub 0.25}As often show signs of parallel conduction due to proximity of their surface Fermi level to the conduction band minimum. Here, we introduce a technique that can be used to estimate the density of this surface charge that involves cool-downs from room temperature under gate bias. We have been able to remove the parallel conduction under high positive bias, but achieving full depletion has proven difficult. We find that by using In{sub 0.75}Al{sub 0.25}As as the barrier withoutmore » an In{sub 0.75}Ga{sub 0.25}As capping, a drastic reduction in parallel conduction can be achieved. Our studies show that this does not change the transport properties of the quantum well significantly. We achieved full depletion in InAlAs capped heterostructures with non-hysteretic gating response suitable for fabrication of gate-defined mesoscopic devices.« less

  2. Graphene/Pentacene Barristor with Ion-Gel Gate Dielectric: Flexible Ambipolar Transistor with High Mobility and On/Off Ratio.

    PubMed

    Oh, Gwangtaek; Kim, Jin-Soo; Jeon, Ji Hoon; Won, EunA; Son, Jong Wan; Lee, Duk Hyun; Kim, Cheol Kyeom; Jang, Jingon; Lee, Takhee; Park, Bae Ho

    2015-07-28

    High-quality channel layer is required for next-generation flexible electronic devices. Graphene is a good candidate due to its high carrier mobility and unique ambipolar transport characteristics but typically shows a low on/off ratio caused by gapless band structure. Popularly investigated organic semiconductors, such as pentacene, suffer from poor carrier mobility. Here, we propose a graphene/pentacene channel layer with high-k ion-gel gate dielectric. The graphene/pentacene device shows both high on/off ratio and carrier mobility as well as excellent mechanical flexibility. Most importantly, it reveals ambipolar behaviors and related negative differential resistance, which are controlled by external bias. Therefore, our graphene/pentacene barristor with ion-gel gate dielectric can offer various flexible device applications with high performances.

  3. Gating current studies reveal both intra- and extracellular cation modulation of K+ channel deactivation

    PubMed Central

    Wang, Zhuren; Zhang, Xue; Fedida, David

    1999-01-01

    The presence of permeant ions can modulate the rate of gating charge return in wild-type human heart K+ (hKv1.5) channels. Here we employ gating current measurements in a non-conducting mutant, W472F, of the hKv1.5 channel to investigate how different cations can modulate charge return and whether the actions can be specifically localized at the internal as well as the external mouth of the channel pore. Intracellular cations were effective at accelerating charge return in the sequence Cs+ > Rb+ > K+ > Na+ > NMG+. Extracellular cations accelerated charge return with the selectivity sequence Cs+ > Rb+ > Na+ = NMG+. Intracellular and extracellular cation actions were of relatively low affinity. The Kd for preventing slowing of the time constant of the off-gating current decay (τoff) was 20.2 mM for intracellular Cs+ (Csi+) and 358 mM for extracellular Cs+ (Cso+). Both intracellular and extracellular cations can regulate the rate of charge return during deactivation of hKv1.5, but intracellular cations are more effective. We suggest that ion crystal radius is an important determinant of this action, with larger ions preventing slowing more effectively. Important parallels exist with cation-dependent modulation of slow inactivation of ionic currents in this channel. However, further experiments are required to understand the exact relationship between acceleration of charge return and the slowing of inactivation of ionic currents by cations. PMID:10050001

  4. Back-gated graphene anode for more efficient thermionic energy converters

    DOE PAGES

    Yuan, Hongyuan; Riley, Daniel C.; Shen, Zhi-Xun; ...

    2016-12-15

    Thermionic energy converters (TECs) are a direct heat-to-electricity conversion technology with great potential for high efficiency and scalability. However, space charge barrier in the inter-electrode gap and high anode work function are major obstacles toward realizing high efficiency. Here, we demonstrate for the first time a prototype TEC using a back-gated graphene anode, a barium dispenser cathode, and a controllable inter-electrode gap as small as 17 µm, which simultaneously addresses these two obstacles. This leads to an electronic conversion efficiency of 9.8% at cathode temperature of 1000 °C, the highest reported by far. We first demonstrate that electrostatic gating ofmore » graphene by a 20 nm HfO 2 dielectric layer changes the graphene anode work function by 0.63 eV, as observed from the current-voltage characteristics of the TEC. Next, we show that the efficiency increases by a factor of 30.6 by reducing the gap from 1 mm down to 17 µm, after a mono-layer of Ba is deposited on graphene by the dispenser cathode. Lastlu, we show that electrostatic gating of graphene further reduces the graphene work function from 1.85 to 1.69 eV, leading to an additional 67% enhancement in TEC efficiency. Note that the overall efficiency using the back-gated graphene anode is 6.7 times higher compared with that of a TEC with a tungsten anode and the same inter-electrode gap.« less

  5. Back-gated graphene anode for more efficient thermionic energy converters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuan, Hongyuan; Riley, Daniel C.; Shen, Zhi-Xun

    Thermionic energy converters (TECs) are a direct heat-to-electricity conversion technology with great potential for high efficiency and scalability. However, space charge barrier in the inter-electrode gap and high anode work function are major obstacles toward realizing high efficiency. Here, we demonstrate for the first time a prototype TEC using a back-gated graphene anode, a barium dispenser cathode, and a controllable inter-electrode gap as small as 17 µm, which simultaneously addresses these two obstacles. This leads to an electronic conversion efficiency of 9.8% at cathode temperature of 1000 °C, the highest reported by far. We first demonstrate that electrostatic gating ofmore » graphene by a 20 nm HfO 2 dielectric layer changes the graphene anode work function by 0.63 eV, as observed from the current-voltage characteristics of the TEC. Next, we show that the efficiency increases by a factor of 30.6 by reducing the gap from 1 mm down to 17 µm, after a mono-layer of Ba is deposited on graphene by the dispenser cathode. Lastlu, we show that electrostatic gating of graphene further reduces the graphene work function from 1.85 to 1.69 eV, leading to an additional 67% enhancement in TEC efficiency. Note that the overall efficiency using the back-gated graphene anode is 6.7 times higher compared with that of a TEC with a tungsten anode and the same inter-electrode gap.« less

  6. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system

    NASA Astrophysics Data System (ADS)

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K.; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D.; Konings, Rudy J. M.; Reece, Michael J.; Lee, William E.

    2016-12-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta1-xHfxC, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041-4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC0.98, (4232 ± 84) K, is the highest recorded for any compound studied until now.

  7. Investigating the highest melting temperature materials: A laser melting study of the TaC-HfC system

    PubMed Central

    Cedillos-Barraza, Omar; Manara, Dario; Boboridis, K.; Watkins, Tyson; Grasso, Salvatore; Jayaseelan, Daniel D.; Konings, Rudy J. M.; Reece, Michael J.; Lee, William E.

    2016-01-01

    TaC, HfC and their solid solutions are promising candidate materials for thermal protection structures in hypersonic vehicles because of their very high melting temperatures (>4000 K) among other properties. The melting temperatures of slightly hypostoichiometric TaC, HfC and three solid solution compositions (Ta1−xHfxC, with x = 0.8, 0.5 and 0.2) have long been identified as the highest known. In the current research, they were reassessed, for the first time in the last fifty years, using a laser heating technique. They were found to melt in the range of 4041–4232 K, with HfC having the highest and TaC the lowest. Spectral radiance of the hot samples was measured in situ, showing that the optical emissivity of these compounds plays a fundamental role in their heat balance. Independently, the results show that the melting point for HfC0.98, (4232 ± 84) K, is the highest recorded for any compound studied until now. PMID:27905481

  8. Efficient experimental design of high-fidelity three-qubit quantum gates via genetic programming

    NASA Astrophysics Data System (ADS)

    Devra, Amit; Prabhu, Prithviraj; Singh, Harpreet; Arvind; Dorai, Kavita

    2018-03-01

    We have designed efficient quantum circuits for the three-qubit Toffoli (controlled-controlled-NOT) and the Fredkin (controlled-SWAP) gate, optimized via genetic programming methods. The gates thus obtained were experimentally implemented on a three-qubit NMR quantum information processor, with a high fidelity. Toffoli and Fredkin gates in conjunction with the single-qubit Hadamard gates form a universal gate set for quantum computing and are an essential component of several quantum algorithms. Genetic algorithms are stochastic search algorithms based on the logic of natural selection and biological genetics and have been widely used for quantum information processing applications. We devised a new selection mechanism within the genetic algorithm framework to select individuals from a population. We call this mechanism the "Luck-Choose" mechanism and were able to achieve faster convergence to a solution using this mechanism, as compared to existing selection mechanisms. The optimization was performed under the constraint that the experimentally implemented pulses are of short duration and can be implemented with high fidelity. We demonstrate the advantage of our pulse sequences by comparing our results with existing experimental schemes and other numerical optimization methods.

  9. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  10. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  11. Stem thrust prediction model for W-K-M double wedge parallel expanding gate valves

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eldiwany, B.; Alvarez, P.D.; Wolfe, K.

    1996-12-01

    An analytical model for determining the required valve stem thrust during opening and closing strokes of W-K-M parallel expanding gate valves was developed as part of the EPRI Motor-Operated Valve Performance Prediction Methodology (EPRI MOV PPM) Program. The model was validated against measured stem thrust data obtained from in-situ testing of three W-K-M valves. Model predictions show favorable, bounding agreement with the measured data for valves with Stellite 6 hardfacing on the disks and seat rings for water flow in the preferred flow direction (gate downstream). The maximum required thrust to open and to close the valve (excluding wedging andmore » unwedging forces) occurs at a slightly open position and not at the fully closed position. In the nonpreferred flow direction, the model shows that premature wedging can occur during {Delta}P closure strokes even when the coefficients of friction at different sliding surfaces are within the typical range. This paper summarizes the model description and comparison against test data.« less

  12. Realization of allowable qeneralized quantum gates

    NASA Astrophysics Data System (ADS)

    Zhang, Ye; Cao, Huaixin; Li, Li

    2010-10-01

    The most general duality gates were introduced by Long, Liu and Wang and named allowable generalized quantum gates (AGQGs, for short). By definition, an allowable generalized quantum gate has the form of mathcal{U} = ∑{/k=0 d-1} c k U k , where U k ’s are unitary operators on a Hilbert space H and the coefficients c k ’s are complex numbers with |∑{/k=0 d-1} c k | ⩽ 1 and | c k | ⩽ 1 for all k = 0, 1, ..., d - 1. In this paper, we prove that an AGQG mathcal{U} = ∑{/k=0 d-1} c k U k is realizable, i.e. there are two d by d unitary matrices W and V such that c k = W 0 k V k0 (0 ⩽ k ⩽ d - 1) if and only if ∑{/k=0 d-1} | c k | ⩽ 1, in that case, the matrices W and V are constructed.

  13. Highly efficient hyperentanglement concentration with two steps assisted by quantum swap gates.

    PubMed

    Ren, Bao-Cang; Long, Gui Lu

    2015-11-10

    We present a two-step hyperentanglement concentration protocol (hyper-ECP) for polarization-spatial hyperentangled Bell states based on the high-capacity character of hyperentanglement resorting to the swap gates, which is used to obtain maximally hyperentangled states from partially hyperentangled pure states in long-distance quantum communication. The swap gate, which is constructed with the giant optical circular birefringence (GOCB) of a diamond nitrogen-vacancy (NV) center embedded in a photonic crystal cavity, can be used to transfer the information in one degree of freedom (DOF) between photon systems. By transferring the useful information between hyperentangled photon pairs, more photon pairs in maximally hyperentangled state can be obtained in our hyper-ECP, and the success probability of the hyper-ECP is greatly improved. Moreover, we show that the high-fidelity quantum gate operations can be achieved by mapping the infidelities to heralded losses even in the weak coupling regime.

  14. Highly efficient hyperentanglement concentration with two steps assisted by quantum swap gates

    PubMed Central

    Ren, Bao-Cang; Long, Gui Lu

    2015-01-01

    We present a two-step hyperentanglement concentration protocol (hyper-ECP) for polarization-spatial hyperentangled Bell states based on the high-capacity character of hyperentanglement resorting to the swap gates, which is used to obtain maximally hyperentangled states from partially hyperentangled pure states in long-distance quantum communication. The swap gate, which is constructed with the giant optical circular birefringence (GOCB) of a diamond nitrogen-vacancy (NV) center embedded in a photonic crystal cavity, can be used to transfer the information in one degree of freedom (DOF) between photon systems. By transferring the useful information between hyperentangled photon pairs, more photon pairs in maximally hyperentangled state can be obtained in our hyper-ECP, and the success probability of the hyper-ECP is greatly improved. Moreover, we show that the high-fidelity quantum gate operations can be achieved by mapping the infidelities to heralded losses even in the weak coupling regime. PMID:26552898

  15. Resolving Ambiguities in the LF/HF Ratio: LF-HF Scatter Plots for the Categorization of Mental and Physical Stress from HRV.

    PubMed

    von Rosenberg, Wilhelm; Chanwimalueang, Theerasak; Adjei, Tricia; Jaffer, Usman; Goverdovsky, Valentin; Mandic, Danilo P

    2017-01-01

    It is generally accepted that the activities of the autonomic nervous system (ANS), which consists of the sympathetic (SNS) and parasympathetic nervous systems (PNS), are reflected in the low- (LF) and high-frequency (HF) bands in heart rate variability (HRV)-while, not without some controversy, the ratio of the powers in those frequency bands, the so called LF-HF ratio (LF/HF), has been used to quantify the degree of sympathovagal balance. Indeed, recent studies demonstrate that, in general: (i) sympathovagal balance cannot be accurately measured via the ratio of the LF- and HF- power bands; and (ii) the correspondence between the LF/HF ratio and the psychological and physiological state of a person is not unique. Since the standard LF/HF ratio provides only a single degree of freedom for the analysis of this 2D phenomenon, we propose a joint treatment of the LF and HF powers in HRV within a two-dimensional representation framework, thus providing the required degrees of freedom. By virtue of the proposed 2D representation, the restrictive assumption of the linear dependence between the activity of the autonomic nervous system (ANS) and the LF-HF frequency band powers is demonstrated to become unnecessary. The proposed analysis framework also opens up completely new possibilities for a more comprehensive and rigorous examination of HRV in relation to physical and mental states of an individual, and makes possible the categorization of different stress states based on HRV. In addition, based on instantaneous amplitudes of Hilbert-transformed LF- and HF-bands, a novel approach to estimate the markers of stress in HRV is proposed and is shown to improve the robustness to artifacts and irregularities, critical issues in real-world recordings. The proposed approach for resolving the ambiguities in the standard LF/HF-ratio analyses is verified over a number of real-world stress-invoking scenarios.

  16. Using in-process measurements of open-gate structures to evaluate threshold voltage of normally-off GaN-based high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hou, Bin; Ma, Xiao-Hua, E-mail: xhma@xidian.edu.cn, E-mail: yhao@xidian.edu.cn; Chen, Wei-Wei

    The parameters of open-gate structures treated with different etching time were monitored during the gate recess process, and their impacts on the threshold voltage (V{sub th}) of final fabricated AlGaN/GaN high electron mobility transistors (HEMTs) based on open-gate structures were discussed in this paper. It is found that V{sub th} can exceed 0 V when channel resistance in the recessed region (R{sub on-open}) increases over ∼275 Ω mm, maximum current (I{sub Dmax}) decreases below ∼29 mA/mm, or recessed barrier thickness (t{sub RB}) is below ∼7.5 nm. In addition, t{sub RB} obtained by atomic force microscopy measurements and C-V measurements are also compared. Finally,more » theoretical common criteria based on the experimental results of this work for t{sub RB} and R{sub on-open} were established to evaluate the V{sub th} of a regular normally-off AlGaN/GaN HEMTs. The results indicate that these parameters of open-gate structure can be utilized to achieve normally-off HEMTs with controllable V{sub th}.« less

  17. Distribution of electron traps in SiO2/HfO2 nMOSFET

    NASA Astrophysics Data System (ADS)

    Xiao-Hui, Hou; Xue-Feng, Zheng; Ao-Chen, Wang; Ying-Zhe, Wang; Hao-Yu, Wen; Zhi-Jing, Liu; Xiao-Wei, Li; Yin-He, Wu

    2016-05-01

    In this paper, the principle of discharge-based pulsed I-V technique is introduced. By using it, the energy and spatial distributions of electron traps within the 4-nm HfO2 layer have been extracted. Two peaks are observed, which are located at ΔE ˜ -1.0 eV and -1.43 eV, respectively. It is found that the former one is close to the SiO2/HfO2 interface and the latter one is close to the gate electrode. It is also observed that the maximum discharge time has little effect on the energy distribution. Finally, the impact of electrical stress on the HfO2 layer is also studied. During stress, no new electron traps and interface states are generated. Meanwhile, the electrical stress also has no impact on the energy and spatial distribution of as-grown traps. The results provide valuable information for theoretical modeling establishment, material assessment, and reliability improvement for advanced semiconductor devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61334002, 61106106, and 61474091), the New Experiment Development Funds for Xidian University, China (Grant No. SY1434), and the Scientific Research Foundation for the Returned Overseas Chinese Scholars, State Education Ministry, China (Grant No. JY0600132501).

  18. Nearly deterministic quantum Fredkin gate based on weak cross-Kerr nonlinearity

    NASA Astrophysics Data System (ADS)

    Wu, Yun-xiang; Zhu, Chang-hua; Pei, Chang-xing

    2016-09-01

    A scheme of an optical quantum Fredkin gate is presented based on weak cross-Kerr nonlinearity. By an auxiliary coherent state with the cross-Kerr nonlinearity effect, photons can interact with each other indirectly, and a non-demolition measurement for photons can be implemented. Combined with the homodyne detection, classical feedforward, polarization beam splitters and Pauli-X operations, a controlled-path gate is constructed. Furthermore, a quantum Fredkin gate is built based on the controlled-path gate. The proposed Fredkin gate is simple in structure and feasible by current experimental technology.

  19. Low-Frequency Waves in HF Heating of the Ionosphere

    NASA Astrophysics Data System (ADS)

    Sharma, A. S.; Eliasson, B.; Milikh, G. M.; Najmi, A.; Papadopoulos, K.; Shao, X.; Vartanyan, A.

    2016-02-01

    Ionospheric heating experiments have enabled an exploration of the ionosphere as a large-scale natural laboratory for the study of many plasma processes. These experiments inject high-frequency (HF) radio waves using high-power transmitters and an array of ground- and space-based diagnostics. This chapter discusses the excitation and propagation of low-frequency waves in HF heating of the ionosphere. The theoretical aspects and the associated models and simulations, and the results from experiments, mostly from the HAARP facility, are presented together to provide a comprehensive interpretation of the relevant plasma processes. The chapter presents the plasma model of the ionosphere for describing the physical processes during HF heating, the numerical code, and the simulations of the excitation of low-frequency waves by HF heating. It then gives the simulations of the high-latitude ionosphere and mid-latitude ionosphere. The chapter also briefly discusses the role of kinetic processes associated with wave generation.

  20. Gated high speed optical detector

    NASA Technical Reports Server (NTRS)

    Green, S. I.; Carson, L. M.; Neal, G. W.

    1973-01-01

    The design, fabrication, and test of two gated, high speed optical detectors for use in high speed digital laser communication links are discussed. The optical detectors used a dynamic crossed field photomultiplier and electronics including dc bias and RF drive circuits, automatic remote synchronization circuits, automatic gain control circuits, and threshold detection circuits. The equipment is used to detect binary encoded signals from a mode locked neodynium laser.

  1. Highly Efficient Gating of Electrically Actuated Nanochannels for Pulsatile Drug Delivery Stemming from a Reversible Wettability Switch.

    PubMed

    Zhang, Qianqian; Kang, Jianxin; Xie, Zhiqiang; Diao, Xungang; Liu, Zhaoyue; Zhai, Jin

    2018-01-01

    Many ion channels in the cell membrane are believed to function as gates that control the water and ion flow through the transitions between an inherent hydrophobic state and a stimuli-induced hydration state. The construction of nanofluidic gating systems with high gating efficiency and reversibility is inspired by this hydrophobic gating behavior. A kind of electrically actuated nanochannel is developed by integrating a polypyrrole (PPy) micro/nanoporous film doped with perfluorooctanesulfonate ions onto an anodic aluminum oxide nanoporous membrane. Stemming from the reversible wettability switch of the doped PPy film in response to the applied redox potentials, the nanochannels exhibit highly efficient and reversible gating behaviors. The optimized gating ratio is over 10 5 , which is an ultrahigh value when compared with that of the existing reversibly gated nanochannels with comparable pore diameters. Furthermore, the gating behavior of the electrically actuated nanochannels shows excellent repeatability and stability. Based on this highly efficient and reversible gating function, the electrically actuated nanochannels are further applied for drug delivery, which achieves the pulsatile release of two water-soluble drug models. The electrically actuated nanochannels may find potential applications in accurate and on-demand drug therapy. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Gating of a pH-Sensitive K2P Potassium Channel by an Electrostatic Effect of Basic Sensor Residues on the Selectivity Filter

    PubMed Central

    Zúñiga, Leandro; Márquez, Valeria; González-Nilo, Fernando D.; Chipot, Christophe; Cid, L. Pablo; Sepúlveda, Francisco V.; Niemeyer, María Isabel

    2011-01-01

    K+ channels share common selectivity characteristics but exhibit a wide diversity in how they are gated open. Leak K2P K+ channels TASK-2, TALK-1 and TALK-2 are gated open by extracellular alkalinization. The mechanism for this alkalinization-dependent gating has been proposed to be the neutralization of the side chain of a single arginine (lysine in TALK-2) residue near the pore of TASK-2, which occurs with the unusual pKa of 8.0. We now corroborate this hypothesis by transplanting the TASK-2 extracellular pH (pHo) sensor in the background of a pHo-insensitive TASK-3 channel, which leads to the restitution of pHo-gating. Using a concatenated channel approach, we also demonstrate that for TASK-2 to open, pHo sensors must be neutralized in each of the two subunits forming these dimeric channels with no apparent cross-talk between the sensors. These results are consistent with adaptive biasing force analysis of K+ permeation using a model selectivity filter in wild-type and mutated channels. The underlying free-energy profiles confirm that either a doubly or a singly charged pHo sensor is sufficient to abolish ion flow. Atomic detail of the associated mechanism reveals that, rather than a collapse of the pore, as proposed for other K2P channels gated at the selectivity filter, an increased height of the energetic barriers for ion translocation accounts for channel blockade at acid pHo. Our data, therefore, strongly suggest that a cycle of protonation/deprotonation of pHo-sensing arginine 224 side chain gates the TASK-2 channel by electrostatically tuning the conformational stability of its selectivity filter. PMID:21283586

  3. Comparison of lifetime-based methods for 2D phosphor thermometry in high-temperature environment

    NASA Astrophysics Data System (ADS)

    Peng, Di; Liu, Yingzheng; Zhao, Xiaofeng; Kim, Kyung Chun

    2016-09-01

    This paper discusses the currently available techniques for 2D phosphor thermometry, and compares the performance of two lifetime-based methods: high-speed imaging and the dual-gate. High-speed imaging resolves luminescent decay with a fast frame rate, and has become a popular method for phosphor thermometry in recent years. But it has disadvantages such as high equipment cost and long data processing time, and it would fail at sufficiently high temperature due to a low signal-to-noise ratio and short lifetime. The dual-gate method only requires two images on the decay curve and therefore greatly reduces cost in hardware and processing time. A dual-gate method for phosphor thermometry has been developed and compared with the high-speed imaging method through both calibration and a jet impingement experiment. Measurement uncertainty has been evaluated for a temperature range of 473-833 K. The effects of several key factors on uncertainty have been discussed, including the luminescent signal level, the decay lifetime and temperature sensitivity. The results show that both methods are valid for 2D temperature sensing within the given range. The high-speed imaging method shows less uncertainty at low temperatures where the signal level and the lifetime are both sufficient, but its performance is degraded at higher temperatures due to a rapidly reduced signal and lifetime. For T  >  750 K, the dual-gate method outperforms the high-speed imaging method thanks to its superiority in signal-to-noise ratio and temperature sensitivity. The dual-gate method has great potential for applications in high-temperature environments where the high-speed imaging method is not applicable.

  4. High-k dielectric Al2O3 nanowire and nanoplate field effect sensors for improved pH sensing

    PubMed Central

    Reddy, Bobby; Dorvel, Brian R.; Go, Jonghyun; Nair, Pradeep R.; Elibol, Oguz H.; Credo, Grace M.; Daniels, Jonathan S.; Chow, Edmond K. C.; Su, Xing; Varma, Madoo; Alam, Muhammad A.

    2011-01-01

    Over the last decade, field-effect transistors (FETs) with nanoscale dimensions have emerged as possible label-free biological and chemical sensors capable of highly sensitive detection of various entities and processes. While significant progress has been made towards improving their sensitivity, much is yet to be explored in the study of various critical parameters, such as the choice of a sensing dielectric, the choice of applied front and back gate biases, the design of the device dimensions, and many others. In this work, we present a process to fabricate nanowire and nanoplate FETs with Al2O3 gate dielectrics and we compare these devices with FETs with SiO2 gate dielectrics. The use of a high-k dielectric such as Al2O3 allows for the physical thickness of the gate dielectric to be thicker without losing sensitivity to charge, which then reduces leakage currents and results in devices that are highly robust in fluid. This optimized process results in devices stable for up to 8 h in fluidic environments. Using pH sensing as a benchmark, we show the importance of optimizing the device bias, particularly the back gate bias which modulates the effective channel thickness. We also demonstrate that devices with Al2O3 gate dielectrics exhibit superior sensitivity to pH when compared to devices with SiO2 gate dielectrics. Finally, we show that when the effective electrical silicon channel thickness is on the order of the Debye length, device response to pH is virtually independent of device width. These silicon FET sensors could become integral components of future silicon based Lab on Chip systems. PMID:21203849

  5. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    PubMed

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  6. Low-voltage organic transistors on plastic comprising high-dielectric constant gate insulators

    PubMed

    Dimitrakopoulos; Purushothaman; Kymissis; Callegari; Shaw

    1999-02-05

    The gate bias dependence of the field-effect mobility in pentacene-based insulated gate field-effect transistors (IGFETs) was interpreted on the basis of the interaction of charge carriers with localized trap levels in the band gap. This understanding was used to design and fabricate IGFETs with mobility of more than 0.3 square centimeter per volt per second and current modulation of 10(5), with the use of amorphous metal oxide gate insulators. These values were obtained at operating voltage ranges as low as 5 volts, which are much smaller than previously reported results. An all-room-temperature fabrication process sequence was used, which enabled the demonstration of high-performance organic IGFETs on transparent plastic substrates, at low operating voltages for organic devices.

  7. Shape memory behavior of single crystal and polycrystalline Ni-rich NiTiHf high temperature shape memory alloys

    NASA Astrophysics Data System (ADS)

    Saghaian, Sayed M.

    NiTiHf shape memory alloys have been receiving considerable attention for high temperature and high strength applications since they could have transformation temperatures above 100 °C, shape memory effect under high stress (above 500 MPa) and superelasticity at high temperatures. Moreover, their shape memory properties can be tailored by microstructural engineering. However, NiTiHf alloys have some drawbacks such as low ductility and high work hardening in stress induced martensite transformation region. In order to overcome these limitations, studies have been focused on microstructural engineering by aging, alloying and processing. Shape memory properties and microstructure of four Ni-rich NiTiHf alloys (Ni50.3Ti29.7Hf20, Ni50.7Ti 29.3Hf20, Ni51.2Ti28.8Hf20, and Ni52Ti28Hf20 (at. %)) were systematically characterized in the furnace cooled condition. H-phase precipitates were formed during furnace cooling in compositions with greater than 50.3Ni and the driving force for nucleation increased with Ni content. Alloy strength increased while recoverable strain decreased with increasing Ni content due to changes in precipitate characteristics. The effects of the heat treatments on the transformation characteristics and microstructure of the Ni-rich NiTiHf shape memory alloys have been investigated. Transformation temperatures are found to be highly annealing temperature dependent. Generation of nanosize precipitates (˜20 nm in size) after three hours aging at 450 °C and 550 °C improved the strength of the material, resulting in a near perfect dimensional stability under high stress levels (> 1500 MPa) with a work output of 20-30 J cm- 3. Superelastic behavior with 4% recoverable strain was demonstrated at low and high temperatures where stress could reach to a maximum value of more than 2 GPa after three hours aging at 450 and 550 °C for alloys with Ni great than 50.3 at. %. Shape memory properties of polycrystalline Ni50.3Ti29.7 Hf20 alloys were studied via

  8. Temperature dependence of vibrational relaxation in the HF, DF, HF-CO2, and DF-CO2 systems

    NASA Technical Reports Server (NTRS)

    Lucht, R. A.; Cool, T. A.

    1974-01-01

    The laser excited fluorescence method has been employed to determine rate constants for V to V, R and V to R, T relaxation HF (nu = 1) and DF(nu = 1) by CO2 over the temperature range from 295 to 670 K. The self-deactivation rates for HF(nu = 1) and DF(nu = 1) by ground state molecules and the rate of V to V, R transfer from HF(nu = 1) and DF(nu = 1) to the CO2 (00/0/1) state exhibit a marked decrease with increasing temperature. The results provide additional evidence for the conversion of the large vibrational energy defects of the present systems into rotational motion of the hydrogen halide under the influence of a sizable attractive intermolecular potential well.

  9. Hf thickness dependence of spin-orbit torques in Hf/CoFeB/MgO heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramaswamy, Rajagopalan; Qiu, Xuepeng; Dutta, Tanmay

    We have studied the spin-orbit torques in perpendicularly magnetized Hf/CoFeB/MgO system, by systematically varying the thickness of Hf underlayer. We have observed a sign change of effective fields between Hf thicknesses of 1.75 and 2 nm, indicating that competing mechanisms, such as the Rashba and spin Hall effects, contribute to spin-orbit torques in our system. For larger Hf thicknesses (>2 nm), both the components of spin-orbit torques arise predominantly from the bulk spin Hall effect. We have also confirmed these results using spin-orbit torque induced magnetization switching measurements. Our results could be helpful in designing Hf based SOT devices.

  10. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    NASA Astrophysics Data System (ADS)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  11. Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis

    NASA Astrophysics Data System (ADS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-04-01

    The electrical dipole moment at an ultrathin high-k (HfO2, Al2O3, TiO2, Y2O3, and SrO)/SiO2 interface and its correlation with the oxygen density ratio at the interface have been directly evaluated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. The electrical dipole moment at the high-k/SiO2 interface has been measured from the change in the cut-off energy of secondary photoelectrons. Moreover, the oxygen density ratio at the interface between high-k and SiO2 has been estimated from cation core-line signals, such as Hf 4f, Al 2p, Y 3d, Ti 2p, Sr 3d, and Si 2p. We have experimentally clarified the relationship between the measured electrical dipole moment and the oxygen density ratio at the high-k/SiO2 interface.

  12. Improving a high-efficiency, gated spectrometer for x-ray Thomson scattering experiments at the National Ignition Facility.

    PubMed

    Döppner, T; Kraus, D; Neumayer, P; Bachmann, B; Emig, J; Falcone, R W; Fletcher, L B; Hardy, M; Kalantar, D H; Kritcher, A L; Landen, O L; Ma, T; Saunders, A M; Wood, R D

    2016-11-01

    We are developing x-ray Thomson scattering for applications in implosion experiments at the National Ignition Facility. In particular we have designed and fielded MACS, a high-efficiency, gated x-ray spectrometer at 7.5-10 keV [T. Döppner et al., Rev. Sci. Instrum. 85, 11D617 (2014)]. Here we report on two new Bragg crystals based on Highly Oriented Pyrolytic Graphite (HOPG), a flat crystal and a dual-section cylindrically curved crystal. We have performed in situ calibration measurements using a brass foil target, and we used the flat HOPG crystal to measure Mo K-shell emission at 18 keV in 2nd order diffraction. Such high photon energy line emission will be required to penetrate and probe ultra-high-density plasmas or plasmas of mid-Z elements.

  13. Half-Heusler (TiZrHf)NiSn Unileg Module with High Powder Density

    PubMed Central

    Populoh, Sascha; Brunko, Oliver C.; Gałązka, Krzysztof; Xie, Wenjie; Weidenkaff, Anke

    2013-01-01

    (TiZrHf)NiSn half-Heusler compounds were prepared by arc melting and their thermoelectric properties characterized in the temperature range between 325 K and 857 K, resulting in a Figure of Merit ZT ≈ 0.45. Furthermore, the prepared samples were used to construct a unileg module. This module was characterized in a homemade thermoelectric module measurement stand and yielded 275 mW/cm2 and a maximum volumetric power density of 700 mW/cm3. This was reached using normal silver paint as a contacting material; from an improved contacting, much higher power yields are to be expected. PMID:28809212

  14. Respiratory gating based on internal electromagnetic motion monitoring during stereotactic liver radiation therapy: First results.

    PubMed

    Poulsen, Per Rugaard; Worm, Esben Schjødt; Hansen, Rune; Larsen, Lars Peter; Grau, Cai; Høyer, Morten

    2015-01-01

    Intrafraction motion may compromise the target dose in stereotactic body radiation therapy (SBRT) of tumors in the liver. Respiratory gating can improve the treatment delivery, but gating based on an external surrogate signal may be inaccurate. This is the first paper reporting on respiratory gating based on internal electromagnetic monitoring during liver SBRT. Two patients with solitary liver metastases were treated with respiratory-gated SBRT guided by three implanted electromagnetic transponders. The treatment was delivered in end-exhale with beam-on when the centroid of the three transponders deviated less than 3 mm [left-right (LR) and anterior-posterior (AP) directions] and 4mm [cranio-caudal (CC)] from the planned position. For each treatment fraction, log files were used to determine the transponder motion during beam-on in the actual gated treatments and in simulated treatments without gating. The motion was used to reconstruct the dose to the clinical target volume (CTV) with and without gating. The reduction in D95 (minimum dose to 95% of the CTV) relative to the plan was calculated for both treatment courses. With gating the maximum course mean (standard deviation) geometrical error in any direction was 1.2 mm (1.8 mm). Without gating the course mean error would mainly increase for Patient 1 [to -2.8 mm (1.6 mm) (LR), 7.1 mm (5.8 mm) (CC), -2.6 mm (2.8mm) (AP)] due to a large systematic cranial baseline drift at each fraction. The errors without gating increased only slightly for Patient 2. The reduction in CTV D95 was 0.5% (gating) and 12.1% (non-gating) for Patient 1 and 0.3% (gating) and 1.7% (non-gating) for Patient 2. The mean duty cycle was 55%. Respiratory gating based on internal electromagnetic motion monitoring was performed for two liver SBRT patients. The gating added robustness to the dose delivery and ensured a high CTV dose even in the presence of large intrafraction motion.

  15. Enhancement mode GaN-based multiple-submicron channel array gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Wang, Chun-Chi

    2018-04-01

    To study the function of channel width in multiple-submicron channel array, we fabricated the enhancement mode GaN-based gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors (MOS-HEMTs) with a channel width of 450 nm and 195 nm, respectively. In view of the enhanced gate controllability in a narrower fin-channel structure, the transconductance was improved from 115 mS/mm to 151 mS/mm, the unit gain cutoff frequency was improved from 6.2 GHz to 6.8 GHz, and the maximum oscillation frequency was improved from 12.1 GHz to 13.1 GHz of the devices with a channel width of 195 nm, compared with the devices with a channel width of 450 nm.

  16. Memory and learning behaviors mimicked in nanogranular SiO2-based proton conductor gated oxide-based synaptic transistors

    NASA Astrophysics Data System (ADS)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2013-10-01

    In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements. Electronic supplementary information (ESI) available. See DOI: 10.1039/c3nr02987e

  17. Architecture and applications of a high resolution gated SPAD image sensor

    PubMed Central

    Burri, Samuel; Maruyama, Yuki; Michalet, Xavier; Regazzoni, Francesco; Bruschini, Claudio; Charbon, Edoardo

    2014-01-01

    We present the architecture and three applications of the largest resolution image sensor based on single-photon avalanche diodes (SPADs) published to date. The sensor, fabricated in a high-voltage CMOS process, has a resolution of 512 × 128 pixels and a pitch of 24 μm. The fill-factor of 5% can be increased to 30% with the use of microlenses. For precise control of the exposure and for time-resolved imaging, we use fast global gating signals to define exposure windows as small as 4 ns. The uniformity of the gate edges location is ∼140 ps (FWHM) over the whole array, while in-pixel digital counting enables frame rates as high as 156 kfps. Currently, our camera is used as a highly sensitive sensor with high temporal resolution, for applications ranging from fluorescence lifetime measurements to fluorescence correlation spectroscopy and generation of true random numbers. PMID:25090572

  18. Terahertz modulation based on surface plasmon resonance by self-gated graphene

    NASA Astrophysics Data System (ADS)

    Qian, Zhenhai; Yang, Dongxiao; Wang, Wei

    2018-05-01

    We theoretically and numerically investigate the extraordinary optical transmission through a terahertz metamaterial composed of metallic ring aperture arrays. The physical mechanism of different transmission peaks is elucidated to be magnetic polaritons or propagation surface plasmons with the help of surface current and electromagnetic field distributions at respective resonance frequencies. Then, we propose a high performance terahertz modulator based on the unique PSP resonance and combined with the metallic ring aperture arrays and a self-gated parallel-plate graphene capacitor. Because, to date, few researches have exhibited gate-controlled graphene modulation in terahertz region with low insertion losses, high modulation depth and low control voltage at room temperature. Here, we propose a 96% amplitude modulation with 0.7 dB insertion losses and ∼5.5 V gate voltage. Besides, we further study the absorption spectra of the modulator. When the transmission of modulator is very low, a 91% absorption can be achieved for avoiding damaging the source devices.

  19. The effect of the bottom electrode on ferroelectric tunnel junctions based on CMOS-compatible HfO2.

    PubMed

    Goh, Youngin; Jeon, Sanghun

    2018-08-17

    Ferroelectric tunnel junctions (FTJs) have attracted research interest as promising candidates for non-destructive readout non-volatile memories. Unlike conventional perovskite FTJs, hafnia FTJs offer many advantages in terms of scalability and CMOS compatibility. However, so far, hafnia FTJs have shown poor endurance and relatively low resistance ratios and these have remained issues for real device applications. In our study, we fabricated HfZrO(HZO)-based FTJs with various electrodes (TiN, Si, SiGe, Ge) and improved the memory performance of HZO-based FTJs by using the asymmetry of the charge screening lengths of the electrodes. For the HZO-based FTJ with a Ge substrate, the effective barrier afforded by this FTJ can be electrically modulated because of the space charge-limited region formed at the ferroelectric/semiconductor interface. The optimized HZO-based FTJ with a Ge bottom electrode presents excellent ferroelectricity with a high remnant polarization of 18 μC cm -2 , high tunneling electroresistance value of 30, good retention at 85 °C and high endurance of 10 7 . The results demonstrate the great potential of HfO 2 -based FTJs in non-destructive readout non-volatile memories.

  20. Electrolyte-gated transistors based on conducting polymer nanowire junction arrays.

    PubMed

    Alam, Maksudul M; Wang, Jun; Guo, Yaoyao; Lee, Stephanie P; Tseng, Hsian-Rong

    2005-07-07

    In this study, we describe the electrolyte gating and doping effects of transistors based on conducting polymer nanowire electrode junction arrays in buffered aqueous media. Conducting polymer nanowires including polyaniline, polypyrrole, and poly(ethylenedioxythiophene) were investigated. In the presence of a positive gate bias, the device exhibits a large on/off current ratio of 978 for polyaniline nanowire-based transistors; these values vary according to the acidity of the gate medium. We attribute these efficient electrolyte gating and doping effects to the electrochemically fabricated nanostructures of conducting polymer nanowires. This study demonstrates that two-terminal devices can be easily converted into three-terminal transistors by simply immersing the device into an electrolyte solution along with a gate electrode. Here, the field-induced modulation can be applied for signal amplification to enhance the device performance.

  1. High frequency based detection of TIDs in the Net-TIDE project: challenges and opportunities for long HF paths

    NASA Astrophysics Data System (ADS)

    Verhulst, Tobias

    2016-07-01

    Travelling Ionospheric Disturbances (TIDs) are the ionospheric signatures of atmospheric gravity waves. TIDs carry along information about their sources of excitations which may be either natural (energy input from the auroral region, earthquakes/tsunamis, hurricanes, solar terminator, and others) or artificial (ionospheric modification experiments, nuclear explosions, and other powerful blasts like industrial accidents). TIDs contribute to the energy and momentum exchange between different regions of the ionosphere, especially during geomagnetic storms. Their tracking is important because the TIDs affect all services that rely on predictable ionospheric radio wave propagation. Although a number of methods have been proposed to measure TID characteristics, none is able to operate in real time for monitoring purposes. In the framework of a new NATO Science for Peace and Security multi-year project (2014--2017) we are exploiting for the first time the European network of high precision ionospheric DPS4D sounders and the related software to directly identify TIDs over Europe and specify in real-time the gravity wave parameters based on measuring the variations of the angles-of-arrival and Doppler frequencies of ionospherically reflected HF radio signals. The project will run until 2017 and is expected to result in a pilot network of DPS4D ionospheric sounders in Europe, enhanced with a system to process the TID observations for real-time diagnostics and issue warnings for TIDs and the potential disturbance over the area. Based on these warnings the end-users can put in action specific mitigation techniques to protect their systems. The technical challenges of operating long distance ionospheric HF radio links for the detection of TIDs will be discussed.

  2. Strain and deformations engineered germanene bilayer double gate-field effect transistor by first principles

    NASA Astrophysics Data System (ADS)

    Meher Abhinav, E.; Chandrasekaran, Gopalakrishnan; Kasmir Raja, S. V.

    2017-10-01

    Germanene, silicene, stanene, phosphorene and graphene are some of single atomic materials with novel properties. In this paper, we explored bilayer germanene-based Double Gate-Field Effect Transistor (DG-FET) with various strains and deformations using Density Functional Theory (DFT) and Green's approach by first-principle calculations. The DG-FET of 1.6 nm width, 6 nm channel length (Lch) and HfO2 as gate dielectric has been modeled. For intrinsic deformation of germanene bilayer, we have enforced minute mechanical deformation of wrap and twist (5°) and ripple (0.5 Å) on germanene bilayer channel material. By using NEGF formalism, I-V Characteristics of various strains and deformation tailored DG-FET was calculated. Our results show that rough edge and single vacancy (5-9) in bilayer germanene diminishes the current around 47% and 58% respectively as compared with pristine bilayer germanene. In case of strain tailored bilayer DG-FET, multiple NDR regions were observed which can be utilized in building stable multiple logic states in digital circuits and high frequency oscillators using negative resistive techniques.

  3. Gate-Tuned Thermoelectric Power in Black Phosphorus.

    PubMed

    Saito, Yu; Iizuka, Takahiko; Koretsune, Takashi; Arita, Ryotaro; Shimizu, Sunao; Iwasa, Yoshihiro

    2016-08-10

    The electric field effect is a useful means of elucidating intrinsic material properties as well as for designing functional devices. The electric-double-layer transistor (EDLT) enables the control of carrier density in a wide range, which is recently proved to be an effective tool for the investigation of thermoelectric properties. Here, we report the gate-tuning of thermoelectric power in a black phosphorus (BP) single crystal flake with the thickness of 40 nm. Using an EDLT configuration, we successfully control the thermoelectric power (S) and find that the S of ion-gated BP reached +510 μV/K at 210 K in the hole depleted state, which is much higher than the reported bulk single crystal value of +340 μV/K at 300 K. We compared this experimental data with the first-principles-based calculation and found that this enhancement is qualitatively explained by the effective thinning of the conduction channel of the BP flake and nonuniformity of the channel owing to the gate operation in a depletion mode. Our results provide new opportunities for further engineering BP as a thermoelectric material in nanoscale.

  4. The Sensorless Pore Module of Voltage-gated K+ Channel Family 7 Embodies the Target Site for the Anticonvulsant Retigabine*

    PubMed Central

    Syeda, Ruhma; Santos, Jose S.; Montal, Mauricio

    2016-01-01

    KCNQ (voltage-gated K+ channel family 7 (Kv7)) channels control cellular excitability and underlie the K+ current sensitive to muscarinic receptor signaling (the M current) in sympathetic neurons. Here we show that the novel anti-epileptic drug retigabine (RTG) modulates channel function of pore-only modules (PMs) of the human Kv7.2 and Kv7.3 homomeric channels and of Kv7.2/3 heteromeric channels by prolonging the residence time in the open state. In addition, the Kv7 channel PMs are shown to recapitulate the single-channel permeation and pharmacological specificity characteristics of the corresponding full-length proteins in their native cellular context. A mutation (W265L) in the reconstituted Kv7.3 PM renders the channel insensitive to RTG and favors the conductive conformation of the PM, in agreement to what is observed when the Kv7.3 mutant is heterologously expressed. On the basis of the new findings and homology models of the closed and open conformations of the Kv7.3 PM, we propose a structural mechanism for the gating of the Kv7.3 PM and for the site of action of RTG as a Kv7.2/Kv7.3 K+ current activator. The results validate the modular design of human Kv channels and highlight the PM as a high-fidelity target for drug screening of Kv channels. PMID:26627826

  5. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    NASA Astrophysics Data System (ADS)

    Dai, Yuehua; Pan, Zhiyong; Wang, Feifei; Li, Xiaofeng

    2016-08-01

    The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM) by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  6. Senary refractory high-entropy alloy HfNbTaTiVZr

    DOE PAGES

    Gao, Michael C.; Zhang, B.; Yang, S.; ...

    2015-09-03

    Discovery of new single-phase high-entropy alloys (HEAs) is important to understand HEA formation mechanisms. The present study reports computational design and experimental validation of a senary HEA, HfNbTaTiVZr, in a body-centered cubic structure. The phase diagrams and thermodynamic properties of this senary system were modeled using the CALPHAD method. Its atomic structure and diffusion constants were studied using ab initio molecular dynamics simulations. Here, the microstructure of the as-cast HfNbTaTiVZr alloy was studied using X-ray diffraction and scanning electron microscopy, and the microsegregation in the as-cast state was found to qualitatively agree with the solidification predictions from CALPHAD. Supported bymore » both simulation and experimental results, the HEA formation rules are discussed.« less

  7. Diamond field effect transistors with a high-dielectric constant Ta2O5 as gate material

    NASA Astrophysics Data System (ADS)

    Liu, J.-W.; Liao, M.-Y.; Imura, M.; Watanabe, E.; Oosato, H.; Koide, Y.

    2014-06-01

    A Ta2O5/Al2O3 bilayer gate oxide with a high-dielectric constant (high-k) has been successfully applied to a hydrogenated-diamond (H-diamond) metal-insulator-semiconductor field effect transistor (MISFET). The Ta2O5 layer is prepared by a sputtering-deposition (SD) technique on the Al2O3 buffer layer fabricated by an atomic layer deposition (ALD) technique. The ALD-Al2O3 plays an important role to eliminate plasma damage for the H-diamond surface during SD-Ta2O5 deposition. The dielectric constants of the SD-Ta2O5/ALD-Al2O3 bilayer and single SD-Ta2O5 are as large as 12.7 and 16.5, respectively. The k value of the single SD-Ta2O5 in this study is in good agreement with that of the SD-Ta2O5 on oxygen-terminated diamond. The capacitance-voltage characteristic suggests low interfacial trapped charge density for the SD-Ta2O5/ALD-Al2O3/H-diamond MIS diode. The MISFET with a gate length of 4 µm has a drain current maximum and an extrinsic transconductance of -97.7 mA mm-1 (normalized by gate width) and 31.0 ± 0.1 mS mm-1, respectively. The effective mobility in the H-diamond channel layer is found to be 70.1 ± 0.5 cm2 V-1 s-1.

  8. The Optimization of Spacer Engineering for Capacitor-Less DRAM Based on the Dual-Gate Tunneling Transistor.

    PubMed

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Wang, Qianqiong

    2018-03-05

    The DRAM based on the dual-gate tunneling FET (DGTFET) has the advantages of capacitor-less structure and high retention time. In this paper, the optimization of spacer engineering for DGTFET DRAM is systematically investigated by Silvaco-Atlas tool to further improve its performance, including the reduction of reading "0" current and extension of retention time. The simulation results show that spacers at the source and drain sides should apply the low-k and high-k dielectrics, respectively, which can enhance the reading "1" current and reduce reading "0" current. Applying this optimized spacer engineering, the DGTFET DRAM obtains the optimum performance-extremely low reading "0" current (10 -14 A/μm) and large retention time (10s), which decreases its static power consumption and dynamic refresh rate. And the low reading "0" current also enhances its current ratio (10 7 ) of reading "1" to reading "0". Furthermore, the analysis about scalability reveals its inherent shortcoming, which offers the further investigation direction for DGTFET DRAM.

  9. The Optimization of Spacer Engineering for Capacitor-Less DRAM Based on the Dual-Gate Tunneling Transistor

    NASA Astrophysics Data System (ADS)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Wang, Qianqiong

    2018-03-01

    The DRAM based on the dual-gate tunneling FET (DGTFET) has the advantages of capacitor-less structure and high retention time. In this paper, the optimization of spacer engineering for DGTFET DRAM is systematically investigated by Silvaco-Atlas tool to further improve its performance, including the reduction of reading "0" current and extension of retention time. The simulation results show that spacers at the source and drain sides should apply the low-k and high-k dielectrics, respectively, which can enhance the reading "1" current and reduce reading "0" current. Applying this optimized spacer engineering, the DGTFET DRAM obtains the optimum performance-extremely low reading "0" current (10-14A/μm) and large retention time (10s), which decreases its static power consumption and dynamic refresh rate. And the low reading "0" current also enhances its current ratio (107) of reading "1" to reading "0". Furthermore, the analysis about scalability reveals its inherent shortcoming, which offers the further investigation direction for DGTFET DRAM.

  10. Density-functional theory molecular dynamics simulations of a-HfO2/Ge(100)(2 × 1) and a-ZrO2/Ge(100)(2 × 1) interface passivation.

    PubMed

    Chagarov, E A; Porter, L; Kummel, A C

    2016-02-28

    The structural properties of a-HfO2/Ge(2 × 1)-(001) and a-ZrO2/Ge(2 × 1)-(001) interfaces were investigated with and without a GeOx interface interlayer using density-functional theory (DFT) molecular dynamics (MD) simulations. Realistic a-HfO2 and a-ZrO2 samples were generated using a hybrid classical-DFT MD "melt-and-quench" approach and tested against experimental properties. The oxide/Ge stacks were annealed at 700 K, cooled to 0 K, and relaxed providing the system with enough freedom to form realistic interfaces. For each high-K/Ge stack type, two systems with single and double interfaces were investigated. All stacks were free of midgap states; however, stacks with a GeO(x) interlayer had band-edge states which decreased the band gaps by 0%-30%. These band-edge states were mainly produced by under-coordinated Ge atoms in GeO(x) layer or its vicinity due to deformation, intermixing, and bond-breaking. The DFT-MD simulations show that electronically passive interfaces can be formed either directly between high-K dielectrics and Ge or with a monolayer of GeO2 if the processing does not create or properly passivate under-coordinated Ge atoms and Ge's with significantly distorted bonding angles. Comparison to the charge states of the interfacial atoms from DFT to experimental x-ray photoelectron spectroscopy results shows that while most studies of gate oxide on Ge(001) have a GeO(x) interfacial layer, it is possible to form an oxide/Ge interface without a GeO(x) interfacial layer. Comparison to experiments is consistent with the dangling bonds in the suboxide being responsible for midgap state formation.

  11. Field-Programmable Gate Array-based fluxgate magnetometer with digital integration

    NASA Astrophysics Data System (ADS)

    Butta, Mattia; Janosek, Michal; Ripka, Pavel

    2010-05-01

    In this paper, a digital magnetometer based on printed circuit board fluxgate is presented. The fluxgate is pulse excited and the signal is extracted by gate integration. We investigate the possibility to perform integration on very narrow gates (typically 500 ns) by using digital techniques. The magnetometer is based on field-programmable gate array (FPGA) card: we will show all the advantages and disadvantages, given by digitalization of fluxgate output voltage by means of analog-to-digital converter on FPGA card, as well as digitalization performed by external digitizer. Due to very narrow gate, it is shown that a magnetometer entirely based on a FPGA card is preferable, because it avoids noise due to trigger instability. Both open loop and feedback operative mode are described and achieved results are presented.

  12. Arecibo - HF experiments in the E_region

    NASA Astrophysics Data System (ADS)

    Nossa, E.; Jain, K.; Sulzer, M. P.; Perillat, P.

    2017-12-01

    The new Arecibo Observatory - HF facility started operations in 2015. The HF facility is fully operational, acquiring consecutive days of data without unwanted interruptions. It has a maximum transmitted power of 600kW, with center frequencies at 5.125 MHz and 8.175 MHz. The 8.175 (5.125) MHz band frequency has a gain of 25.5 (22) dB and HPBW of 8.5 (13) degrees. The effects of the HF experiments in the ionosphere are being observed with the Arecibo incoherent scatter radar (ISR). The ISR has two beams that simultaneously could sense the modified region and the region outside of the affected volume. The ISR has height resolution of 300 m. and allows to observe from altitudes 95 km to the topside ionosphere. Observation of the E-region - HF experiments are sparse but possible at Arecibo. High ionization at a height 100 km are needed to modify the region artificially. This paper presents examples of E-region enhanced plasma lines (See Figure). Diagnostic of the layers is made using the ISR to estimate electron density, temperatures, ion drifts, among others. The data shows exceptional modifications of the ionosphere that range from creating artificial cavities and layers, induced irregularities, substantial variations in temperature profiles to enhanced ion and plasma densities.Previously, the HF experiments were performed to study specific effects in a narrow region. However, the extent of the data collected with the ISR during 2017 is revealing new features and different kind of forces that artificially modify extended regions of the ionosphere. This paper exhibits examples where the interaction between the E and F-region when HF experiments are evident. A theory of a correlation between the two layers due to different conductivities is explored to illustrate how the enhancement of irregularities is produced and maintained over time. Examples of strong artificially induced irregularities formed at F-region heights when Sporadic E-layer is present are shown to

  13. Effect of Al-diffusion-induced positive flatband voltage shift on the electrical characteristics of Al-incorporated high-k metal-oxide-semiconductor field-effective transistor

    NASA Astrophysics Data System (ADS)

    Wang, Wenwu; Akiyama, Koji; Mizubayashi, Wataru; Nabatame, Toshihide; Ota, Hiroyuki; Toriumi, Akira

    2009-03-01

    We systematically studied what effect Al diffusion from high-k dielectrics had on the flatband voltage (Vfb) of Al-incorporated high-k gate stacks. An anomalous positive shift fin Vfb with the decreasing equivalent oxide thickness (EOT) of high-k gate stacks is reported. As the SiO2 interfacial layer is aggressively thinned in Al-incorporated HfxAl1-xOy gate stacks with a metal-gate electrode, the Vfb first lies on the well known linear Vfb-EOT plot and deviates toward the positive-voltage direction (Vfb roll-up), followed by shifting toward negative voltage (Vfb roll-off). We demonstrated that the Vfb roll-up behavior remarkably decreases the threshold voltage (Vth) of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs), and does not cause severe degradation in the characteristics of hole mobility. The Vfb roll-up behavior, which is independent of gate materials but strongly dependent on high-k dielectrics, was ascribed to variations in fixed charges near the SiO2/Si interface, which are caused by Al diffusion from HfxAl1-xOy through SiO2 to the SiO2/Si interface. These results indicate that anomalous positive shift in Vfb, i.e., Vfb roll-up, should be taken into consideration in quantitatively adjusting Vfb in thin EOT regions and that it could be used to further tune Vth in p-MOSFETs.

  14. T-gate geometric (solution for submicrometer gate length) HEMT: Physical analysis, modeling and implementation as parasitic elements and its usage as dual gate for variable gain amplifiers

    NASA Astrophysics Data System (ADS)

    Gupta, Ritesh; Rathi, Servin; Kaur, Ravneet; Gupta, Mridula; Gupta, R. S.

    2009-03-01

    In order to achieve superior RF performance, short gate length is required for the compound semiconductor field effect transistors, but the limitation in lithography for submicrometer gate lengths leads to the formation of various metal-insulator geometries like T-gate [Sandeep R. Bahl, Jesus A. del Alamo, Physics of breakdown in InAlAs/ n +-InGaAs heterostructure field-effect transistors, IEEE Trans. Electron Devices 41 (12) (1994) 2268-2275]. These geometries are the combination of various Metal-Semiconductor (MS)/Metal-Air-Semiconductor (MAS) contacts. Moreover, field plates [S. Karmalkar, M.S. Shur, G. Simin, M. Asif Khan, Field-plate engineering for HFETs, IEEE Trans. Electron Devices 52 (2005) 2534-2540] are also being fabricated these days, mainly at the drain end ( Γ-gate) having Metal-Insulator-Semiconductor (MIS) instead of MAS contact with the intention of increasing the breakdown voltage of the device. To realize the effect of upper gate electrode in the T-gate structure and field plates, an analytical model has been proposed in the present article by dividing the whole structure into MS/MIS contact regions, applying current continuity among them and solving iteratively. The model proposed for Metal-Insulator Semiconductor High Electron Mobility Transistor (MISHEMT) [R. Gupta, S.K. Aggarwal, M. Gupta, R.S. Gupta, Analytical model for metal insulator semiconductor high electron mobility transistor (MISHEMT) for its high frequency and high power applications, J. Semicond. Technol. Sci. 6 (3) (2006) 189-198], is equally applicable to High Electron Mobility Transistors (HEMT) and has been used to formulate this model. In this paper, various structures and geometries have been compared to anticipate the need of T-gate modeling. The effect of MIS contacts has been implemented as parasitic resistance and capacitance and has also been studied to control the middle conventional gate as in dual gate technology by applying separate voltages across it. The results

  15. Lysine and the Na+/K+ Selectivity in Mammalian Voltage-Gated Sodium Channels.

    PubMed

    Li, Yang; Liu, Huihui; Xia, Mengdie; Gong, Haipeng

    2016-01-01

    Voltage-gated sodium (Nav) channels are critical in the generation and transmission of neuronal signals in mammals. The crystal structures of several prokaryotic Nav channels determined in recent years inspire the mechanistic studies on their selection upon the permeable cations (especially between Na+ and K+ ions), a property that is proposed to be mainly determined by residues in the selectivity filter. However, the mechanism of cation selection in mammalian Nav channels lacks direct explanation at atomic level due to the difference in amino acid sequences between mammalian and prokaryotic Nav homologues, especially at the constriction site where the DEKA motif has been identified to determine the Na+/K+ selectivity in mammalian Nav channels but is completely absent in the prokaryotic counterparts. Among the DEKA residues, Lys is of the most importance since its mutation to Arg abolishes the Na+/K+ selectivity. In this work, we modeled the pore domain of mammalian Nav channels by mutating the four residues at the constriction site of a prokaryotic Nav channel (NavRh) to DEKA, and then mechanistically investigated the contribution of Lys in cation selection using molecular dynamics simulations. The DERA mutant was generated as a comparison to understand the loss of ion selectivity caused by the K-to-R mutation. Simulations and free energy calculations on the mutants indicate that Lys facilitates Na+/K+ selection by electrostatically repelling the cation to a highly Na+-selective location sandwiched by the carboxylate groups of Asp and Glu at the constriction site. In contrast, the electrostatic repulsion is substantially weakened when Lys is mutated to Arg, because of two intrinsic properties of the Arg side chain: the planar geometric design and the sparse charge distribution of the guanidine group.

  16. Modulation of voltage-gated Na+ and K+ channels by pumiliotoxin 251D: a "joint venture" alkaloid from arthropods and amphibians.

    PubMed

    Vandendriessche, Thomas; Abdel-Mottaleb, Yousra; Maertens, Chantal; Cuypers, Eva; Sudau, Alexander; Nubbemeyer, Udo; Mebs, Dietrich; Tytgat, Jan

    2008-03-01

    Certain amphibians provide themselves with a chemical defense by accumulating lipophilic alkaloids into skin glands from dietary arthropods. Examples of such alkaloids are pumiliotoxins (PTXs). In general, PTXs are known as positive modulators of voltage-gated sodium channels (VGSCs). Unlike other PTXs, PTX 251D does not share this characteristic. However, mice and insect studies showed that PTX 251D is highly toxic and to date the basis of its toxicity remains unknown. In this work, we searched for the possible target of PTX 251D. The toxin was therefore made synthetically and tested on four VGSCs (mammalian rNa(v)1.2/beta(1), rNa(v)1.4/beta(1), hNa(v)1.5/beta(1) and insect Para/tipE) and five voltage-gated potassium channels (VGPCs) (mammalian rK(v)1.1-1.2, hK(v)1.3, hK(v)11.1 (hERG) and insect Shaker IR) expressed heterologously in Xenopus laevis oocytes, using the two-electrode voltage clamp technique. PTX 251D not only inhibited the Na(+) influx through the mammalian VGSCs but also affected the steady-state activation and inactivation. Interestingly, in the insect ortholog, the inactivation process was dramatically affected. Additionally, PTX 251D inhibited the K(+) efflux through all five tested VGPCs and slowed down the deactivation kinetics of the mammalian VGPCs. hK(v)1.3 was the most sensitive channel, with an IC(50) value 10.8+/-0.5 microM. To the best of our knowledge this is the first report of a PTX affecting VGPCs.

  17. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  18. Improving a high-efficiency, gated spectrometer for x-ray Thomson scattering experiments at the National Ignition Facility

    DOE PAGES

    Döppner, T.; Kraus, D.; Neumayer, P.; ...

    2016-08-03

    We are developing x-ray Thomson scattering for applications in implosion experiments at the National Ignition Facility. In particular we have designed and fielded MACS, a high-efficiency, gated x-ray spectrometer at 7.5-10 keV [T. Döppner et al., Rev. Sci. Instrum. 85, 11D617 (2014)]. Here in this paper we report on two new Bragg crystals based on Highly Oriented Pyrolytic Graphite (HOPG), a flat crystal and a dual-section cylindrically curved crystal. We have performed in situ calibration measurements using a brass foil target, and we used the flat HOPG crystal to measure Mo K-shell emission at 18 keV in 2nd order diffraction.more » Such high photon energy line emission will be required to penetrate and probe ultra-high-density plasmas or plasmas of mid-Z elements.« less

  19. Improving a high-efficiency, gated spectrometer for x-ray Thomson scattering experiments at the National Ignition Facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Döppner, T., E-mail: doeppner1@llnl.gov; Bachmann, B.; Emig, J.

    We are developing x-ray Thomson scattering for applications in implosion experiments at the National Ignition Facility. In particular we have designed and fielded MACS, a high-efficiency, gated x-ray spectrometer at 7.5–10 keV [T. Döppner et al., Rev. Sci. Instrum. 85, 11D617 (2014)]. Here we report on two new Bragg crystals based on Highly Oriented Pyrolytic Graphite (HOPG), a flat crystal and a dual-section cylindrically curved crystal. We have performed in situ calibration measurements using a brass foil target, and we used the flat HOPG crystal to measure Mo K-shell emission at 18 keV in 2nd order diffraction. Such high photonmore » energy line emission will be required to penetrate and probe ultra-high-density plasmas or plasmas of mid-Z elements.« less

  20. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    NASA Astrophysics Data System (ADS)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  1. Demonstration of large field effect in topological insulator films via a high-κ back gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, C. Y.; Lin, H. Y.; Yang, S. R.

    2016-05-16

    The spintronics applications long anticipated for topological insulators (TIs) has been hampered due to the presence of high density intrinsic defects in the bulk states. In this work we demonstrate the back-gating effect on TIs by integrating Bi{sub 2}Se{sub 3} films 6–10 quintuple layer (QL) thick with amorphous high-κ oxides of Al{sub 2}O{sub 3} and Y{sub 2}O{sub 3}. Large gating effect of tuning the Fermi level E{sub F} to very close to the band gap was observed, with an applied bias of an order of magnitude smaller than those of the SiO{sub 2} back gate, and the modulation of filmmore » resistance can reach as high as 1200%. The dependence of the gating effect on the TI film thickness was investigated, and ΔN{sub 2D}/ΔV{sub g} varies with TI film thickness as ∼t{sup −0.75}. To enhance the gating effect, a Y{sub 2}O{sub 3} layer thickness 4 nm was inserted into Al{sub 2}O{sub 3} gate stack to increase the total κ value to 13.2. A 1.4 times stronger gating effect is observed, and the increment of induced carrier numbers is in good agreement with additional charges accumulated in the higher κ oxides. Moreover, we have reduced the intrinsic carrier concentration in the TI film by doping Te to Bi{sub 2}Se{sub 3} to form Bi{sub 2}Te{sub x}Se{sub 1−x}. The observation of a mixed state of ambipolar field that both electrons and holes are present indicates that we have tuned the E{sub F} very close to the Dirac Point. These results have demonstrated that our capability of gating TIs with high-κ back gate to pave the way to spin devices of tunable E{sub F} for dissipationless spintronics based on well-established semiconductor technology.« less

  2. Synaptic transistor with a reversible and analog conductance modulation using a Pt/HfOx/n-IGZO memcapacitor

    NASA Astrophysics Data System (ADS)

    Yang, Paul; Kim, Hyung Jun; Zheng, Hong; Beom, Geon Won; Park, Jong-Sung; Kang, Chi Jung; Yoon, Tae-Sik

    2017-06-01

    A synaptic transistor emulating the biological synaptic motion is demonstrated using the memcapacitance characteristics in a Pt/HfOx/n-indium-gallium-zinc-oxide (IGZO) memcapacitor. First, the metal-oxide-semiconductor (MOS) capacitor with Pt/HfOx/n-IGZO structure exhibits analog, polarity-dependent, and reversible memcapacitance in capacitance-voltage (C-V), capacitance-time (C-t), and voltage-pulse measurements. When a positive voltage is applied repeatedly to the Pt electrode, the accumulation capacitance increases gradually and sequentially. The depletion capacitance also increases consequently. The capacitances are restored by repeatedly applying a negative voltage, confirming the reversible memcapacitance. The analog and reversible memcapacitance emulates the potentiation and depression synaptic motions. The synaptic thin-film transistor (TFT) with this memcapacitor also shows the synaptic motion with gradually increasing drain current by repeatedly applying the positive gate and drain voltages and reversibly decreasing one by applying the negative voltages, representing synaptic weight modulation. The reversible and analog conductance change in the transistor at both the voltage sweep and pulse operations is obtained through the memcapacitance and threshold voltage shift at the same time. These results demonstrate the synaptic transistor operations with a MOS memcapacitor gate stack consisting of Pt/HfOx/n-IGZO.

  3. Synaptic transistor with a reversible and analog conductance modulation using a Pt/HfOx/n-IGZO memcapacitor.

    PubMed

    Yang, Paul; Jun Kim, Hyung; Zheng, Hong; Won Beom, Geon; Park, Jong-Sung; Jung Kang, Chi; Yoon, Tae-Sik

    2017-06-02

    A synaptic transistor emulating the biological synaptic motion is demonstrated using the memcapacitance characteristics in a Pt/HfOx/n-indium-gallium-zinc-oxide (IGZO) memcapacitor. First, the metal-oxide-semiconductor (MOS) capacitor with Pt/HfOx/n-IGZO structure exhibits analog, polarity-dependent, and reversible memcapacitance in capacitance-voltage (C-V), capacitance-time (C-t), and voltage-pulse measurements. When a positive voltage is applied repeatedly to the Pt electrode, the accumulation capacitance increases gradually and sequentially. The depletion capacitance also increases consequently. The capacitances are restored by repeatedly applying a negative voltage, confirming the reversible memcapacitance. The analog and reversible memcapacitance emulates the potentiation and depression synaptic motions. The synaptic thin-film transistor (TFT) with this memcapacitor also shows the synaptic motion with gradually increasing drain current by repeatedly applying the positive gate and drain voltages and reversibly decreasing one by applying the negative voltages, representing synaptic weight modulation. The reversible and analog conductance change in the transistor at both the voltage sweep and pulse operations is obtained through the memcapacitance and threshold voltage shift at the same time. These results demonstrate the synaptic transistor operations with a MOS memcapacitor gate stack consisting of Pt/HfOx/n-IGZO.

  4. Differential effect of brief electrical stimulation on voltage-gated potassium channels.

    PubMed

    Cameron, Morven A; Al Abed, Amr; Buskila, Yossi; Dokos, Socrates; Lovell, Nigel H; Morley, John W

    2017-05-01

    Electrical stimulation of neuronal tissue is a promising strategy to treat a variety of neurological disorders. The mechanism of neuronal activation by external electrical stimulation is governed by voltage-gated ion channels. This stimulus, typically brief in nature, leads to membrane potential depolarization, which increases ion flow across the membrane by increasing the open probability of these voltage-gated channels. In spiking neurons, it is activation of voltage-gated sodium channels (Na V channels) that leads to action potential generation. However, several other types of voltage-gated channels are expressed that also respond to electrical stimulation. In this study, we examine the response of voltage-gated potassium channels (K V channels) to brief electrical stimulation by whole cell patch-clamp electrophysiology and computational modeling. We show that nonspiking amacrine neurons of the retina exhibit a large variety of responses to stimulation, driven by different K V -channel subtypes. Computational modeling reveals substantial differences in the response of specific K V -channel subtypes that is dependent on channel kinetics. This suggests that the expression levels of different K V -channel subtypes in retinal neurons are a crucial predictor of the response that can be obtained. These data expand our knowledge of the mechanisms of neuronal activation and suggest that K V -channel expression is an important determinant of the sensitivity of neurons to electrical stimulation. NEW & NOTEWORTHY This paper describes the response of various voltage-gated potassium channels (K V channels) to brief electrical stimulation, such as is applied during prosthetic electrical stimulation. We show that the pattern of response greatly varies between K V channel subtypes depending on activation and inactivation kinetics of each channel. Our data suggest that problems encountered when artificially stimulating neurons such as cessation in firing at high frequencies, or

  5. Ultrathin strain-gated field effect transistor based on In-doped ZnO nanobelts

    NASA Astrophysics Data System (ADS)

    Zhang, Zheng; Du, Junli; Li, Bing; Zhang, Shuhao; Hong, Mengyu; Zhang, Xiaomei; Liao, Qingliang; Zhang, Yue

    2017-08-01

    In this work, we fabricated a strain-gated piezoelectric transistor based on single In-doped ZnO nanobelt with ±(0001) top/bottom polar surfaces. In the vertical structured transistor, the Pt tip of the AFM and Au film are used as source and drain electrode. The electrical transport performance of the transistor is gated by compressive strains. The working mechanism is attributed to the Schottky barrier height changed under the coupling effect of piezoresistive and piezoelectric. Uniquely, the transistor turns off under the compressive stress of 806 nN. The strain-gated transistor is likely to have important applications in high resolution mapping device and MEMS devices.

  6. Improving subthreshold swing to thermionic emission limit in carbon nanotube network film-based field-effect

    NASA Astrophysics Data System (ADS)

    Zhao, Chenyi; Zhong, Donglai; Qiu, Chenguang; Han, Jie; Zhang, Zhiyong; Peng, Lian-Mao

    2018-01-01

    In this letter, we explore the vertical scaling-down behavior of carbon nanotube (CNT) network film field-effect transistors (FETs) and show that by using a high-efficiency gate insulator, we can substantially improve the subthreshold swing (SS) and its uniformity. By using an HfO2 layer with a thickness of 7.3 nm as the gate insulator, we fabricated CNT network film FETs with a long channel (>2 μm) that exhibit an SS of approximately 60 mV/dec. The preferred thickness of HfO2 as the gate insulator in a CNT network FET is between 7 nm and 10 nm, simultaneously yielding an excellent SS (<80 mV/decade) and low gate leakage. However, because of the statistical fluctuations of the network CNT channel, the lateral scaling of CNT network film-based FETs is more difficult than that of conventional FETs. Experiments suggest that excellent SS is difficult to achieve statistically in CNT network film FETs with a small channel length (smaller than the mean length of the CNTs), which eventually limits the further scaling down of this kind of CNT FET to the sub-micrometer regime.

  7. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  8. Critical Questions about PARADIGM-HF and the Future

    PubMed Central

    Chen, Chen-Huan

    2016-01-01

    Cardiovascular (CV) diseases in general and heart failure (HF) in particular are major contributors to death and morbidity and are also recognized as important drivers of health care expenditure. The PARADIGM-HF trial was a pivotal trial designed to compare the long-term effects of LCZ696 with enalapril in patients with symptomatic HF with reduced ejection fraction (HFrEF). This review article presents an in-depth view of the PARADIGM-HF trial and the implications of the results in the management of patients with HF and is based on peer reviewed manuscripts, editorials, perspectives and opinions written about the PARADIGM-HF trial. The article presents the key safety and efficacy results of the trial with specific emphasis on the clinical implications of these findings. The review highlights the highly statistically significant, 20% reduction in the primary composite endpoint of cardiovascular death or HF hospitalization, and a 16% reduction in the risk of death from any cause. It also provides an overview of the design, clinical findings, limitations and special areas of clinical interest. The review discusses the future of LCZ696 and additional trials that seek to answer questions in other sub-populations of patients with HF. The article reiterates what has been concluded by many experts in the field of HF- the introduction of LCZ696 into routine clinical care, while dependent on the regulatory approvals in various countries as well as acceptance by physicians, payers and patients, will change the treatment landscape for patients with HFrEF. PMID:27471351

  9. Surface current dynamics under sea breeze conditions observed by simultaneous HF radar, ADCP and drifter measurements

    NASA Astrophysics Data System (ADS)

    Sentchev, Alexei; Forget, Philippe; Fraunié, Philippe

    2017-04-01

    Ocean surface boundary layer dynamics off the southern coast of France in the NW Mediterranean is investigated by using velocity observations by high-frequency (HF) radars, surface drifting buoys and a downward-looking drifting acoustic Doppler current profiler (ADCP). The analysis confirms that velocities measured by HF radars correspond to those observed by an ADCP at the effective depth z f = k -1, where k is wavenumber of the radio wave emitted by the radar. The radials provided by the radars were in a very good agreement with in situ measurements, with the relative errors of 1 and 9 % and root mean square (RMS) differences of 0.02 and 0.04 m/s for monostatic and bistatic radar, respectively. The total radar-based velocities appeared to be slightly underestimated in magnitude and somewhat biased in direction. At the end of the survey period, the difference in the surface current direction, based on HF radar and ADCP data, attained 10°. It was demonstrated that the surface boundary layer dynamics cannot be reconstructed successfully without taking into the account velocity variation with depth. A significant misalignment of ˜30° caused by the sea breeze was documented between the HF radar (HFR-derived) surface current and the background current. It was also found that the ocean response to a moderate wind forcing was confined to the 4-m-thick upper layer. The respective Ekman current attained the maximum value of 0.15 m/s, and the current rotation was found to be lagging the wind by approximately 40 min, with the current vector direction being 15-20° to the left of the wind. The range of velocity variability due to wind forcing was found comparable with the magnitude of the background current variability.

  10. Highly Sensitive Detection of Deoxyribonucleic Acid Hybridization Using Au-Gated AlInN/GaN High Electron Mobility Transistor-Based Sensors

    NASA Astrophysics Data System (ADS)

    Zhan, Xiang-Mi; Hao, Mei-Lan; Wang, Quan; Li, Wei; Xiao, Hong-Ling; Feng, Chun; Jiang, Li-Juan; Wang, Cui-Mei; Wang, Xiao-Liang; Wang, Zhan-Guo

    2017-03-01

    Gallium nitride- (GaN) based high electron mobility transistors (HEMTs) provide a good platform for biological detection. In this work, both Au-gated AlInN/GaN HEMT and AlGaN/GaN HEMT biosensors are fabricated for the detection of deoxyribonucleic acid (DNA) hybridization. The Au-gated AlInN/GaN HEMT biosensor exhibits higher sensitivity in comparison with the AlGaN/GaN HEMT biosensor. For the former, the drain-source current ( {V}{DS}=0.5 V) shows a clear decrease of 69 μA upon the introduction of 1 μmolL {}-1 (μM) complimentary DNA to the probe DNA at the sensor area, while for the latter it is only 38 μA. This current reduction is a notable indication of the hybridization. The high sensitivity can be attributed to the thinner barrier of the AlInN/GaN heterostructure, which makes the two-dimensional electron gas channel more susceptible to a slight change of the surface charge. Supported by the National Key Research and Development Program of China under Grant Nos 2016YFB0400104 and 2016YFB0400301, the National Natural Sciences Foundation of China under Grant No 61334002, and the National Science and Technology Major Project.

  11. Detection and Analysis of Partial Reflections of HF Waves from the Lower Ionosphere

    NASA Astrophysics Data System (ADS)

    Erdman, A.; Moore, R. C.

    2016-12-01

    On the afternoon of August 27, 2011, the western half of the High Frequency Active Auroral Research Program's (HAARP's) HF transmitter repeatedly broadcast a low-power (1 kW/Tx), 4.5-MHz, X-mode polarized, 10 microsecond pulse. The HF beam was directed vertically, and the inter-pulse period was 20 milliseconds. HF observations were performed at Oasis (62° 23' 30" N, 145° 9' 03" W) using two crossed 90-foot folded dipoles. Observations clearly indicate the detection of a ground wave and multiple reflections from different sources at F-region altitudes, which is consistent with digisonde measurements at 4.5 MHz. Additional reflections were detected at a virtual altitude of 90-110 km, and we interpret these reflections as partial reflections from the rapid conductivity change at the base of the ionosphere. We compare these observations with the predictions of a new finite-difference time-domain (FDTD) plasma model. The model is a one-dimensional, second-order accurate, cold plasma FDTD model of the ionosphere extending from ground through the lower F-region. The model accounts for a spatially varying plasma frequency, cyclotron frequency, and electron-neutral collision frequency. We discuss the possibility to analyze partial reflections from the base of the ionosphere as a function of frequency to characterize the reflecting plasma.

  12. Study of the formation of interstellar CF+ from the HF + C + →CF+ + H reaction

    NASA Astrophysics Data System (ADS)

    Denis-Alpizar, Otoniel; Guzmán, Viviana V.; Inostroza, Natalia

    2018-06-01

    The detection of the carbon monofluoride cation CF+ was considered as a support of the theories of the fluorine chemistry in the interstellar medium (ISM). This molecule is formed by the reaction of HF with C+. The rates of this reaction have been estimated previously by two different groups. However, these two estimations led to different results. The main goal of the present work is to study the HF + C+ reaction and determine new reactive rate coefficients. A large set of ab initio energies at the MRCI-F12/cc-pVQZ-F12 level was computed. The first reactive potential energy surface (PES) for the HF + C+ → CF+ + H reaction was developed using a reproducing kernel Hilbert space (RKHS) based method. The dynamics of the reaction was followed from quasiclassical trajectories (QCT). The results of such calculations showed that CF+ is produced in excited vibrational states. The rate coefficients for the HF + C+ → CF+ + H reaction from 50 K up to 2000 K are reported. The impact of these new data in the astrophysical models for the determination of the interstellar conditions is also explored.

  13. Scalable uniform construction of highly conditional quantum gates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ivanov, Svetoslav S.; Vitanov, Nikolay V.

    2011-08-15

    We present a scalable uniform technique for the construction of highly conditional multiply-controlled-not quantum gates of trapped ion qubits, such as the Toffoli gate, without using ancilla states and circuits of an exorbitant number of concatenated one- and two-qubit gates. Apart from the initial dressing of the internal qubit states with vibrational phonons and the final restoration of the phonon ground state, our technique requires the application of just a single composite pulse on the target qubit and is applicable both in and outside the Lamb-Dicke regime. We design special narrowband composite pulses, which suppress all transitions but the conditionalmore » transition of the target qubit; moreover, these composite pulses significantly improve the spatial addressing selectivity.« less

  14. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    NASA Astrophysics Data System (ADS)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  15. Kinetics of Glass Transition and Crystallization of a Zr40Hf10Ti4Y1Al10Cu25Ni7Co2Fe1 Bulk Metallic Glass with High Mixing Entropy

    NASA Astrophysics Data System (ADS)

    Gong, Pan; Wang, Sibo; Li, Fangwei; Wang, Xinyun

    2018-04-01

    The kinetics of glass transition and crystallization of a novel Zr40Hf10Ti4Y1Al10Cu25Ni7Co2Fe1 bulk metallic glass (BMG) with high mixing entropy have been studied by differential scanning calorimetry (DSC) and X-ray diffraction (XRD). The continuous DSC curves show five stages of crystallization at lower heating rates (≤ 20 K/min). The activation energies of glass transition were determined by Moynihan and Kissinger methods, while the activation energies of crystallization were calculated utilizing Kissinger, Ozawa, and Boswell models. The crystalline phases corresponding to each crystallization step have been found out. The kinetic fragility of Zr40Hf10Ti4Y1Al10Cu25Ni7Co2Fe1 BMG has also been evaluated. Based on the isothermal DSC curves, the Avrami exponent, evaluated from the Johnson-Mehl-Avrami equation, has been analyzed in detail. The current study reveals that the crystallization behavior of Zr40Hf10Ti4Y1Al10Cu25Ni7Co2Fe1 BMG exhibits characteristics of both the high entropy BMGs and traditional BMGs with a single principal element, leading to its high glass-forming ability.

  16. High-performance field-effect transistors based on gadolinium doped indium oxide nanofibers and their application in logic gate

    NASA Astrophysics Data System (ADS)

    Wang, Chao; Meng, You; Guo, Zidong; Shin, Byoungchul; Liu, Guoxia; Shan, Fukai

    2018-05-01

    One-dimensional metal oxide nanofibers have been regarded as promising building blocks for large area low cost electronic devices. As one of the representative metal oxide semiconducting materials, In2O3 based materials have attracted much interest due to their excellent electrical and optical properties. However, most of the field-effect transistors (FETs) based on In2O3 nanofibers usually operate in a depletion mode, which lead to large power consumption and a complicated integrated circuit design. In this report, gadolinium (Gd) doped In2O3 (InGdO) nanofibers were fabricated by electrospinning and applied as channels in the FETs. By optimizing the doping concentration and the nanofiber density, the device performance could be precisely manipulated. It was found that the FETs based on InGdO nanofibers, with a Gd doping concentration of 3% and a nanofiber density of 2.9 μm-1, exhibited the best device performance, including a field-effect mobility (μFE) of 2.83 cm2/V s, an on/off current ratio of ˜4 × 108, a threshold voltage (VTH) of 5.8 V, and a subthreshold swing (SS) of 2.4 V/decade. By employing the high-k ZrOx thin films as the gate dielectrics in the FETs, the μFE, VTH and SS can be further improved to be 17.4 cm2/V s, 0.7 V and 160 mV/decade, respectively. Finally, an inverter based on the InGdO nanofibers/ZrOx FETs was constructed and a gain of ˜11 was achieved.

  17. Programming the quorum sensing-based AND gate in Shewanella oneidensis for logic gated-microbial fuel cells.

    PubMed

    Hu, Yidan; Yang, Yun; Katz, Evgeny; Song, Hao

    2015-03-11

    An AND logic gate based on a synthetic quorum-sensing (QS) module was constructed in a Shewanella oneidensis MR-1 mtrA knockout mutant. The presence of two input signals activated the expression of a periplasmic decaheme cytochrome MtrA to regenerate the extracellular electron transfer conduit, enabling the construction of AND-gated microbial fuel cells.

  18. Luna 16 - Some Li, K, Rb, Sr, Ba, rare-earth, Zr, and Hf concentrations.

    NASA Technical Reports Server (NTRS)

    Philpotts, J. A.; Schnetzler, C. C.; Schuhmann, S.; Thomas , H. H.; Bottino, M. L.

    1972-01-01

    Concentrations of Li, K, Rb, Sr, Na, rare-earths, Zr and Hf have been determined for some Luna 16 core materials by mass-spectrometric isotope-dilution. Two regolith fines samples from different depths in the core, and four rock-chips, including both igneous rocks and breccias, have similar trace-element concentrations. The Luna 16 materials have general lunar trace-element characteristics but differ from other returned lunar samples in a manner that suggests the presence of excess feldspar. Unless the Luna 16 igneous rocks are fused soils, they appear to represent either partial plagioclase cumulates or the least differentiated igneous material yet returned from the moon. The similarity in trace-element concentrations of the igneous rocks and the fines would then suggest largely local derivation of the Luna 16 regolith.

  19. Characterization of bond line discontinuities in a high-Mn TWIP steel pipe welded by HF-ERW

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Gitae; Kim, Bongyoon; Kang, Yongjoon

    In this work, the microstructure and defects in a high-frequency electrical resistance welded (HF-ERW) pipe of high-Mn twinning-induced plasticity (TWIP) steel were characterized. The microstructure of the base metal and the bond line were examined using both optical microscopy and scanning electron microscopy. The features of the bond line were similar to those of conventional steel. Simultaneously, the circumferential ductility was evaluated via a flaring test. It was concluded that the deterioration of the circumferential ductility in a high-Mn TWIP steel pipe was caused by irregular shaped oxide defects and a penetrator that had been formed during welding. Specifically, themore » penetrator, which is composed of MnO and Mn{sub 2}SiO{sub 4}, was found to be the most influential on the circumferential ductility of the welded pipe. The penetrator was analyzed using both an electron probe micro analyzer and transmission electron microscopy, and the formation sequence of the penetrator was evaluated. - Highlights: •This study focused on applying the HF-ERW process to the seam welding of expandable pipe using TWIP steels. •For improvement of the circumferential ductility, deterioration factors were characterized. •Penetrator which would mainly deteriorate the circumferential ductility consisted of round MnO and Mn{sub 2}SiO{sub 4}. •Metallurgical evidence of existing theory regarding the mechanism of defect formation during the HF-ERW was characterized.« less

  20. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  1. Effect of dopants on the soft magnetic properties and high frequency characteristics of FeCoBM (M = Ti, Nb, Hf, and Ta) thin films.

    PubMed

    Hsieh, C C; Lin, T H; Chang, H W; Chang, C W; Chang, W C; Yang, C C

    2011-03-01

    Effect of dopants on the soft magnetic properties and high frequency characteristics of FeCoBM thin films (M = Ti, Nb, Hf, and Ta) have been studied. For (Fe0.55Co0.45)(100-x)B(x) (x = 5-15) thin films, with the increase of B content, the resistivity was increased because B could decrease the crystallinity of the films. The (Fe0.55Co0.45)90B10 thin film showed the optimum properties, where 4piM(s) = 16.1 kG, H(ce) = 64.2 Oe, H(ch) = 13.5 Oe, H(k) = 310 Oe and p = 338 microomega-cm. To reduce the coercivity of the film, the elements M, including Ti, Nb, Hf, and Ta, were selected to substitute for B in the FeCoB films. It was found that (Fe0.55Co0.45)90B6Ti2Nb2 thin film after annealing at a temperature of 200 degrees C for 30 min showed the optimal properties, where 4piM(s) = 15.8 kG, H(ce) = 4.8 Oe, H(ch) = 3.6 Oe, H(k) = 224 Oe and p = 290 microomega-cm. The theoretically calculated ferromagnetic resonance frequency of the developed films can be higher than 5 GHz.

  2. Additive Manufacturing of NiTiHf High Temperature Shape Memory Alloy

    NASA Technical Reports Server (NTRS)

    Benafan, Othmane; Bigelow, Glen S.; Elahinia, Mohammad; Moghaddam, Narges Shayesteh; Amerinatanzi, Amirhesam; Saedi, Soheil; Toker, Guher Pelin; Karaca, Haluk

    2017-01-01

    Additive manufacturing of a NiTi-20Hf high temperature shape memory alloy (HTSMA) was investigated. A selective laser melting (SLM) process by Phenix3D Systems was used to develop components from NiTiHf powder (of approximately 25-75 m particle fractions), and the thermomechanical response was compared to the conventionally vacuum induction skull melted counterpart. Transformation temperatures of the SLM material were found to be slightly lower due to the additional oxygen pick up from the gas atomization and melting process. The shape memory response in compression was measured for stresses up to 500 MPa, and transformation strains were found to be very comparable (Up to 1.26 for the as-extruded; up to 1.52 for SLM).

  3. Floating Gate CMOS Dosimeter With Frequency Output

    NASA Astrophysics Data System (ADS)

    Garcia-Moreno, E.; Isern, E.; Roca, M.; Picos, R.; Font, J.; Cesari, J.; Pineda, A.

    2012-04-01

    This paper presents a gamma radiation dosimeter based on a floating gate sensor. The sensor is coupled with a signal processing circuitry, which furnishes a square wave output signal, the frequency of which depends on the total dose. Like any other floating gate dosimeter, it exhibits zero bias operation and reprogramming capabilities. The dosimeter has been designed in a standard 0.6 m CMOS technology. The whole dosimeter occupies a silicon area of 450 m250 m. The initial sensitivity to a radiation dose is Hz/rad, and to temperature and supply voltage is kHz/°C and 0.067 kHz/mV, respectively. The lowest detectable dose is less than 1 rad.

  4. Charge movement in gating-locked HCN channels reveals weak coupling of voltage sensors and gate.

    PubMed

    Ryu, Sujung; Yellen, Gary

    2012-11-01

    HCN (hyperpolarization-activated cyclic nucleotide gated) pacemaker channels have an architecture similar to that of voltage-gated K(+) channels, but they open with the opposite voltage dependence. HCN channels use essentially the same positively charged voltage sensors and intracellular activation gates as K(+) channels, but apparently these two components are coupled differently. In this study, we examine the energetics of coupling between the voltage sensor and the pore by using cysteine mutant channels for which low concentrations of Cd(2+) ions freeze the open-closed gating machinery but still allow the sensors to move. We were able to lock mutant channels either into open or into closed states by the application of Cd(2+) and measure the effect on voltage sensor movement. Cd(2+) did not immobilize the gating charge, as expected for strict coupling, but rather it produced shifts in the voltage dependence of voltage sensor charge movement, consistent with its effect of confining transitions to either closed or open states. From the magnitude of the Cd(2+)-induced shifts, we estimate that each voltage sensor produces a roughly three- to sevenfold effect on the open-closed equilibrium, corresponding to a coupling energy of ∼1.3-2 kT per sensor. Such coupling is not only opposite in sign to the coupling in K(+) channels, but also much weaker.

  5. Comparison of Voltage Gated K+ Currents in Arterial Myocytes with Heterologously Expressed K v Subunits.

    PubMed

    Cox, Robert H; Fromme, Samantha

    2016-12-01

    We have shown that three components contribute to functional voltage gated K + (K v ) currents in rat small mesenteric artery myocytes: (1) Kv1.2 plus Kv1.5 with Kvβ1.2 subunits, (2) Kv2.1 probably associated with Kv9.3 subunits, and (3) Kv7.4 subunits. To confirm and address subunit stoichiometry of the first two, we have compared the biophysical properties of K v currents in small mesenteric artery myocytes with those of K v subunits heterologously expressed in HEK293 cells using whole cell voltage clamp methods. Selective inhibitors of Kv1 (correolide, COR) and Kv2 (stromatoxin, ScTx) channels were used to separate these K v current components. Conductance-voltage and steady state inactivation data along with time constants of activation, inactivation, and deactivation of native K v components were generally well represented by those of Kv1.2-1.5-β1.2 and Kv2.1-9.3 channels. The slope of the steady state inactivation-voltage curve (availability slope) proved to be the most sensitive measure of accessory subunit presence. The availability slope curves exhibited a single peak for both native K v components. Availability slope curves for Kv1.2-1.5-β1.2 and Kv2.1-9.3 channels expressed in human embryonic kidney cells also exhibited a single peak that shifted to more depolarized voltages with increasing accessory to α subunit transfection ratio. Availability slope curves for SxTc-insensitive currents were similar to those of Kv1.2-1.5 expressed with Kvβ1.2 at a 1:5 molar ratio while curves for COR-insensitive currents closely resembled those of Kv2.1 expressed with Kv9.3 at a 1:1 molar ratio. These results support the suggested K v subunit combinations in small mesenteric artery, and further suggest that Kv1 α and Kvβ1.2 but not Kv2.1 and Kv9.3 subunits are present in a saturated (4:4) stoichiometry.

  6. VLF wave generation by beating of two HF waves in the ionosphere

    NASA Astrophysics Data System (ADS)

    Kuo, Spencer; Snyder, Arnold; Kossey, Paul; Chang, Chia-Lie; Labenski, John

    2011-05-01

    Theory of a beat-wave mechanism for very low frequency (VLF) wave generation in the ionosphere is presented. The VLF current is produced by beating two high power HF waves of slightly different frequencies through the nonlinearity and inhomogeneity of the ionospheric plasma. Theory also shows that the density irregularities can enhance the beat-wave generation. An experiment was conducted by transmitting two high power HF waves of 3.2 MHz and 3.2 MHz + f, where f = 5, 8, 13, and 2.02 kHz, from the HAARP transmitter. In the experiment, the ionosphere was underdense to the O-mode heater, i.e., the heater frequency f0 > foF2, and overdense or slightly underdense to the X-mode heater, i.e., f0 < fxF2 or f0 ≥ fxF2. The radiation intensity increased with the VLF wave frequency, was much stronger with the X-mode heaters, and was not sensitive to the electrojet. The strongest VLF radiation of 13 kHz was generated when the reflection layer of the X-mode heater was just slightly below the foF2 layer and the spread of the O-mode sounding echoes had the largest enhancement, suggesting an optimal setting for beat-wave generation of VLF waves by the HF heaters.

  7. Implementation of cascade logic gates and majority logic gate on a simple and universal molecular platform.

    PubMed

    Gao, Jinting; Liu, Yaqing; Lin, Xiaodong; Deng, Jiankang; Yin, Jinjin; Wang, Shuo

    2017-10-25

    Wiring a series of simple logic gates to process complex data is significantly important and a large challenge for untraditional molecular computing systems. The programmable property of DNA endows its powerful application in molecular computing. In our investigation, it was found that DNA exhibits excellent peroxidase-like activity in a colorimetric system of TMB/H 2 O 2 /Hemin (TMB, 3,3', 5,5'-Tetramethylbenzidine) in the presence of K + and Cu 2+ , which is significantly inhibited by the addition of an antioxidant. According to the modulated catalytic activity of this DNA-based catalyst, three cascade logic gates including AND-OR-INH (INHIBIT), AND-INH and OR-INH were successfully constructed. Interestingly, by only modulating the concentration of Cu 2+ , a majority logic gate with a single-vote veto function was realized following the same threshold value as that of the cascade logic gates. The strategy is quite straightforward and versatile and provides an instructive method for constructing multiple logic gates on a simple platform to implement complex molecular computing.

  8. The Sensorless Pore Module of Voltage-gated K+ Channel Family 7 Embodies the Target Site for the Anticonvulsant Retigabine.

    PubMed

    Syeda, Ruhma; Santos, Jose S; Montal, Mauricio

    2016-02-05

    KCNQ (voltage-gated K(+) channel family 7 (Kv7)) channels control cellular excitability and underlie the K(+) current sensitive to muscarinic receptor signaling (the M current) in sympathetic neurons. Here we show that the novel anti-epileptic drug retigabine (RTG) modulates channel function of pore-only modules (PMs) of the human Kv7.2 and Kv7.3 homomeric channels and of Kv7.2/3 heteromeric channels by prolonging the residence time in the open state. In addition, the Kv7 channel PMs are shown to recapitulate the single-channel permeation and pharmacological specificity characteristics of the corresponding full-length proteins in their native cellular context. A mutation (W265L) in the reconstituted Kv7.3 PM renders the channel insensitive to RTG and favors the conductive conformation of the PM, in agreement to what is observed when the Kv7.3 mutant is heterologously expressed. On the basis of the new findings and homology models of the closed and open conformations of the Kv7.3 PM, we propose a structural mechanism for the gating of the Kv7.3 PM and for the site of action of RTG as a Kv7.2/Kv7.3 K(+) current activator. The results validate the modular design of human Kv channels and highlight the PM as a high-fidelity target for drug screening of Kv channels. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  9. Hafnium oxide films for application as gate dielectrics

    NASA Astrophysics Data System (ADS)

    Hsu, Shuo-Lin

    The deposition and characterization of HfO2 films for potential application as a high-kappa gate dielectric in MOS devices has been investigated. DC magnetron reactive sputtering was utilized to prepare the HfO2 films. Structural, chemical, and electrical analyses were performed to characterize the various physical, chemical and electrical properties of the sputtered HfO2 films. The sputtered HfO2 films were annealed to simulate the dopant activation process used in semiconductor processing, and to study the thermal stability of the high-kappa, films. The changes in the film properties due to the annealing are also discussed in this work. Glancing angle XRD was used to analyse the atomic scale structure of the films. The as deposited films exhibit an amorphous, regardless of the film thickness. During post-deposition annealing, the thicker films crystallized at lower temperature (< 600°C), and ultra-thin (5.8 nm) film crystallized at higher temperature (600--720°C). The crystalline phase which formed depended on the thickness of the films. The low temperature phase (monoclinic) formed in the 10--20 nm annealed films, and high temperature phase (tetragonal) formed in the ultra-thin annealed HfO2 film. TEM cross-section studies of as deposited samples show that an interfacial layer (< 1nm) exists between HfO2/Si for all film thicknesses. The interfacial layer grows thicker during heat treatment, and grows more rapidly when grain boundaries are present. XPS surface analysis shows the as deposited films are fully oxidized with an excess of oxygen. Interfacial chemistry analysis indicated that the interfacial layer is a silicon-rich silicate layer, which tends to transform to silica-like layer during heat treatment. I-V measurements show the leakage current density of the Al/as deposited-HfO 2/Si MOS diode is of the order of 10-3 A/cm 2, two orders of magnitude lower than that of a ZrO2 film with similar physical thickness. Carrier transport is dominated by Schottky

  10. Discovery of ferromagnetism with large magnetic anisotropy in ZrMnP and HfMnP

    DOE PAGES

    Lamichhane, Tej N.; Taufour, Valentin; Masters, Morgan W.; ...

    2016-08-29

    Here, ZrMnP and HfMnP single crystals are grown by a self-flux growth technique, and structural as well as temperature dependent magnetic and transport properties are studied. Both compounds have an orthorhombic crystal structure. ZrMnP and HfMnP are ferromagnetic with Curie temperatures around 370 K and 320 K, respectively. The spontaneous magnetizations of ZrMnP and HfMnP are determined to be 1.9 μ B/f.u. and 2.1 μ B/f.u., respectively, at 50 K. The magnetocaloric effect of ZrMnP in terms of entropy change (Δ S) is estimated to be –6.7 kJ m –3 K –1 around 369 K. The easy axis of magnetizationmore » is [100] for both compounds, with a small anisotropy relative to the [010] axis. At 50 K, the anisotropy field along the [001] axis is ~4.6 T for ZrMnP and ~10 T for HfMnP. Such large magnetic anisotropy is remarkable considering the absence of rare-earth elements in these compounds. The first principle calculation correctly predicts the magnetization and hard axis orientation for both compounds, and predicts the experimental HfMnP anisotropy field within 25%. More importantly, our calculations suggest that the large magnetic anisotropy comes primarily from the Mn atoms, suggesting that similarly large anisotropies may be found in other 3d transition metal compounds.« less

  11. Tracking magmatic processes through Zr/Hf ratios in rocks and Hf and Ti zoning in zircons: An example from the Spirit Mountain batholith, Nevada

    USGS Publications Warehouse

    Lowery, Claiborne L.E.; Miller, C.F.; Walker, B.A.; Wooden, J.L.; Mazdab, F.K.; Bea, F.

    2006-01-01

    Zirconium and Hf are nearly identical geochemically, and therefore most of the crust maintains near-chondritic Zr/Hf ratios of ???35-40. By contrast, many high-silica rhyolites and granites have anomalously low Zr/Hf (15-30). As zircon is the primary reservoir for both Zr and Hf and preferentially incorporates Zr, crystallization of zircon controls Zr/ Hf, imprinting low Zr/Hf on coexisting melt. Thus, low Zr/Hf is a unique fingerprint of effective magmatic fractionation in the crust. Age and compositional zonation in zircons themselves provide a record of the thermal and compositional histories of magmatic systems. High Hf (low Zr/ Hf) in zircon zones demonstrates growth from fractionated melt, and Ti provides an estimate of temperature of crystallization (TTiZ) (Watson and Harrison, 2005). Whole-rock Zr/Hf and zircon zonation in the Spirit Mountain batholith, Nevada, document repeated fractionation and thermal fluctuations. Ratios of Zr/Hf are ???30-40 for cumulates and 18-30 for high-SiO2 granites. In zircons, Hf (and U) are inversely correlated with Ti, and concentrations indicate large fluctuations in melt composition and TTiZ (>100??C) for individual zircons. Such variations are consistent with field relations and ion-probe zircon geochronology that indicate a >1 million year history of repeated replenishment, fractionation, and extraction of melt from crystal mush to form the low Zr/Hf high-SiO2 zone. ?? 2006 The Mineralogical Society.

  12. High-resolution studies of the HF ionospheric modification interaction region

    NASA Technical Reports Server (NTRS)

    Duncan, L. M.; Sheerin, J. P.

    1985-01-01

    The use of the pulse edge analysis technique to explain ionospheric modifications caused by high-power HF radio waves is discussed. The technique, implemented at the Arecibo Observatory, uses long radar pulses and very rapid data sampling. A comparison of the pulse leading and trailing edge characteristics is obtained and the comparison is used to estimate the relative changes in the interaction region height and layer width; an example utilizing this technique is provided. Main plasma line overshoot and miniovershoot were studied from the pulse edge observations; the observations at various HF pulsings and radar resolutions are graphically presented. From the pulse edge data the development and the occurrence of main plasma line overshoot and miniovershoot are explained. The theories of soliton formation and collapse, wave ducting, profile modification, and parametric instabilities are examined as a means of explaining main plasma line overshoots and miniovershoots.

  13. Molecular mechanisms underlying pimaric acid-induced modulation of voltage-gated K+ channels.

    PubMed

    Sakamoto, Kazuho; Suzuki, Yoshiaki; Yamamura, Hisao; Ohya, Susumu; Muraki, Katsuhiko; Imaizumi, Yuji

    2017-04-01

    Voltage-gated K + (K V ) channels, which control firing and shape of action potentials in excitable cells, are supposed to be potential therapeutic targets in many types of diseases. Pimaric acid (PiMA) is a unique opener of large conductance Ca 2+ -activated K + channel. Here, we report that PiMA modulates recombinant rodent K V channel activity. The enhancement was significant at low potentials (<0 mV) but not at more positive potentials. Application of PiMA significantly shifted the voltage-activation relationships (V 1/2 ) of rodent K V 1.1, 1.2, 1.3, 1.4, 1.6 and 2.1 channels (K V 1.1-K V 2.1) but K V 4.3 to lower potentials and prolonged their half-decay times of the deactivation (T 1/2D ). The amino acid sequence which is responsible for the difference in response to PiMA was examined between K V 1.1-K V 2.1 and K V 4.3 by site-directed mutagenesis of residues in S5 and S6 segments of Kv1.1. The point mutation of Phe 332 into Tyr mimics the effects of PiMA on V 1/2 and T 1/2D and also abolished the further change by addition of PiMA. The results indicate that PiMA enhances voltage sensitivity of K V 1.1-K V 2.1 channels and suggest that the lipophilic residues including Phe 332 in S5 of K V 1.1-K V 2.1 channels may be critical for the effects of PiMA, providing beneficial information for drug development of K V channel openers. Copyright © 2017 The Authors. Production and hosting by Elsevier B.V. All rights reserved.

  14. Developing hydrological monitoring system based on HF radar for islands and reefs in the South China Sea

    NASA Astrophysics Data System (ADS)

    Li, J.; Shi, P.; Chen, J.; Zhu, Y.; Li, B.

    2016-12-01

    There are many islands (or reefs) in the South China Sea. The hydrological properties (currents and waves) around the islands are highly spatially variable compared to those of coastal region of mainland, because the shorelines are more complex with much smaller scale, and the topographies are step-shape with a much sharper slope. The currents and waves with high spatial variations may destroy the buildings or engineering on shorelines, or even influence the structural stability of reefs. Therefore, it is necessary to establish monitoring systems to obtain the high-resolution hydrological information. This study propose a plan for developing a hydrological monitoring system based on HF radar on the shoreline of a typical island in the southern South China Sea: firstly, the HF radar are integrated with auxiliary equipment (such as dynamo, fuel tank, air conditioner, communication facilities) in a container to build a whole monitoring platform; synchronously, several buoys are set within the radar visibility for data calibration and validation; and finally, the current and wave observations collected by the HF radar are assimilated with numerical models to obtain long-term and high-precision reanalysis products. To test the feasibility of this plan, our research group has built two HF radar sites at the western coastal region of Guangdong Province. The collected data were used to extract surface current information and assimilated with an ocean model. The results show that the data assimilation can highly improve the surface current simulation, especially for typhoon periods. Continuous data with intervals between 6 and 12 hour are the most suitable for ideal assimilations. On the other hand, the test also reveal that developing similar monitoring system on island environments need advanced radars that have higher resolutions and a better performance for persistent work.

  15. Elevated-Temperature Deformation Properties of a HfC Modified Ti-48Al-2Mn-2Nb Matrix Particulate Composite

    NASA Technical Reports Server (NTRS)

    Whittenberger, J. D.; Farmer, S. C.; Bors, D. A.; Ray, R.; Lee, D. S.

    1994-01-01

    Rapid solidification techniques in combination with HIPing have been used to produce Ti-48Al-2Mn-2Nb and a Ti-48Al-2Mn-2Nb+15 wt% HfC composite. While the composite does contain several second phases within the gamma + alpha(sub 2) matrix, none was identified to be HfC. The elevated-temperature properties were determined by constant velocity compression and constant load tensile testing in air between 1000 and 1173 K. Such testing indicated that the elevated temperature strengths of the HfC-modified aluminide was superior to those of the unreinforced matrix with the best 1100 K temperature slow strain rate properties for both materials being achieved after high-temperature annealing prior to testing. Examination of the microstructures after deformation in combination with the measured stress exponents and activation energies suggest that creep resistance of the HfC-modified form is due to solid-solution strengthening from carbon and hafnium rather than the presence of second phases.

  16. Zr and Hf diffusion in rutile

    NASA Astrophysics Data System (ADS)

    Cherniak, D. J.; Manchester, J.; Watson, E. B.

    2007-09-01

    Chemical diffusion of Zr and Hf under anhydrous conditions has been measured in synthetic and natural rutile. The sources of diffusant used were hafnon or zircon powders or a hafnon-rutile mixture. Experiments were run in crimped Pt capsules in air, or in sealed silica glass capsules with solid buffers (to buffer at NNO or QFM). Rutherford Backscattering Spectrometry (RBS) was used to measure Zr and Hf diffusion profiles. From these measurements, the following Arrhenius relations were obtained: For Zr diffusion parallel to c, over the temperature range 750-1100 °C DZr¦c = 9.8 × 10 - 15 exp(- 170 ± 30 kJ mol - 1 /RT) m 2 s - 1 For Hf diffusion parallel to c, over the temperature range 800-1000 °C DHf¦c = 9.1 × 10 - 15 exp(- 169 ± 36 kJ mol - 1 /RT) m 2 s - 1 For Hf diffusion normal to c, over the temperature range 750-1050 °C DHf⊥c = 2.5 × 10 - 12 exp(- 227 ± 62 kJ mol - 1 /RT) m 2 s - 1 . Diffusivities for experiments buffered at QFM and NNO are similar to those run in air. Diffusivities in synthetic and natural rutile are likewise similar, indicating that these findings can be applied directly in determining Zr diffusivities in rutile in natural systems. These data indicate that rutile should be moderately retentive of Zr chemical signatures, with Zr diffusivities within an order of magnitude of those for Pb in rutile over most geologic conditions. When applied in evaluation of the relative robustness of the recently developed Zr-in-rutile geothermometer [T. Zack, R. Moraes, A. Kronz, Temperature dependence of Zr in rutile: empirical calibration of a rutile thermometer, Contributions to Mineralogy and Petrology 148 (2004) 471-488., E.B. Watson, D.A. Wark, J.B. Thomas, Crystallization thermometers for zircon and rutile, Contributions to Mineralogy and Petrology 151 (2006) 413-433.], these findings suggest that Zr concentrations in rutile will be somewhat more likely to be affected by later thermal disturbance than the geothermometer based on Zr

  17. Low-Power and High-Speed Technique for logic Gates in 20nm Double-Gate FinFET Technology

    NASA Astrophysics Data System (ADS)

    Priydarshi, A.; Chattopadhyay, M. K.

    2016-10-01

    The FinFET is the leading example of multigate MOSFETS to substitute conventional single gate MOSFETs for ultimate scaling [1], The FinFET structure is a combination of a thin channel region and a double gate to suppress the short channel effects (SCEs) and Vthvariation [2], By using FinFET,figure of merits viz, ION, IOFF, output resistance, propagation delay, noise margin and leakage power, can be improved for ultra low power and high performance applications[3]. In this paper, a new high speed low power dynamic circuit design technique has been proposed using 20nm FinFETs. By applying the appropriate clock and sleep signal to the back gates of the FinFETs, the proposed circuit can efficiently control the dynamic power, During the pre-charging period, Vth of PMOS is controlled low so that a fast precharging can occur;

  18. Propagation Impact on Modern HF (High Frequency) Communications System Design

    DTIC Science & Technology

    1986-03-01

    received SNR is maximised and interference avoided. As a general principle, system availability and reliability should be improved by the use of...LECTURE SERIES No. 145 propagation Impact on Modern HF Communications System Design. NORTH ATLANTIC TREATY ORGANIZATION gS ^, DISTRIBUTION ...civil and military communities for high frequency communications. It will discuss concepts of real time channel evaluation , system design, as well as

  19. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

    NASA Astrophysics Data System (ADS)

    Zhang, Kai; Kong, Cen; Zhou, Jianjun; Kong, Yuechan; Chen, Tangsheng

    2017-02-01

    The paper reports high-performance enhancement-mode MOS high-electron mobility transistors (MOS-HEMTs) based on a quaternary InAlGaN barrier. Self-aligned gate technology is used for gate recessing, dielectric deposition, and gate electrode formation. An improved digital recessing process is developed, and an Al2O3 gate dielectric grown with O2 plasma is used. Compared to results with AlGaN barrier, the fabricated E-mode MOS-HEMT with InAlGaN barrier delivers a record output current density of 1.7 A/mm with a threshold voltage (V TH) of 1.5 V, and a small on-resistance (R on) of 2.0 Ω·mm. Excellent V TH hysteresis and greatly improved gate leakage characteristics are also demonstrated.

  20. Side-gate modulation effects on high-quality BN-Graphene-BN nanoribbon capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yang; Chen, Xiaolong; Ye, Weiguang

    High-quality BN-Graphene-BN nanoribbon capacitors with double side-gates of graphene have been experimentally realized. The double side-gates can effectively modulate the electronic properties of graphene nanoribbon capacitors. By applying anti-symmetric side-gate voltages, we observed significant upward shifting and flattening of the V-shaped capacitance curve near the charge neutrality point. Symmetric side-gate voltages, however, only resulted in tilted upward shifting along the opposite direction of applied gate voltages. These modulation effects followed the behavior of graphene nanoribbons predicted theoretically for metallic side-gate modulation. The negative quantum capacitance phenomenon predicted by numerical simulations for graphene nanoribbons modulated by graphene side-gates was not observed,more » possibly due to the weakened interactions between the graphene nanoribbon and side-gate electrodes caused by the Ga{sup +} beam etching process.« less

  1. Low temperature formation of higher-k cubic phase HfO{sub 2} by atomic layer deposition on GeO{sub x}/Ge structures fabricated by in-situ thermal oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R., E-mail: zhang@mosfet.t.u-tokyo.ac.jp; Department of Information Science and Electronic Engineering, Zhejiang University, 38 Zheda Road, Hangzhou 310027; Huang, P.-C.

    2016-02-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO{sub 2} using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO{sub x} interfacial layer. It is found that the cubic phase is dominant in the HfO{sub 2} film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO{sub 2} film on a 1-nm-thick GeO{sub x} form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO{sub 2} can be induced by the formation of six-fold crystalline GeO{sub x} structures in the underlying GeO{sub x}more » interfacial layer.« less

  2. Mechanical properties of ZrB2- and HfB2-based ultra-high temperature ceramics fabricated by spark plasma sintering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zapata-Solvas, E.; Jayaseelan, D.; Lin, Hua-Tay

    2013-01-01

    Flexural strengths at room temperature, at 1400 C in air and at room temperature after 1 h oxidation at 1400 C were determined for ZrB2- and HfB2-based ultra-high temperature ceramics (UHTCs). Defects caused by electrical discharge machining (EDM) lowered measured strengths significantly and were used to calculate fracture toughness via a fracture mechanics approach. ZrB2 with 20 vol.% SiC had room temperature strength of 700 90 MPa, fracture toughness of 6.4 0.6 MPa, Vickers hardness at 9.8 N load of 21.1 0.6 GPa, 1400 C strength of 400 30 MPa and room temperature strength after 1 h oxidation at 1400more » C of 678 15 MPa with an oxide layer thickness of 45 5 m. HfB2 with 20 vol.% SiC showed room temperature strength of 620 50 MPa, fracture toughness of 5.0 0.4 MPa, Vickers hardness at 9.8 N load of 27.0 0.6 GPa, 1400 C strength of 590 150 MPa and room temperature strength after 1 h oxidation at 1400 C of 660 25 MPa with an oxide layer thickness of 12 1 m. 2 wt.% La2O3 addition to UHTCs slightly reduced mechanical performance while increasing tolerance to property degradation after oxidation and effectively aided internal stress relaxation during spark plasma sintering (SPS) cooling, as quantified by X-ray diffraction (XRD). Slow crack growth was suggested as the failure mechanism at high temperatures as a consequence of sharp cracks formation during oxidation.« less

  3. Comparative analysis of full-gate and short-gate dielectric modulated electrically doped Tunnel-FET based biosensors

    NASA Astrophysics Data System (ADS)

    Sharma, Dheeraj; Singh, Deepika; Pandey, Sunil; Yadav, Shivendra; Kondekar, P. N.

    2017-11-01

    In this work, we have done a comprehensive study between full-gate and short-gate dielectrically modulated (DM) electrically doped tunnel field-effect transistor (SGDM-EDTFET) based biosensors of equivalent dimensions. However, in both the structures, dielectric constant and charge density are considered as a sensing parameter for sensing the charged and non-charged biomolecules in the given solution. In SGDM-EDTFET architecture, the reduction in gate length results a significant improvement in the tunneling current due to occurrence of strong coupling between gate and channel region which ensures higher drain current sensitivity for detection of the biomolecules. Moreover, the sensitivity of dual metal SGDM-EDTFET is compared with the single metal SGDM-EDTFET to analyze the better sensing capability of both the devices for the biosensor application. Further, the effect of sensing parameter i.e., ON-current (ION), and ION/IOFF ratio is analysed for dual metal SGDM-EDTFET in comparison with dual metal SGDM-EDFET. From the comparison, it is found that dual metal SGDM-EDTFET based biosensor attains relatively better sensitivity and can be utilized as a suitable candidate for biosensing applications.

  4. Realization of a quantum gate using gravitational search algorithm by perturbing three-dimensional harmonic oscillator with an electromagnetic field

    NASA Astrophysics Data System (ADS)

    Sharma, Navneet; Rawat, Tarun Kumar; Parthasarathy, Harish; Gautam, Kumar

    2016-06-01

    The aim of this paper is to design a current source obtained as a representation of p information symbols \\{I_k\\} so that the electromagnetic (EM) field generated interacts with a quantum atomic system producing after a fixed duration T a unitary gate U( T) that is as close as possible to a given unitary gate U_g. The design procedure involves calculating the EM field produced by \\{I_k\\} and hence the perturbing Hamiltonian produced by \\{I_k\\} finally resulting in the evolution operator produced by \\{I_k\\} up to cubic order based on the Dyson series expansion. The gate error energy is thus obtained as a cubic polynomial in \\{I_k\\} which is minimized using gravitational search algorithm. The signal to noise ratio (SNR) in the designed gate is higher as compared to that using quadratic Dyson series expansion. The SNR is calculated as the ratio of the Frobenius norm square of the desired gate to that of the desired gate error.

  5. Construction of a fuzzy and Boolean logic gates based on DNA.

    PubMed

    Zadegan, Reza M; Jepsen, Mette D E; Hildebrandt, Lasse L; Birkedal, Victoria; Kjems, Jørgen

    2015-04-17

    Logic gates are devices that can perform logical operations by transforming a set of inputs into a predictable single detectable output. The hybridization properties, structure, and function of nucleic acids can be used to make DNA-based logic gates. These devices are important modules in molecular computing and biosensing. The ideal logic gate system should provide a wide selection of logical operations, and be integrable in multiple copies into more complex structures. Here we show the successful construction of a small DNA-based logic gate complex that produces fluorescent outputs corresponding to the operation of the six Boolean logic gates AND, NAND, OR, NOR, XOR, and XNOR. The logic gate complex is shown to work also when implemented in a three-dimensional DNA origami box structure, where it controlled the position of the lid in a closed or open position. Implementation of multiple microRNA sensitive DNA locks on one DNA origami box structure enabled fuzzy logical operation that allows biosensing of complex molecular signals. Integrating logic gates with DNA origami systems opens a vast avenue to applications in the fields of nanomedicine for diagnostics and therapeutics. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. N channel JFET based digital logic gate structure

    NASA Technical Reports Server (NTRS)

    Krasowski, Michael J. (Inventor)

    2010-01-01

    A circuit topography is presented which is used to create usable digital logic gates using N (negatively doped) channel Junction Field Effect Transistors (JFETs) and load resistors, level shifting resistors, and supply rails whose values are based on the direct current (DC) parametric distributions of those JFETs. This method has direct application to the current state of the art in high temperature, for example 300.degree. C. to 500.degree. C. and higher, silicon carbide (SiC) device production. The ability to produce inverting and combinatorial logic enables the production of pulse and edge triggered latches. This scale of logic synthesis would bring digital logic and state machine capabilities to devices operating in extremely hot environments, such as the surface of Venus, near hydrothermal vents, within nuclear reactors (SiC is inherently radiation hardened), and within internal combustion engines. The basic logic gate can be configured as a driver for oscillator circuits allowing for time bases and simple digitizers for resistive or reactive sensors. The basic structure of this innovation, the inverter, can be reconfigured into various analog circuit topographies through the use of feedback structures.

  7. Water-gel for gating graphene transistors.

    PubMed

    Kim, Beom Joon; Um, Soong Ho; Song, Woo Chul; Kim, Yong Ho; Kang, Moon Sung; Cho, Jeong Ho

    2014-05-14

    Water, the primary electrolyte in biology, attracts significant interest as an electrolyte-type dielectric material for transistors compatible with biological systems. Unfortunately, the fluidic nature and low ionic conductivity of water prevents its practical usage in such applications. Here, we describe the development of a solid state, megahertz-operating, water-based gate dielectric system for operating graphene transistors. The new electrolyte systems were prepared by dissolving metal-substituted DNA polyelectrolytes into water. The addition of these biocompatible polyelectrolytes induced hydrogelation to provide solid-state integrity to the system. They also enhanced the ionic conductivities of the electrolytes, which in turn led to the quick formation of an electric double layer at the graphene/electrolyte interface that is beneficial for modulating currents in graphene transistors at high frequencies. At the optimized conditions, the Na-DNA water-gel-gated flexible transistors and inverters were operated at frequencies above 1 MHz and 100 kHz, respectively.

  8. Complex oligosaccharides are N-linked to Kv3 voltage-gated K+ channels in rat brain.

    PubMed

    Cartwright, Tara A; Corey, Melissa J; Schwalbe, Ruth A

    2007-04-01

    Neuronal Kv3 voltage-gated K(+) channels have two absolutely conserved N-glycosylation sites. Here, it is shown that Kv3.1, 3.3, and 3.4 channels are N-glycosylated in rat brain. Digestion of total brain membranes with peptide N glycosidase F (PNGase F) produced faster migrating immunobands than those of undigested membranes. Additionally, partial PNGase F digests showed that both sites are occupied by oligosaccharides. Neuraminidase treatment produced a smaller immunoband shift relative to PNGase F treatment. These results indicate that both sites are highly available and occupied by N-linked oligosaccharides for Kv3.1, 3.3, and 3.4 in rat brain, and furthermore that at least one oligosaccharide is of complex type. Additionally, these results point to an extracytoplasmic S1-S2 linker in Kv3 proteins expressed in native membranes. We suggest that N-glycosylation processing of Kv3 channels is critical for the expression of K(+) currents at the surface of neurons, and perhaps contributes to the pathophysiology of congenital disorders of glycosylation.

  9. Carbon nanotube transistor based high-frequency electronics

    NASA Astrophysics Data System (ADS)

    Schroter, Michael

    At the nanoscale carbon nanotubes (CNTs) have higher carrier mobility and carrier velocity than most incumbent semiconductors. Thus CNT based field-effect transistors (FETs) are being considered as strong candidates for replacing existing MOSFETs in digital applications. In addition, the predicted high intrinsic transit frequency and the more recent finding of ways to achieve highly linear transfer characteristics have inspired investigations on analog high-frequency (HF) applications. High linearity is extremely valuable for an energy efficient usage of the frequency spectrum, particularly in mobile communications. Compared to digital applications, the much more relaxed constraints for CNT placement and lithography combined with already achieved operating frequencies of at least 10 GHz for fabricated devices make an early entry in the low GHz HF market more feasible than in large-scale digital circuits. Such a market entry would be extremely beneficial for funding the development of production CNTFET based process technology. This talk will provide an overview on the present status and feasibility of HF CNTFET technology will be given from an engineering point of view, including device modeling, experimental results, and existing roadblocks. Carbon nanotube transistor based high-frequency electronics.

  10. Low-voltage Organic Thin Film Transistors (OTFTs) with Solution-processed High-k Dielectric cum Interface Engineering

    NASA Astrophysics Data System (ADS)

    Su, Yaorong

    Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs), the high operation voltage resulting from the low gate areal capacitance of traditional SiO 2 remains a severe limitation that hinders OTFTs' development in practical applications. In this regard, developing new materials with high- k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. In this thesis, we first describe a simple solution-based method to fabricate a high-k bilayer Al2Oy/TiOx (ATO) dielectric system at low temperature. Then the dielectric properties of the ATO are characterized and discussed in detail. Furthermore, by employing the high-k ATO as gate dielectric, low-voltage copper phthalocyanine (CuPc) based OTFTs are successfully developed. Interestingly, the obtained low-voltage CuPc TFT exhibits outstanding electrical performance, which is even higher than the device fabricated on traditional low-k SiO2. The above results seem to be contradictory to the reported results due to the fact that high-k usually shows adverse effect on the device performance. This abnormal phenomenon is then studied in detail. Characterization on the initial growth shows that the CuPc molecules assemble in a "rod-like" nano crystal with interconnected network on ATO, which probably promotes the charge carrier transport, whereas, they form isolated small islands with amorphous structure on SiO2. In addition, a better metal/organic contact is observed on ATO, which benefits the charge carrier injection. Our studies suggest that the low-temperature, solution-processed high-k ATO is a promising candidate for fabrication of high-performance, low-voltage OTFTs. Furthermore, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. Hence, investigation the effects of interfaces

  11. Dissociation energies of the hydrogen-bonded dimers RCN-HF (R = CH3, HCC) determined by rotational spectroscopy

    NASA Astrophysics Data System (ADS)

    Legon, A. C.; Millen, D. J.; North, Hazel M.

    1987-03-01

    The zero-point and equilibrium dissociation energies (D0 and De) of the hydrogen-bonded dimers CH3CN-HF and HCCCN-HF are determined experimentally on the basis of absolute intensity measurements of selected rotational transitions. A Stark-modulated microwave spectrometer is employed with the cooled absorption cell described by Legon et al. (1980). The results are presented in tables and analyzed. Energies determined are D0 = 26.1(0.6) kJ/mol and De = 29.0(0.9) kJ/mol for CH3CN-HF and D0 = 20.4(0.7) kJ/mol and De = 23.4(0.9) kJ/mol for HCCCN-HF. Theoretical De values calculated using the Morse potential function are found to be in much better agreement with the experimental results than those calculated with the Lennard-Jones potential function.

  12. SnO2-gated AlGaN/GaN high electron mobility transistors based oxygen sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hung, S.T.; Chung, Chi-Jung; Chen, Chin Ching

    2012-01-01

    Hydrothermally grown SnO2 was integrated with AlGaN/GaN high electron mobility transistor (HEMT) sensor as the gate electrode for oxygen detection. The crystalline of the SnO2 was improved after annealing at 400 C. The grain growth kinetics of the SnO2 nanomaterials, together with the O2 gas sensing properties and sensing mechanism of the SnO2 gated HEMT sensors were investigated. Detection of 1% oxygen in nitrogen at 100 C was possible. A low operation temperature and low power consumption oxygen sensor can be achieved by combining the SnO2 films with the AlGaN/GaN HEMT structure

  13. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  14. Electron holography on HfO2/HfO2-x bilayer structures with multilevel resistive switching properties

    NASA Astrophysics Data System (ADS)

    Niu, G.; Schubert, M. A.; Sharath, S. U.; Zaumseil, P.; Vogel, S.; Wenger, C.; Hildebrandt, E.; Bhupathi, S.; Perez, E.; Alff, L.; Lehmann, M.; Schroeder, T.; Niermann, T.

    2017-05-01

    Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are responsible for the resistive switching of the HfO2-based resistive random access memory (RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico-chemical properties of oxygen-deficient amorphous HfO2-x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2-x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2-x /TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

  15. Voltage Gated Ion Channel Function: Gating, Conduction, and the Role of Water and Protons

    PubMed Central

    Kariev, Alisher M.; Green, Michael E.

    2012-01-01

    Ion channels, which are found in every biological cell, regulate the concentration of electrolytes, and are responsible for multiple biological functions, including in particular the propagation of nerve impulses. The channels with the latter function are gated (opened) by a voltage signal, which allows Na+ into the cell and K+ out. These channels have several positively charged amino acids on a transmembrane domain of their voltage sensor, and it is generally considered, based primarily on two lines of experimental evidence, that these charges move with respect to the membrane to open the channel. At least three forms of motion, with greatly differing extents and mechanisms of motion, have been proposed. There is a “gating current”, a capacitative current preceding the channel opening, that corresponds to several charges (for one class of channel typically 12–13) crossing the membrane field, which may not require protein physically crossing a large fraction of the membrane. The coupling to the opening of the channel would in these models depend on the motion. The conduction itself is usually assumed to require the “gate” of the channel to be pulled apart to allow ions to enter as a section of the protein partially crosses the membrane, and a selectivity filter at the opposite end of the channel determines the ion which is allowed to pass through. We will here primarily consider K+ channels, although Na+ channels are similar. We propose that the mechanism of gating differs from that which is generally accepted, in that the positively charged residues need not move (there may be some motion, but not as gating current). Instead, protons may constitute the gating current, causing the gate to open; opening consists of only increasing the diameter at the gate from approximately 6 Å to approximately 12 Å. We propose in addition that the gate oscillates rather than simply opens, and the ion experiences a barrier to its motion across the channel that is tuned

  16. A rugged 650 V SOI-based high-voltage half-bridge IGBT gate driver IC for motor drive applications

    NASA Astrophysics Data System (ADS)

    Hua, Qing; Li, Zehong; Zhang, Bo; Chen, Weizhong; Huang, Xiangjun; Feng, Yuxiang

    2015-05-01

    This paper proposes a rugged high-voltage N-channel insulated gate bipolar transistor (IGBT) gate driver integrated circuit. The device integrates a high-side and a low-side output stages on a single chip, which is designed specifically for motor drive applications. High-voltage level shift technology enables the high-side stage of this device to operate up to 650 V. The logic inputs are complementary metal oxide semiconductor (CMOS)/transistor transistor logic compatible down to 3.3 V. Undervoltage protection functionality with hysteresis characteristic has also been integrated to enhance the device reliability. The device is fabricated in a 1.0 μm, 650 V high-voltage bipolar CMOS double-diffused metal oxide semiconductor (BCD) on silicon-on-insulator (SOI) process. Deep trench dielectric isolation technology is employed to provide complete electrical isolation with advantages such as reduced parasitic effects, excellent noise immunity and low leakage current. Experimental results show that the isolation voltage of this device can be up to approximately 779 V at 25°C, and the leakage current is only 5 nA at 650 V, which is 15% higher and 67% lower than the conventional ones. In addition, it delivers an excellent thermal stability and needs very low quiescent current and offers a high gate driver capability which is needed to adequately drive IGBTs that have large input capacitances.

  17. Real-time, high frequency (1 Hz), in situ measurement of HCl and HF gases in volcanic plumes with a novel cavity-enhanced, laser-based instrument

    NASA Astrophysics Data System (ADS)

    Kelly, P. J.; Sutton, A. J.; Elias, T.; Kern, C.; Clor, L. E.; Baer, D. S.

    2017-12-01

    Primary magmatic halogen-containing gases (HCl, HF, HBr, HI in characteristic order of abundance) are of great interest for volcano monitoring and research because, in general, they are more soluble in magma than other commonly-monitored volcanic volatiles (e.g. CO2, SO2, H2S) and thereby can offer unique insights into shallow magmatic processes. Nevertheless, difficulties in obtaining observations of primary volcanic halogens in gas plumes with traditional methods (e.g. direct sampling, Open-Path Fourier Transform Infrared spectroscopy, filter packs) have limited the number of observations reported worldwide, especially from explosive arc volcanoes. With this in mind, the USGS and Los Gatos Research, Inc. collaborated to adapt a commercially-available industrial in situ HCl-HF analyzer for use in airborne and ground-based measurements of volcanic gases. The new, portable instrument is based around two near-IR tunable diode lasers and uses a vibration-tolerant, enhanced-cavity approach that is well-suited for rugged field applications and yields fast (1 Hz) measurements with a wide dynamic range (0 -2 ppm) and sub-ppb precision (1σ: HCl: <0.4 ppb; HF: <0.1 ppb). In spring 2017 we conducted field tests at Kīlauea Volcano, Hawaii, to benchmark the performance of the new instrument and to compare it with an accepted method for halogen measurements (OP-FTIR). The HCl-HF instrument was run in parallel with a USGS Multi-GAS to obtain in situ H2O-CO2-SO2-H2S-HCl-HF plume compositions. The results were encouraging and quasi-direct comparisons of the in situ and remote sensing instruments showed good agreement (e.g. in situ SO2/HCl = 72 vs. OP-FTIR SO2/HCl = 88). Ground-based and helicopter-based measurements made 0 - 12 km downwind from the vent (plume age 0 - 29 minutes) show that plume SO2/HCl ratios increase rapidly from 60 to 300 around the plume edges, possibly due to uptake of HCl onto aerosols.

  18. Highly sensitive MoTe2 chemical sensor with fast recovery rate through gate biasing

    NASA Astrophysics Data System (ADS)

    Feng, Zhihong; Xie, Yuan; Chen, Jiancui; Yu, Yuanyuan; Zheng, Shijun; Zhang, Rui; Li, Quanning; Chen, Xuejiao; Sun, Chongling; Zhang, Hao; Pang, Wei; Liu, Jing; Zhang, Daihua

    2017-06-01

    The unique properties of two dimensional (2D) materials make them promising candidates for chemical and biological sensing applications. However, most 2D nanomaterial sensors suffer very long recovery time due to slow molecular desorption at room temperature. Here, we report a highly sensitive molybdenum ditelluride (MoTe2) gas sensor for NO2 and NH3 detection with greatly enhanced recovery rate. The effects of gate bias on sensing performance have been systematically studied. It is found that the recovery kinetics can be effectively adjusted by biasing the sensor to different gate voltages. Under the optimum biasing potential, the MoTe2 sensor can achieve more than 90% recovery after each sensing cycle well within 10 min at room temperature. The results demonstrate the potential of MoTe2 as a promising candidate for high-performance chemical sensors. The idea of exploiting gate bias to adjust molecular desorption kinetics can be readily applied to much wider sensing platforms based on 2D nanomaterials.

  19. High-fidelity gates towards a scalable superconducting quantum processor

    NASA Astrophysics Data System (ADS)

    Chow, Jerry M.; Corcoles, Antonio D.; Gambetta, Jay M.; Rigetti, Chad; Johnson, Blake R.; Smolin, John A.; Merkel, Seth; Poletto, Stefano; Rozen, Jim; Rothwell, Mary Beth; Keefe, George A.; Ketchen, Mark B.; Steffen, Matthias

    2012-02-01

    We experimentally explore the implementation of high-fidelity gates on multiple superconducting qubits coupled to multiple resonators. Having demonstrated all-microwave single and two qubit gates with fidelities > 90% on multi-qubit single-resonator systems, we expand the application to qubits across two resonators and investigate qubit coupling in this circuit. The coupled qubit-resonators are building blocks towards two-dimensional lattice networks for the application of surface code quantum error correction algorithms.

  20. TH-E-17A-04: Geometric Validation of K-Space Self-Gated 4D-MRI Vs. 4D-CT Using A Respiratory Motion Phantom

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yue, Y; Fan, Z; Yang, W

    Purpose: 4D-CT is often limited by motion artifacts, low temporal resolution, and poor phase-based target definition. We recently developed a novel k-space self-gated 4D-MRI technique with high spatial and temporal resolution. The goal here is to geometrically validate 4D-MRI using a MRI-CT compatible respiratory motion phantom and comparison to 4D-CT. Methods: 4D-MRI was acquired using 3T spoiled gradient echo-based 3D projection sequences. Respiratory phases were resolved using self-gated k-space lines as the motion surrogate. Images were reconstructed into 10 temporal bins with 1.56×1.56×1.56mm3. A MRI-CT compatible phantom was designed with a 23mm diameter ball target filled with highconcentration gadolinium(Gd) gelmore » embedded in a 35×40×63mm3 plastic box stabilized with low-concentration Gd gel. The whole phantom was driven by an air pump. Human respiratory motion was mimicked using the controller from a commercial dynamic phantom (RSD). Four breathing settings (rates/depths: 10s/20mm, 6s/15mm, 4s/10mm, 3s/7mm) were scanned with 4D-MRI and 4D-CT (slice thickness 1.25mm). Motion ground-truth was obtained from input signals and real-time video recordings. Reconstructed images were imported into Eclipse(Varian) for target contouring. Volumes and target positions were compared with ground-truth. Initial human study was investigated on a liver patient. Results: 4D-MRI and 4D-CT scans for the different breathing cycles were reconstructed with 10 phases. Target volume in each phase was measured for both 4D-CT and 4D-MRI. Volume percentage difference for the 6.37ml target ranged from 6.67±5.33 to 11.63±5.57 for 4D-CT and from 1.47±0.52 to 2.12±1.60 for 4D-MRI. The Mann-Whitney U-test shows the 4D-MRI is significantly superior to 4D-CT (p=0.021) for phase-based target definition. Centroid motion error ranges were 1.35–1.25mm (4D-CT), and 0.31–0.12mm (4D-MRI). Conclusion: The k-space self-gated 4D-MRI we recently developed can accurately determine

  1. High voltage and current, gate assisted, turn-off thyristor development

    NASA Technical Reports Server (NTRS)

    Nowalk, T. P.; Brewster, J. B.; Kao, Y. C.

    1972-01-01

    An improved high speed power switch with unique turn-off capability was developed. This gate assisted turn-off thyristor (GATT) was rated 1000 volts and 100 amperes with turn-off times of 2 microseconds. Fifty units were delivered for evaluation. In addition, test circuits designed to relate to the series inverter application were built and demonstrated. In the course of this work it was determined that the basic device design is adequate to meet the static characteristics and dynamic turn-off specification. It was further determined that the turn-on specification is critically dependent on the gate drive circuit due to the distributive nature of the cathode-gate geometry. Future work should emphasize design modifications which reduce the gate current required for fast turn-on, thereby opening the way to higher power (current) devices.

  2. Catheter-based time-gated near-infrared fluorescence/OCT imaging system

    NASA Astrophysics Data System (ADS)

    Lu, Yuankang; Abran, Maxime; Cloutier, Guy; Lesage, Frédéric

    2018-02-01

    We developed a new dual-modality intravascular imaging system based on fast time-gated fluorescence intensity imaging and spectral domain optical coherence tomography (SD-OCT) for the purpose of interventional detection of atherosclerosis. A pulsed supercontinuum laser was used for fluorescence and OCT imaging. A double-clad fiber (DCF)- based side-firing catheter was designed and fabricated to have a 23 μm spot size at a 2.2 mm working distance for OCT imaging. Its single-mode core is used for OCT, while its inner cladding transports fluorescence excitation light and collects fluorescent photons. The combination of OCT and fluorescence imaging was achieved by using a DCF coupler. For fluorescence detection, we used a time-gated technique with a novel single-photon avalanche diode (SPAD) working in an ultra-fast gating mode. A custom-made delay chip was integrated in the system to adjust the delay between the excitation laser pulse and the SPAD gate-ON window. This technique allowed to detect fluorescent photons of interest while rejecting most of the background photons, thus leading to a significantly improved signal to noise ratio (SNR). Experiments were carried out in turbid media mimicking tissue with an indocyanine green (ICG) inclusion (1 mM and 100 μM) to compare the time-gated technique and the conventional continuous detection technique. The gating technique increased twofold depth sensitivity, and tenfold SNR at large distances. The dual-modality imaging capacity of our system was also validated with a silicone-based tissue-mimicking phantom.

  3. Pros and cons of symmetrical dual-k spacer technology in hybrid FinFETs

    NASA Astrophysics Data System (ADS)

    Pradhan, K. P.; Andrade, M. G. C.; Sahu, P. K.

    2016-12-01

    The symmetrical dual-k spacer technology in hybrid FinFETs has been widely explored for better electrostatic control of the fin-based devices in nanoscale region. Since, high-k tangible spacer materials are broadly became a matter of study due to their better immunity to the short channel effects (SCEs) in nano devices. However, the only cause that restricts the circuit designers from using high-k spacer is the unreasonable increasing fringing capacitances. This work quantitatively analyzed the benefits and drawbacks of considering two different dielectric spacer materials symmetrically in either sides of the channel for the hybrid device. From the demonstrated results, the inclusion of high-k spacer predicts an effective reduction in off-state leakage along with an improvement in drive current. However, these devices have paid the cost in terms of a high total gate-to-gate capacitance (Cgg) that consequently results poor cutoff frequency (fT) and delay.

  4. Modeling of NiTiHf using finite difference method

    NASA Astrophysics Data System (ADS)

    Farjam, Nazanin; Mehrabi, Reza; Karaca, Haluk; Mirzaeifar, Reza; Elahinia, Mohammad

    2018-03-01

    NiTiHf is a high temperature and high strength shape memory alloy with transformation temperatures above 100oC. A constitutive model based on Gibbs free energy is developed to predict the behavior of this material. Two different irrecoverable strains including transformation induced plastic strain (TRIP) and viscoplastic strain (VP) are considered when using high temperature shape memory alloys (HTSMAs). The first one happens during transformation at high levels of stress and the second one is related to the creep which is rate-dependent. The developed model is implemented for NiTiHf under uniaxial loading. Finite difference method is utilized to solve the proposed equations. The material parameters in the equations are calibrated from experimental data. Simulation results are captured to investigate the superelastic behavior of NiTiHf. The extracted results are compared with experimental tests of isobaric heating and cooling at different levels of stress and also superelastic tests at different levels of temperature. More results are generated to investigate the capability of the proposed model in the prediction of the irrecoverable strain after full transformation in HTSMAs.

  5. Restless Tuneup of High-Fidelity Qubit Gates

    NASA Astrophysics Data System (ADS)

    Rol, M. A.; Bultink, C. C.; O'Brien, T. E.; de Jong, S. R.; Theis, L. S.; Fu, X.; Luthi, F.; Vermeulen, R. F. L.; de Sterke, J. C.; Bruno, A.; Deurloo, D.; Schouten, R. N.; Wilhelm, F. K.; DiCarlo, L.

    2017-04-01

    We present a tuneup protocol for qubit gates with tenfold speedup over traditional methods reliant on qubit initialization by energy relaxation. This speedup is achieved by constructing a cost function for Nelder-Mead optimization from real-time correlation of nondemolition measurements interleaving gate operations without pause. Applying the protocol on a transmon qubit achieves 0.999 average Clifford fidelity in one minute, as independently verified using randomized benchmarking and gate-set tomography. The adjustable sensitivity of the cost function allows the detection of fractional changes in the gate error with a nearly constant signal-to-noise ratio. The restless concept demonstrated can be readily extended to the tuneup of two-qubit gates and measurement operations.

  6. The solvability of quantum k-pair network in a measurement-based way.

    PubMed

    Li, Jing; Xu, Gang; Chen, Xiu-Bo; Qu, Zhiguo; Niu, Xin-Xin; Yang, Yi-Xian

    2017-12-01

    Network coding is an effective means to enhance the communication efficiency. The characterization of network solvability is one of the most important topic in this field. However, for general network, the solvability conditions are still a challenge. In this paper, we consider the solvability of general quantum k-pair network in measurement-based framework. For the first time, a detailed account of measurement-based quantum network coding(MB-QNC) is specified systematically. Differing from existing coding schemes, single qubit measurements on a pre-shared graph state are the only allowed coding operations. Since no control operations are concluded, it makes MB-QNC schemes more feasible. Further, the sufficient conditions formulating by eigenvalue equations and stabilizer matrix are presented, which build an unambiguous relation among the solvability and the general network. And this result can also analyze the feasibility of sharing k EPR pairs task in large-scale networks. Finally, in the presence of noise, we analyze the advantage of MB-QNC in contrast to gate-based way. By an instance network [Formula: see text], we show that MB-QNC allows higher error thresholds. Specially, for X error, the error threshold is about 30% higher than 10% in gate-based way. In addition, the specific expressions of fidelity subject to some constraint conditions are given.

  7. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    NASA Astrophysics Data System (ADS)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  8. Carbon nanotube transistor based high-frequency electronics

    NASA Astrophysics Data System (ADS)

    Schroter, Michael

    At the nanoscale carbon nanotubes (CNTs) have higher carrier mobility and carrier velocity than most incumbent semiconductors. Thus CNT based field-effect transistors (FETs) are being considered as strong candidates for replacing existing MOSFETs in digital applications. In addition, the predicted high intrinsic transit frequency and the more recent finding of ways to achieve highly linear transfer characteristics have inspired investigations on analog high-frequency (HF) applications. High linearity is extremely valuable for an energy efficient usage of the frequency spectrum, particularly in mobile communications. Compared to digital applications, the much more relaxed constraints for CNT placement and lithography combined with already achieved operating frequencies of at least 10 GHz for fabricated devices make an early entry in the low GHz HF market more feasible than in large-scale digital circuits. Such a market entry would be extremely beneficial for funding the development of production CNTFET based process technology. This talk will provide an overview on the present status and feasibility of HF CNTFET technology will be given from an engineering point of view, including device modeling, experimental results, and existing roadblocks.

  9. Insights on the Synthesis, Crystal and Electronic Structures, and Optical and Thermoelectric Properties of Sr1- xSb xHfSe3 Orthorhombic Perovskite.

    PubMed

    Moroz, Nicholas A; Bauer, Christopher; Williams, Logan; Olvera, Alan; Casamento, Joseph; Page, Alexander A; Bailey, Trevor P; Weiland, Ashley; Stoyko, Stanislav S; Kioupakis, Emmanouil; Uher, Ctirad; Aitken, Jennifer A; Poudeu, Pierre F P

    2018-06-18

    Single-phase polycrystalline powders of Sr 1- x Sb x HfSe 3 ( x = 0, 0.005, 0.01), a new member of the chalcogenide perovskites, were synthesized using a combination of high temperature solid-state reaction and mechanical alloying approaches. Structural analysis using single-crystal as well as powder X-ray diffraction revealed that the synthesized materials are isostructural with SrZrSe 3 , crystallizing in the orthorhombic space group Pnma (#62) with lattice parameters a = 8.901(2) Å; b = 3.943(1) Å; c = 14.480(3) Å; and Z = 4 for the x = 0 composition. Thermal conductivity data of SrHfSe 3 revealed low values ranging from 0.9 to 1.3 W m -1 K -1 from 300 to 700 K, which is further lowered to 0.77 W m -1 K -1 by doping with 1 mol % Sb for Sr. Electronic property measurements indicate that the compound is quite insulating with an electrical conductivity of 2.9 S/cm at 873 K, which was improved to 6.7 S/cm by 0.5 mol % Sb doping. Thermopower data revealed that SrHfSe 3 is a p-type semiconductor with thermopower values reaching a maximum of 287 μV/K at 873 K for the 1.0 mol % Sb sample. The optical band gap of Sr 1- x Sb x HfSe 3 samples, as determined by density functional theory calculations and the diffuse reflectance method, is ∼1.00 eV and increases with Sb concentration to 1.15 eV. Careful analysis of the partial densities of states (PDOS) indicates that the band gap in SrHfSe 3 is essentially determined by the Se-4p and Hf-5d orbitals with little to no contribution from Sr atoms. Typically, band edges of p- and d-character are a good indication of potentially strong absorption coefficient due to the high density of states of the localized p and d orbitals. This points to potential application of SrHfSe 3 as absorbing layer in photovoltaic devices.

  10. A real-time respiration position based passive breath gating equipment for gated radiotherapy: A preclinical evaluation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu Weigang; Xu Anjie; Li Guichao

    2012-03-15

    Purpose: To develop a passive gating system incorporating with the real-time position management (RPM) system for the gated radiotherapy. Methods: Passive breath gating (PBG) equipment, which consists of a breath-hold valve, a controller mechanism, a mouthpiece kit, and a supporting frame, was designed. A commercial real-time positioning management system was implemented to synchronize the target motion and radiation delivery on a linear accelerator with the patient's breathing cycle. The respiratory related target motion was investigated by using the RPM system for correlating the external markers with the internal target motion while using PBG for passively blocking patient's breathing. Six patientsmore » were enrolled in the preclinical feasibility and efficiency study of the PBG system. Results: PBG equipment was designed and fabricated. The PBG can be manually triggered or released to block or unblock patient's breathing. A clinical workflow was outlined to integrate the PBG with the RPM system. After implementing the RPM based PBG system, the breath-hold period can be prolonged to 15-25 s and the treatment delivery efficiency for each field can be improved by 200%-400%. The results from the six patients showed that the diaphragm motion caused by respiration was reduced to less than 3 mm and the position of the diaphragm was reproducible for difference gating periods. Conclusions: A RPM based PBG system was developed and implemented. With the new gating system, the patient's breath-hold time can be extended and a significant improvement in the treatment delivery efficiency can also be achieved.« less

  11. Development of a 33 kV, 20 A long pulse converter modulator for high average power klystron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reghu, T.; Mandloi, V.; Shrivastava, Purushottam

    Research, design, and development of high average power, long pulse modulators for the proposed Indian Spallation Neutron Source are underway at Raja Ramanna Centre for Advanced Technology. With this objective, a prototype of long pulse modulator capable of delivering 33 kV, 20 A at 5 Hz repetition rate has been designed and developed. Three Insulated Gate Bipolar Transistors (IGBT) based switching modules driving high frequency, high voltage transformers have been used to generate high voltage output. The IGBT based switching modules are shifted in phase by 120° with respect to each other. The switching frequency is 25 kHz. Pulses ofmore » 1.6 ms pulse width, 80 μs rise time, and 70 μs fall time have been achieved at the modulator output. A droop of ±0.6% is achieved using a simple segmented digital droop correction technique. The total fault energy transferred to the load during fault has been measured by conducting wire burn tests and is found to be within 3.5 J.« less

  12. Development of a 33 kV, 20 A long pulse converter modulator for high average power klystron

    NASA Astrophysics Data System (ADS)

    Reghu, T.; Mandloi, V.; Shrivastava, Purushottam

    2014-05-01

    Research, design, and development of high average power, long pulse modulators for the proposed Indian Spallation Neutron Source are underway at Raja Ramanna Centre for Advanced Technology. With this objective, a prototype of long pulse modulator capable of delivering 33 kV, 20 A at 5 Hz repetition rate has been designed and developed. Three Insulated Gate Bipolar Transistors (IGBT) based switching modules driving high frequency, high voltage transformers have been used to generate high voltage output. The IGBT based switching modules are shifted in phase by 120° with respect to each other. The switching frequency is 25 kHz. Pulses of 1.6 ms pulse width, 80 μs rise time, and 70 μs fall time have been achieved at the modulator output. A droop of ±0.6% is achieved using a simple segmented digital droop correction technique. The total fault energy transferred to the load during fault has been measured by conducting wire burn tests and is found to be within 3.5 J.

  13. Source-Coupled, N-Channel, JFET-Based Digital Logic Gate Structure Using Resistive Level Shifters

    NASA Technical Reports Server (NTRS)

    Krasowski, Michael J.

    2011-01-01

    A circuit topography is used to create usable, digital logic gates using N (negatively doped) channel junction field effect transistors (JFETs), load resistors, level shifting resistors, and supply rails whose values are based on the DC parametric distributions of these JFETs. This method has direct application to the current state-of-the-art in high-temperature (300 to 500 C and higher) silicon carbide (SiC) device production, and defines an adaptation to the logic gate described in U.S. Patent 7,688,117 in that, by removing the level shifter from the output of the gate structure described in the patent (and applying it to the input of the same gate), a source-coupled gate topography is created. This structure allows for the construction AND/OR (sum of products) arrays that use far fewer transistors and resistors than the same array as constructed from the gates described in the aforementioned patent. This plays a central role when large multiplexer constructs are necessary; for example, as in the construction of memory. This innovation moves the resistive level shifter from the output of the basic gate structure to the front as if the input is now configured as what would be the output of the preceding gate, wherein the output is the two level shifting resistors. The output of this innovation can now be realized as the lone follower transistor with its source node as the gate output. Additionally, one may leave intact the resistive level shifter on the new gate topography. A source-coupled to direct-coupled logic translator will be the result.

  14. Electro-optical logic gates based on graphene-silicon waveguides

    NASA Astrophysics Data System (ADS)

    Chen, Weiwei; Yang, Longzhi; Wang, Pengjun; Zhang, Yawei; Zhou, Liqiang; Yang, Tianjun; Wang, Yang; Yang, Jianyi

    2016-08-01

    In this paper, designs of electro-optical AND/NAND, OR/ NOR, XOR/XNOR logic gates based on cascaded silicon graphene switches and regular 2×1 multimode interference combiners are presented. Each switch consists of a Mach-Zehnder interferometer in which silicon slot waveguides embedded with graphene flakes are designed for phase shifters. High-speed switching function is achieved by applying an electrical signal to tune the Fermi levels of graphene flakes causing the variation of modal effective index. Calculation results show the crosstalk in the proposed optical switch is lower than -22.9 dB within a bandwidth from 1510 nm to 1600 nm. The designed six electro-optical logic gates with the operation speed of 10 Gbit/s have a minimum extinction ratio of 35.6 dB and a maximum insertion loss of 0.21 dB for transverse electric modes at 1.55 μm.

  15. The Pfi-Zeke Spectroscopy Study of HfS+ and the Ionization Energy of HfS

    NASA Astrophysics Data System (ADS)

    Antonov, I. O.; Barker, B. J.; Heaven, M. C.

    2011-06-01

    Spectroscopic data for the ground and low-lying states HfS+ have been obtained using the technique of pulse field ionization - zero electron kinetic energy (PFI-ZEKE) spectroscopy. PFI-ZEKE spectra were recorded for the levels X2Σ+ (v=0-18), 2Δ5/2 (v=0-8) and 2Δ3/2 (v=0-3). Assignments of the electronically excited states of HfS+ are based on CCSD(T) and DFT calculations with SDB-aug-cc-pVTZ basis set. Rotationally resolved spectra were recorded for the X2Σ+ (v=0) state using single rotational line excitation of the intermediate state. The ionization energy for HfS, term energies and molecular constants for the ground and low-lying states of HfS+ will be reported.

  16. Power-Stepped HF Cross Modulation Experiments at HAARP

    NASA Astrophysics Data System (ADS)

    Greene, S.; Moore, R. C.; Langston, J. S.

    2013-12-01

    High frequency (HF) cross modulation experiments are a well established means for probing the HF-modified characteristics of the D-region ionosphere. In this paper, we apply experimental observations of HF cross-modulation to the related problem of ELF/VLF wave generation. HF cross-modulation measurements are used to evaluate the efficiency of ionospheric conductivity modulation during power-stepped modulated HF heating experiments. The results are compared to previously published dependencies of ELF/VLF wave amplitude on HF peak power. The experiments were performed during the March 2013 campaign at the High Frequency Active Auroral Research Program (HAARP) Observatory. HAARP was operated in a dual-beam transmission format: the first beam heated the ionosphere using sinusoidal amplitude modulation while the second beam broadcast a series of low-power probe pulses. The peak power of the modulating beam was incremented in 1-dB steps. We compare the minimum and maximum cross-modulation effect and the amplitude of the resulting cross-modulation waveform to the expected power-law dependence of ELF/VLF wave amplitude on HF power.

  17. Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2017-01-01

    Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.

  18. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications

    NASA Astrophysics Data System (ADS)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-05-01

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 106 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  19. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  20. Wave parameters comparisons between High Frequency (HF) radar system and an in situ buoy: a case study

    NASA Astrophysics Data System (ADS)

    Fernandes, Maria; Alonso-Martirena, Andrés; Agostinho, Pedro; Sanchez, Jorge; Ferrer, Macu; Fernandes, Carlos

    2015-04-01

    The coastal zone is an important area for the development of maritime countries, either in terms of recreation, energy exploitation, weather forecasting or national security. Field measurements are in the basis of understanding how coastal and oceanic processes occur. Most processes occur over long timescales and over large spatial ranges, like the variation of mean sea level. These processes also involve a variety of factors such as waves, winds, tides, storm surges, currents, etc., that cause huge interference on such phenomena. Measurement of waves have been carried out using different techniques. The instruments used to measure wave parameters can be very different, i.e. buoys, ship base equipment like sonar and satellites. Each equipment has its own advantage and disadvantage depending on the study subject. The purpose of this study is to evaluate the behaviour of a different technology available and presently adopted in wave measurement. In the past few years the measurement of waves using High Frequency (HF) Radars has had several developments. Such a method is already established as a powerful tool for measuring the pattern of surface current, but its use in wave measurements, especially in the dual arrangement is recent. Measurement of the backscatter of HF radar wave provides the raw dataset which is analyzed to give directional data of surface elevation at each range cell. Buoys and radars have advantages, disadvantages and its accuracy is discussed in this presentation. A major advantage with HF radar systems is that they are unaffected by weather, clouds or changing ocean conditions. The HF radar system is a very useful tool for the measurement of waves over a wide area with real-time observation, but it still lacks a method to check its accuracy. The primary goal of this study was to show how the HF radar system responds to high energetic variations when compared to wave buoy data. The bulk wave parameters used (significant wave height, period and

  1. RCS of ships and aircraft at HF frequencies

    NASA Astrophysics Data System (ADS)

    Trueman, C. W.; Kubina, S. J.; Mishra, S. R.; Larose, C.

    Coastal radar operating at high frequency (HF) has the potential of monitoring iceberg movement and ship and aircraft traffic over a wide area of ocean. The HF radar cross-section of an aircraft-like scatterer of simple geometry is investigated. At these frequencies, the aircraft size is comparable to the wavelength. The aircraft radar cross-section (RCS) is obtained both by computation and by direct measurement. It is demonstrated that at low frequencies in the HF range, the dorsal fin is the dominant scatterer, but at high HF the fuselage can scatter more strongly than the dorsal fin. Aircraft often carry wire antennas for HF communication, and it is shown that such wires can dramatically alter the RCS of the aircraft near the resonant frequencies of the wire. The RCS of a ship modelled as a parallelepiped with mast is 20-30 decibels larger than that of an aircraft, and is dominated at low HF by the contribution of the mast.

  2. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    NASA Astrophysics Data System (ADS)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  3. Restless Tuneup of High-Fidelity Qubit Gates

    NASA Astrophysics Data System (ADS)

    Rol, M. A.; Bultink, C. C.; O'Brien, T. E.; de Jong, S. R.; Theis, L. S.; Fu, X.; Luthi, F.; Vermeulen, R. F. L.; de Sterke, J. C.; Bruno, A.; Deurloo, D.; Schouten, R. N.; Wilhelm, F. K.; Dicarlo, L.

    We present a tuneup protocol for qubit gates with tenfold speedup over traditional methods reliant on qubit initialization by energy relax- ation. This speedup is achieved by constructing a cost function for Nelder-Mead optimization from real-time correlation of non-demolition measurements interleaving gate operations without pause. Applying the protocol on a transmon qubit achieves 0.999 average Clifford fidelity in one minute, as independently verified using randomized benchmarking and gate set tomography. The adjustable sensitivity of the cost function allows detecting fractional reductions in gate error with constant signal- to-noise ratio. The restless concept here demonstrated can be readily extended to the tuneup of two-qubit gates and measurement operations. Research funded by IARPA, an ERC Synergy Grant, Microsoft Research, and the China Scholarship Council.

  4. Response of mesospheric ozone to the heating of the lower ionosphere by high-power HF radio emission

    NASA Astrophysics Data System (ADS)

    Kulilov, Yu. Yu.; Frolov, V. L.; Grigor'ev, G. I.; Demkin, V. M.; Komrakov, G. P.; Krasilnokov, A. A.; Ryskin, V. G.

    2013-01-01

    We detected a decrease in the intensity of microwave radiation at the atmospheric ozone line at a frequency of 110836.04 MHz during ionospheric modification by high-power HF radiowaves radiated by the Sura Ionospheric Heating Facility. The obtained experimental data allowed us to hypothesize that this effect was caused by the fact that mesospheric ozone was affected by internal gravity waves generated in the E region of the ionosphere during its high-power HF radiowave heating.

  5. Studies of dispersion energy in hydrogen-bonded systems. H2O-HOH, H2O-HF, H3N-HF, HF-HF

    NASA Astrophysics Data System (ADS)

    Szcześniak, M. M.; Scheiner, Steve

    1984-02-01

    Dispersion energy is calculated in the systems H2O-HOH, H2O-HF, H3N-HF, and HF-HF as a function of the intermolecular separation using a variety of methods. M≂ller-Plesset perturbation theory to second and third orders is applied in conjunction with polarized basis sets of 6-311G** type and with an extended basis set including a second set of polarization functions (DZ+2P). These results are compared to a multipole expansion of the dispersion energy, based on the Unsöld approximation, carried out to the inverse tenth power of the intermolecular distance. Pairwise evaluation is also carried out using both atom-atom and bond-bond formulations. The MP3/6-311G** results are in generally excellent accord with the leading R-6 term of the multipole expansion. This expansion, if carried out to the R-10 term, reproduces extremely well previously reported dispersion energies calculated via variation-perturbation theory. Little damping of the expansion is required for intermolecular distances equal to or greater than the equilibrium separation. Although the asymptotic behavior of the MP2 dispersion energy is somewhat different than that of the other methods, augmentation of the basis set by a second diffuse set of d functions leads to quite good agreement in the vicinity of the minima. Both the atom-atom and bond-bond parametrization schemes are in good qualitative agreement with the other methods tested. All approaches produce similar dependence of the dispersion energy upon the angular orientation between the two molecules involved in the H bond.

  6. 90Y Liver Radioembolization Imaging Using Amplitude-Based Gated PET/CT.

    PubMed

    Osborne, Dustin R; Acuff, Shelley; Neveu, Melissa; Kaman, Austin; Syed, Mumtaz; Fu, Yitong

    2017-05-01

    The usage of PET/CT to monitor patients with hepatocellular carcinoma following Y radioembolization has increased; however, image quality is often poor because of low count efficiency and respiratory motion. Motion can be corrected using gating techniques but at the expense of additional image noise. Amplitude-based gating has been shown to improve quantification in FDG PET, but few have used this technique in Y liver imaging. The patients shown in this work indicate that amplitude-based gating can be used in Y PET/CT liver imaging to provide motion-corrected images with higher estimates of activity concentration that may improve posttherapy dosimetry.

  7. High current density Esaki tunnel diodes based on GaSb-InAsSb heterostructure nanowires.

    PubMed

    Ganjipour, Bahram; Dey, Anil W; Borg, B Mattias; Ek, Martin; Pistol, Mats-Erik; Dick, Kimberly A; Wernersson, Lars-Erik; Thelander, Claes

    2011-10-12

    We present electrical characterization of broken gap GaSb-InAsSb nanowire heterojunctions. Esaki diode characteristics with maximum reverse current of 1750 kA/cm(2) at 0.50 V, maximum peak current of 67 kA/cm(2) at 0.11 V, and peak-to-valley ratio (PVR) of 2.1 are obtained at room temperature. The reverse current density is comparable to that of state-of-the-art tunnel diodes based on heavily doped p-n junctions. However, the GaSb-InAsSb diodes investigated in this work do not rely on heavy doping, which permits studies of transport mechanisms in simple transistor structures processed with highgate dielectrics and top-gates. Such processing results in devices with improved PVR (3.5) and stability of the electrical properties.

  8. Crystallography of the NiHfSi Phase in a NiAl (0.5 Hf) Single-Crystal Alloy

    NASA Technical Reports Server (NTRS)

    Garg, A.; Noebe, R. D.; Darolia, R.

    1996-01-01

    Small additions of Hf to conventionally processed NiAl single crystals result in the precipitation of a high density of cuboidal G-phase along with a newly identified silicide phase. Both of these phases form in the presence of Si which is not an intentional alloying addition but is a contaminant resulting from contact with the ceramic shell molds during directional solidification of the single-crystal ingots. The morphology, crystal structure and Orientation Relationship (OR) of the silicide phase in a NiAl (0.5 at.%Hf) single-crystal alloy have been determined using transmission electron microscopy, electron microdiffraction and energy dispersive X-ray spectroscopy. Qualitative elemental analysis and indexing of the electron microdiffraction patterns from the new phase indicate that it is an orthorhombic NiHfSi phase with unit cell parameters, a = 0.639 nm, b = 0.389 nm and c = 0.72 nm, and space group Pnma. The NiHfSi phase forms as thin rectangular plates on NiAl/111/ planes with an OR that is given by NiHfSi(100))(parallel) NiAl(111) and NiHfSi zone axes(010) (parallel) NiAl zone axes (101). Twelve variants of the NiHfSi phase were observed in the alloy and the number of variants and rectangular morphology of NiHfSi plates are consistent with symmetry requirements. Quenching experiments indicate that nucleation of the NiHfSi phase in NiAI(Hf) alloys is aided by the formation of NiAl group of zone axes (111) vacancy loops that form on the NiAl /111/ planes.

  9. Gate-Defined Quantum Confinement in InSe-based van der Waals Heterostructures.

    PubMed

    Hamer, Matthew J; Tóvári, Endre; Zhu, Mengjian; Thompson, Michael Dermot; Mayorov, Alexander S; Prance, Jonathan; Lee, Yongjin; Haley, Richard; Kudrynskyi, Zakhar R; Patanè, Amalia; Terry, Daniel; Kovalyuk, Zakhar D; Ensslin, Klaus; Kretinin, Andrey V; Geim, Andre K; Gorbachev, Roman Vladislavovich

    2018-05-15

    Indium selenide, a post-transition metal chalcogenide, is a novel two-dimensional (2D) semiconductor with interesting electronic properties. Its tunable band gap and high electron mobility have already attracted considerable research interest. Here we demonstrate strong quantum confinement and manipulation of single electrons in devices made from few-layer crystals of InSe using electrostatic gating. We report on gate-controlled quantum dots in the Coulomb blockade regime as well as one-dimensional quantization in point contacts, revealing multiple plateaus. The work represents an important milestone in the development of quality devices based on 2D materials and makes InSe a prime candidate for relevant electronic and optoelectronic applications.

  10. Thermoelectric Power in Bilayer Graphene Device with Ionic Liquid Gating.

    PubMed

    Chien, Yung-Yu; Yuan, Hongtao; Wang, Chang-Ran; Lee, Wei-Li

    2016-02-08

    The quest for materials showing large thermoelectric power has long been one of the important subjects in material science and technology. Such materials have great potential for thermoelectric cooling and also high figure of merit ZT thermoelectric applications. We have fabricated bilayer graphene devices with ionic-liquid gating in order to tune its band gap via application of a perpendicular electric field on a bilayer graphene. By keeping the Fermi level at charge neutral point during the cool-down, we found that the charge puddles effect can be greatly reduced and thus largely improve the transport properties at low T in graphene-based devices using ionic liquid gating. At (Vig, Vbg) = (-1 V, +23 V), a band gap of about 36.6 ± 3 meV forms, and a nearly 40% enhancement of thermoelectric power at T = 120 K is clearly observed. Our works demonstrate the feasibility of band gap tuning in a bilayer graphene using ionic liquid gating. We also remark on the significant influence of the charge puddles effect in ionic-liquid-based devices.

  11. Gate control of quantum dot-based electron spin-orbit qubits

    NASA Astrophysics Data System (ADS)

    Wu, Shudong; Cheng, Liwen; Yu, Huaguang; Wang, Qiang

    2018-07-01

    We investigate theoretically the coherent spin dynamics of gate control of quantum dot-based electron spin-orbit qubits subjected to a tilted magnetic field under electric-dipole spin resonance (EDSR). Our results reveal that Rabi oscillation of qubit states can be manipulated electrically based on rapid gate control of SOC strength. The Rabi frequency is strongly dependent on the gate-induced electric field, the strength and orientation of the applied magnetic field. There are two major EDSR mechanisms. One arises from electric field-induced spin-orbit hybridization, and the other arises from magnetic field-induced energy-level crossing. The SOC introduced by the gate-induced electric field allows AC electric fields to drive coherent Rabi oscillations between spin-up and -down states. After the crossing of the energy-levels with the magnetic field, the spin-transfer crossing results in Rabi oscillation irrespective of whether or not the external electric field is present. The spin-orbit qubit is transferred into the orbit qubit. Rabi oscillation is anisotropic and periodic with respect to the tilted and in-plane orientation of the magnetic field originating from the interplay of the SOC, orbital, and Zeeman effects. The strong electrically-controlled SOC strength suggests the possibility for scalable applications of gate-controllable spin-orbit qubits.

  12. Mid-Latitude Mobile Wideband HF- NVIS Channel Analysis: Part 1

    DTIC Science & Technology

    2017-09-14

    Division EXECUTIVE SUMMARY High frequency (HF) links (2 to 30 MHz) are an alternative to the cost and tactical fragility of commercial satellite...43 4.5 HIGH -LATITUDE HF AND HF-NVIS MODELS...ionosphere without vehicle speed..................... B-6 xi 1. REPORT OUTLINE This report analyzes a mid-latitude wideband high frequency nearly vertical

  13. ECG-based gating in ultra high field cardiovascular magnetic resonance using an independent component analysis approach.

    PubMed

    Krug, Johannes W; Rose, Georg; Clifford, Gari D; Oster, Julien

    2013-11-19

    In Cardiovascular Magnetic Resonance (CMR), the synchronization of image acquisition with heart motion is performed in clinical practice by processing the electrocardiogram (ECG). The ECG-based synchronization is well established for MR scanners with magnetic fields up to 3 T. However, this technique is prone to errors in ultra high field environments, e.g. in 7 T MR scanners as used in research applications. The high magnetic fields cause severe magnetohydrodynamic (MHD) effects which disturb the ECG signal. Image synchronization is thus less reliable and yields artefacts in CMR images. A strategy based on Independent Component Analysis (ICA) was pursued in this work to enhance the ECG contribution and attenuate the MHD effect. ICA was applied to 12-lead ECG signals recorded inside a 7 T MR scanner. An automatic source identification procedure was proposed to identify an independent component (IC) dominated by the ECG signal. The identified IC was then used for detecting the R-peaks. The presented ICA-based method was compared to other R-peak detection methods using 1) the raw ECG signal, 2) the raw vectorcardiogram (VCG), 3) the state-of-the-art gating technique based on the VCG, 4) an updated version of the VCG-based approach and 5) the ICA of the VCG. ECG signals from eight volunteers were recorded inside the MR scanner. Recordings with an overall length of 87 min accounting for 5457 QRS complexes were available for the analysis. The records were divided into a training and a test dataset. In terms of R-peak detection within the test dataset, the proposed ICA-based algorithm achieved a detection performance with an average sensitivity (Se) of 99.2%, a positive predictive value (+P) of 99.1%, with an average trigger delay and jitter of 5.8 ms and 5.0 ms, respectively. Long term stability of the demixing matrix was shown based on two measurements of the same subject, each being separated by one year, whereas an averaged detection performance of Se = 99.4% and +P

  14. Digital microfluidics: Droplet based logic gates

    NASA Astrophysics Data System (ADS)

    Cheow, Lih Feng; Yobas, Levent; Kwong, Dim-Lee

    2007-01-01

    The authors present microfluidic logic gates based on two-phase flows at low Reynold's number. The presence and the absence of a dispersed phase liquid (slug) in a continuous phase liquid represent 1 and 0, respectively. The working principle of these devices is based on the change in hydrodynamic resistance for a channel containing droplets. Logical operations including AND, OR, and NOT are demonstrated, and may pave the way for microfludic system automation and computation.

  15. High-Fidelity Single-Shot Toffoli Gate via Quantum Control.

    PubMed

    Zahedinejad, Ehsan; Ghosh, Joydip; Sanders, Barry C

    2015-05-22

    A single-shot Toffoli, or controlled-controlled-not, gate is desirable for classical and quantum information processing. The Toffoli gate alone is universal for reversible computing and, accompanied by the Hadamard gate, forms a universal gate set for quantum computing. The Toffoli gate is also a key ingredient for (nontopological) quantum error correction. Currently Toffoli gates are achieved by decomposing into sequentially implemented single- and two-qubit gates, which require much longer times and yields lower overall fidelities compared to a single-shot implementation. We develop a quantum-control procedure to construct a single-shot Toffoli gate for three nearest-neighbor-coupled superconducting transmon systems such that the fidelity is 99.9% and is as fast as an entangling two-qubit gate under the same realistic conditions. The gate is achieved by a nongreedy quantum control procedure using our enhanced version of the differential evolution algorithm.

  16. The effect of silicon on the oxidation behavior of NiAlHf coating system

    NASA Astrophysics Data System (ADS)

    Dai, Pengchao; Wu, Qiong; Ma, Yue; Li, Shusuo; Gong, Shengkai

    2013-04-01

    Two types of NiAlHf coatings doped with different content of Si (1 at.% and 2 at.%) were deposited on a Ni3Al based single crystal superalloy IC32 by electron beam physical vapor deposition (EB-PVD) method, respectively. For comparison, NiAlHf coating with 0 at.% Si was also prepared. The oxidation tests were carried out at 1423 K in air. At the initial stage of oxidation, large amount of flake-like θ-Al2O3 was found on NiAlHf coating surface. However, no θ-Al2O3 was observed in 2 at.% Si doped NiAlHf coating except α-Al2O3. It revealed that the Si additions could contribute to the transformation from θ-Al2O3 to α-Al2O3. When oxidation time prolonged to 100 h, it was found that the degradation of NiAlHf coating was very severe with no residual β-phase, which was due to the serious inter-diffusion between the coating and substrate. In contrast, the inter-diffusion in Si-doped coating was reduced with some residual β-phase and R-Ni(Mo, Re) precipitates. The presence of Si could retard the inter-diffusion of elements between coating and substrate, indicating a barrier diffusion effect. As a result, the oxidation resistance of NiAlHf coating was improved significantly.

  17. Investigation of abrupt degradation of drain current caused by under-gate crack in AlGaN/GaN high electron mobility transistors during high temperature operation stress

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zeng, Chang; Liao, XueYang; Li, RuGuan

    2015-09-28

    In this paper, we investigate the degradation mode and mechanism of AlGaN/GaN based high electron mobility transistors (HEMTs) during high temperature operation (HTO) stress. It demonstrates that there was abrupt degradation mode of drain current during HTO stress. The abrupt degradation is ascribed to the formation of crack under the gate which was the result of the brittle fracture of epilayer based on failure analysis. The origin of the mechanical damage under the gate is further investigated and discussed based on top-down scanning electron microscope, cross section transmission electron microscope and energy dispersive x-ray spectroscopy analysis, and stress simulation. Basedmore » on the coupled analysis of the failure physical feature and stress simulation considering the coefficient of thermal expansion (CTE) mismatch in different materials in gate metals/semiconductor system, the mechanical damage under the gate is related to mechanical stress induced by CTE mismatch in Au/Ti/Mo/GaN system and stress concentration caused by the localized structural damage at the drain side of the gate edge. These results indicate that mechanical stress induced by CTE mismatch of materials inside the device plays great important role on the reliability of AlGaN/GaN HEMTs during HTO stress.« less

  18. Improved Hot Carrier Reliability Characteristics of Metal Oxide Semiconductor Field Effect Transistors with High-k Gate Dielectric by Using High Pressure Deuterium Post Metallization Annealing

    NASA Astrophysics Data System (ADS)

    Park, Hokyung; Choi, Rino; Lee, Byoung Hun; Hwang, Hyunsang

    2007-09-01

    High pressure deuterium annealing on the hot carrier reliability characteristics of HfSiO metal oxide semiconductor field effect transistor (MOSFET) was investigated. Comparing with the conventional forming gas (H2/Ar=10%/96%, 480 °C, 30 min) annealed sample, MOSFET annealed in 5 atm pure deuterium ambient at 400 °C showed the improvement of linear drain current, reduction of interface trap density, and improvement of the hot carrier reliability characteristics. These improvements can be attributed to the effective passivation of the interface trap site after high pressure annealing and heavy mass effect of deuterium. These results indicate that high pressure pure deuterium annealing can be a promising process for improving device performance as well as hot carrier reliability, together.

  19. Short-Channel Tunneling Field-Effect Transistor with Drain-Overlap and Dual-Metal Gate Structure for Low-Power and High-Speed Operations.

    PubMed

    Yoon, Young Jun; Eun, Hye Rim; Seo, Jae Hwa; Kang, Hee-Sung; Lee, Seong Min; Lee, Jeongmin; Cho, Seongjae; Tae, Heung-Sik; Lee, Jung-Hee; Kang, In Man

    2015-10-01

    We have investigated and proposed a highly scaled tunneling field-effect transistor (TFET) based on Ge/GaAs heterojunction with a drain overlap to suppress drain-induced barrier thinning (DIBT) and improve low-power (LP) performance. The highly scaled TFET with a drain overlap achieves lower leakage tunneling current because of the decrease in tunneling events between the source and drain, whereas a typical short-channel TFET suffers from a great deal of tunneling leakage current due to the DIBT at the off-state. However, the drain overlap inevitably increases the gate-to-drain capacitance (Cgd) because of the increase in the overlap capacitance (Cov) and inversion capacitance (Cinv). Thus, in this work, a dual-metal gate structure is additionally applied along with the drain overlap. The current performance and the total gate capacitance (Cgg) of the device with a dual-metal gate can be possibly controlled by adjusting the metal gate workfunction (φgate) and φoverlap-gate in the overlapping regions. As a result, the intrinsic delay time (τ) is greatly reduced by obtaining lower Cgg divided by the on-state current (Ion), i.e., Cgg/Ion. We have successfully demonstrated excellent LP and high-speed performance of a highly scaled TFET by adopting both drain overlap and dual-metal gate with DIBT minimization.

  20. Materials properties of hafnium and zirconium silicates: Metal interdiffusion and dopant penetration studies

    NASA Astrophysics Data System (ADS)

    Quevedo Lopez, Manuel Angel

    Hafnium and Zirconium based gate dielectrics are considered potential candidates to replace SiO2 or SiON as the gate dielectric in CMOS processing. Furthermore, the addition of nitrogen into this pseudo-binary alloy has been shown to improve their thermal stability, electrical properties, and reduce dopant penetration. Because CMOS processing requires high temperature anneals (up to 1050°C), it is important to understand the diffusion properties of any metal associated with the gate dielectric in silicon at these temperatures. In addition, dopant penetration from the doped polysilicon gate into the Si channel at these temperatures must also be studied. Impurity outdiffusion (Hf, Zr) from the dielectric, or dopant (B, As, P) penetration through the dielectric into the channel region would likely result in deleterious effects upon the carrier mobility. In this dissertation extensive thermal stability studies of alternate gate dielectric candidates ZrSixOy and HfSixO y are presented. Dopant penetration studies from doped-polysilicon through HfSixOy and HfSixOyNz are also presented. Rutherford Backscattering Spectroscopy (RBS), Heavy Ion RBS (HI-RBS), X-ray Photoelectron Spectroscopy (XPS), High Resolution Transmission Electron Microscopy (HR-TEM), and Time of Flight and Dynamic Secondary Ion Mass Spectroscopy (ToF-SIMS, D-SIMS) methods were used to characterize these materials. The dopant diffusivity is calculated by modeling of the dopant profiles in the Si substrate. In this disseration is reported that Hf silicate films are more stable than Zr silicate films, from the metal interdiffusion point of view. On the other hand, dopant (B, As, and P) penetration is observed for HfSixO y films. However, the addition of nitrogen to the Hf - Si - O systems improves the dopant penetration properties of the resulting HfSi xOyNz films.

  1. Frequency Response of Graphene Electrolyte-Gated Field-Effect Transistors

    PubMed Central

    McVay, Elaine; Palacios, Tomás

    2018-01-01

    This work develops the first frequency-dependent small-signal model for graphene electrolyte-gated field-effect transistors (EGFETs). Graphene EGFETs are microfabricated to measure intrinsic voltage gain, frequency response, and to develop a frequency-dependent small-signal model. The transfer function of the graphene EGFET small-signal model is found to contain a unique pole due to a resistive element, which stems from electrolyte gating. Intrinsic voltage gain, cutoff frequency, and transition frequency for the microfabricated graphene EGFETs are approximately 3.1 V/V, 1.9 kHz, and 6.9 kHz, respectively. This work marks a critical step in the development of high-speed chemical and biological sensors using graphene EGFETs. PMID:29414868

  2. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  3. High performance tunnel field-effect transistor by gate and source engineering.

    PubMed

    Huang, Ru; Huang, Qianqian; Chen, Shaowen; Wu, Chunlei; Wang, Jiaxin; An, Xia; Wang, Yangyuan

    2014-12-19

    As one of the most promising candidates for future nanoelectronic devices, tunnel field-effect transistors (TFET) can overcome the subthreshold slope (SS) limitation of MOSFET, whereas high ON-current, low OFF-current and steep switching can hardly be obtained at the same time for experimental TFETs. In this paper, we developed a new nanodevice technology based on TFET concepts. By designing the gate configuration and introducing the optimized Schottky junction, a multi-finger-gate TFET with a dopant-segregated Schottky source (mFSB-TFET) is proposed and experimentally demonstrated. A steeper SS can be achieved in the fabricated mFSB-TFET on the bulk Si substrate benefiting from the coupled quantum band-to-band tunneling (BTBT) mechanism, as well as a high I(ON)/I(OFF) ratio (∼ 10(7)) at V(DS) = 0.2 V without an area penalty. By compatible SOI CMOS technology, the fabricated Si mFSB-TFET device was further optimized with a high ION/IOFF ratio of ∼ 10(8) and a steeper SS of over 5.5 decades of current. A minimum SS of below 60 mV dec(-1) was experimentally obtained, indicating its dominant quantum BTBT mechanism for switching.

  4. High-temperature Mechanical Properties and Microstructure of ZrTiHfNbMox (x=0.5, 1.0, 1.5) Refractory High Entropy Alloys

    NASA Astrophysics Data System (ADS)

    Chen, Y. W.; Li, Y. K.; Cheng, X. W.; Wu, C.; Cheng, B.

    2018-05-01

    Refractory high entropy alloys (RHEAs), with excellent properties at high temperature, have several applications. In this work, the ZrTiHfNbMox (x=0.5, 1.0, 1.5) alloys were prepared by arc melting. All these alloys form body centered cubic (BCC) structure without other intermediate phases. The Mo element contributes to the strength of alloys at high temperature, but too much of Mo decreases the plasticity severely and enhances the strength. The ZrTiHfNbMo alloy, whose compressive stress is 1099 MPa at 800° C, is a promising material for high-temperature applications.

  5. Interfacial phenomena in high-kappa dielectrics

    NASA Astrophysics Data System (ADS)

    Mathew, Anoop

    The introduction of novel high-kappa dielectric materials to replace the traditional SiO2 insulating layer in CMOS transistors is a watershed event in the history of transistor development. Further, replacement of the traditional highly-doped polycrystalline silicon gate electrode with a new set of materials for metal gates complicates the transition and introduces further integration challenges. A whole variety of new material surfaces and interfaces are thus introduced that merit close investigation to determine parameters for optimal device performance. Nitrogen is a key component that improves the performance of a variety of materials for the next generation of these CMOS transistors. Nitrogen is introduced into new gate dielectric materials such as hafnium silicates as well as in potential metal gate materials such as hafnium nitride. A photoemission study of the binding energies of the various atoms in these systems using photoemission reveals the nature of the atomic bonding. The current study compares hafnium silicates of various compositions which were thermally nitrided at different temperatures in ammonia, hafnium nitrides, and thin HfO2 films using photoelectron spectroscopy. A recurring theme that is explored is the competition between oxygen and nitrogen atoms in bonding with hafnium and other atoms. The N 1s photoemission peak is seen to have contributions from its bonding with hafnium, oxygen, and silicon atoms. The Hf 4f and O 1s spectra similarly exhibit signatures of their bonding environment with their neighboring atoms. Angle resolved photoemission and in-situ annealing/argon sputtering experiments are used to elucidate the nature of the bonding and its evolution with processing. A nondestructive profilitng of nitrogen distribution as a function of composition in nitrided hafnium silicates is also constructed using angle resolved photoemission as a function of the take-off angle. These results are corroborated with depth reconstruction obtained

  6. Complete all-optical processing polarization-based binary logic gates and optical processors.

    PubMed

    Zaghloul, Y A; Zaghloul, A R M

    2006-10-16

    We present a complete all-optical-processing polarization-based binary-logic system, by which any logic gate or processor can be implemented. Following the new polarization-based logic presented in [Opt. Express 14, 7253 (2006)], we develop a new parallel processing technique that allows for the creation of all-optical-processing gates that produce a unique output either logic 1 or 0 only once in a truth table, and those that do not. This representation allows for the implementation of simple unforced OR, AND, XOR, XNOR, inverter, and more importantly NAND and NOR gates that can be used independently to represent any Boolean expression or function. In addition, the concept of a generalized gate is presented which opens the door for reconfigurable optical processors and programmable optical logic gates. Furthermore, the new design is completely compatible with the old one presented in [Opt. Express 14, 7253 (2006)], and with current semiconductor based devices. The gates can be cascaded, where the information is always on the laser beam. The polarization of the beam, and not its intensity, carries the information. The new methodology allows for the creation of multiple-input-multiple-output processors that implement, by itself, any Boolean function, such as specialized or non-specialized microprocessors. Three all-optical architectures are presented: orthoparallel optical logic architecture for all known and unknown binary gates, singlebranch architecture for only XOR and XNOR gates, and the railroad (RR) architecture for polarization optical processors (POP). All the control inputs are applied simultaneously leading to a single time lag which leads to a very-fast and glitch-immune POP. A simple and easy-to-follow step-by-step algorithm is provided for the POP, and design reduction methodologies are briefly discussed. The algorithm lends itself systematically to software programming and computer-assisted design. As examples, designs of all binary gates, multiple

  7. Electron-beam-evaporated thin films of hafnium dioxide for fabricating electronic devices

    DOE PAGES

    Xiao, Zhigang; Kisslinger, Kim

    2015-06-17

    Thin films of hafnium dioxide (HfO 2) are widely used as the gate oxide in fabricating integrated circuits because of their high dielectric constants. In this paper, the authors report the growth of thin films of HfO 2 using e-beam evaporation, and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using this HfO 2 thin film as the gate oxide. The authors analyzed the thin films using high-resolution transmission electron microscopy and electron diffraction, thereby demonstrating that the e-beam-evaporation-grown HfO 2 film has a polycrystalline structure and forms an excellent interface with silicon. Accordingly, we fabricated 31-stage CMOS ringmore » oscillator to test the quality of the HfO 2 thin film as the gate oxide, and obtained excellent rail-to-rail oscillation waveforms from it, denoting that the HfO 2 thin film functioned very well as the gate oxide.« less

  8. Validation of High Frequency (HF) Propagation Prediction Models in the Arctic region

    NASA Astrophysics Data System (ADS)

    Athieno, R.; Jayachandran, P. T.

    2014-12-01

    Despite the emergence of modern techniques for long distance communication, Ionospheric communication in the high frequency (HF) band (3-30 MHz) remains significant to both civilian and military users. However, the efficient use of the ever-varying ionosphere as a propagation medium is dependent on the reliability of ionospheric and HF propagation prediction models. Most available models are empirical implying that data collection has to be sufficiently large to provide good intended results. The models we present were developed with little data from the high latitudes which necessitates their validation. This paper presents the validation of three long term High Frequency (HF) propagation prediction models over a path within the Arctic region. Measurements of the Maximum Usable Frequency for a 3000 km range (MUF (3000) F2) for Resolute, Canada (74.75° N, 265.00° E), are obtained from hand-scaled ionograms generated by the Canadian Advanced Digital Ionosonde (CADI). The observations have been compared with predictions obtained from the Ionospheric Communication Enhanced Profile Analysis Program (ICEPAC), Voice of America Coverage Analysis Program (VOACAP) and International Telecommunication Union Recommendation 533 (ITU-REC533) for 2009, 2011, 2012 and 2013. A statistical analysis shows that the monthly predictions seem to reproduce the general features of the observations throughout the year though it is more evident in the winter and equinox months. Both predictions and observations show a diurnal and seasonal variation. The analysed models did not show large differences in their performances. However, there are noticeable differences across seasons for the entire period analysed: REC533 gives a better performance in winter months while VOACAP has a better performance for both equinox and summer months. VOACAP gives a better performance in the daily predictions compared to ICEPAC though, in general, the monthly predictions seem to agree more with the

  9. Highly Stable, Dual-Gated MoS2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact, Resistance, and Threshold Voltage.

    PubMed

    Lee, Gwan-Hyoung; Cui, Xu; Kim, Young Duck; Arefe, Ghidewon; Zhang, Xian; Lee, Chul-Ho; Ye, Fan; Watanabe, Kenji; Taniguchi, Takashi; Kim, Philip; Hone, James

    2015-07-28

    Emerging two-dimensional (2D) semiconductors such as molybdenum disulfide (MoS2) have been intensively studied because of their novel properties for advanced electronics and optoelectronics. However, 2D materials are by nature sensitive to environmental influences, such as temperature, humidity, adsorbates, and trapped charges in neighboring dielectrics. Therefore, it is crucial to develop device architectures that provide both high performance and long-term stability. Here we report high performance of dual-gated van der Waals (vdW) heterostructure devices in which MoS2 layers are fully encapsulated by hexagonal boron nitride (hBN) and contacts are formed using graphene. The hBN-encapsulation provides excellent protection from environmental factors, resulting in highly stable device performance, even at elevated temperatures. Our measurements also reveal high-quality electrical contacts and reduced hysteresis, leading to high two-terminal carrier mobility (33-151 cm(2) V(-1) s(-1)) and low subthreshold swing (80 mV/dec) at room temperature. Furthermore, adjustment of graphene Fermi level and use of dual gates enable us to separately control contact resistance and threshold voltage. This novel vdW heterostructure device opens up a new way toward fabrication of stable, high-performance devices based on 2D materials.

  10. Palladium Gate All Around - Hetero Dielectric -Tunnel FET based highly sensitive Hydrogen Gas Sensor

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2016-12-01

    The paper presents a novel highly sensitive Hetero-Dielectric-Gate All Around Tunneling FET (HD-GAA-TFET) based Hydrogen Gas Sensor, incorporating the advantages of band to band tunneling (BTBT) mechanism. Here, the Palladium supported silicon dioxide is used as a sensing media and sensing relies on the interaction of hydrogen with Palladium-SiO2-Si. The high surface to volume ratio in the case of cylindrical GAA structure enhances the fortuities for surface reactions between H2 gas and Pd, and thus improves the sensitivity and stability of the sensor. Behaviour of the sensor in presence of hydrogen and at elevated temperatures is discussed. The conduction path of the sensor which is dependent on sensors radius has also been varied for the optimized sensitivity and static performance analysis of the sensor where the proposed design exhibits a superior performance in terms of threshold voltage, subthreshold swing, and band to band tunneling rate. Stability of the sensor with respect to temperature affectability has also been studied, and it is found that the device is reasonably stable and highly sensitive over the bearable temperature range. The successful utilization of HD-GAA-TFET in gas sensors may open a new door for the development of novel nanostructure gas sensing devices.

  11. Dynamic NBTI effects in HfSiON.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Devine, Roderick A. B.; Kambour, Kenneth E.; Hjalmarson, Harold Paul

    2010-12-01

    Negative bias temperature instability is an issue of critical importance as the space electronics industry evolves because it may dominate the reliability lifetime. Understanding its physical origin is therefore essential in determining how best to search for methods of mitigation. It has been suggested that the magnitude of the effect is strongly dependent on circuit operation conditions (static or dynamic modes). In the present work, we examine the time constants related to the charging and recovery of trapped charged induced by NBTI in HfSiON gate dielectric devices. In previous work, we avoided the issue of charge relaxation during acquisition ofmore » the I{sub ds}(V{sub gs}) curve by invoking a continuous stressing technique whereby {Delta}V{sub th} was extracted from a series of single point I{sub ds} measurements. This method relied heavily on determination of the initial value of the source-drain current (I{sub ds}{sup o}) prior to application of gate-source stress. In the present work we have used a new pulsed measurement system (Keithley SCS 4200-PIV) which not only removes this uncertainty but also permits dynamic measurements in which devices are AC stressed (Fig. 1a) or subjected to cycles of continued DC stresses followed by relaxation (Fig. 1b). We can now examine the charging and recovery characteristics of NBTI with higher precision than previously possible. We have performed NBTI stress experiments at room temperature on p-channel MOSFETs made with HfSiON gate dielectrics. In all cases the devices were stressed in the linear regime with V{sub ds}=-0.1V. We have defined two separate waveforms/pulse trains as illustrated in Fig 1. These were applied to the gate of the MOSFET. Firstly we examined the charging characteristics by applying an AC stress at 2.5MHz or 10Hz for different times. For a 50% duty cycle this corresponded to V{sub gs} = - 2V pulses for 200ns or 500ms followed by V{sub gs} = 0V pulses for 200ns or 500ms recovery respectively

  12. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  13. Voltage-dependent K+ channel gating and voltage sensor toxin sensitivity depend on the mechanical state of the lipid membrane.

    PubMed

    Schmidt, Daniel; MacKinnon, Roderick

    2008-12-09

    Voltage-dependent K(+) (Kv) channels underlie action potentials through gating conformational changes that are driven by membrane voltage. In this study of the paddle chimera Kv channel, we demonstrate that the rate of channel opening, the voltage dependence of the open probability, and the maximum achievable open probability depend on the lipid membrane environment. The activity of the voltage sensor toxin VsTx1, which interferes with voltage-dependent gating by partitioning into the membrane and binding to the channel, also depends on the membrane. Membrane environmental factors that influence channel function are divisible into two general categories: lipid compositional and mechanical state. The mechanical state can have a surprisingly large effect on the function of a voltage-dependent K(+) channel, including its pharmacological interaction with voltage sensor toxins. The dependence of VSTx1 activity on the mechanical state of the membrane leads us to hypothesize that voltage sensor toxins exert their effect by perturbing the interaction forces that exist between the channel and the membrane.

  14. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  15. Numerical simulation of the structure of the high-latitude ionospheric F region during meridional HF propagation

    NASA Astrophysics Data System (ADS)

    Andreev, M. Yu.; Mingaleva, G. I.; Mingalev, V. S.

    2007-08-01

    A previously developed model of the high-latitude ionosphere is used to calculate the distribution of the ionospheric parameters in the polar region. A specific method for specifying input parameters of the mathematical model, using the experimental data obtained by the method of satellite radio tomography, is used in this case. The spatial distributions of the ionospheric parameters characterized by a complex inhomogeneous structure in the high-latitude region, calculated with the help of the mathematical model, are used to simulate the HF propagation along the meridionally oriented radio paths extending from middle to high latitudes. The method for improving the HF communication between a midlatitude transmitter and a polar-cap receiver is proposed.

  16. High-contrast terahertz wave modulation by gated graphene enhanced by extraordinary transmission through ring apertures.

    PubMed

    Gao, Weilu; Shu, Jie; Reichel, Kimberly; Nickel, Daniel V; He, Xiaowei; Shi, Gang; Vajtai, Robert; Ajayan, Pulickel M; Kono, Junichiro; Mittleman, Daniel M; Xu, Qianfan

    2014-03-12

    Gate-controllable transmission of terahertz (THz) radiation makes graphene a promising material for making high-speed THz wave modulators. However, to date, graphene-based THz modulators have exhibited only small on/off ratios due to small THz absorption in single-layer graphene. Here we demonstrate a ∼50% amplitude modulation of THz waves with gated single-layer graphene by the use of extraordinary transmission through metallic ring apertures placed right above the graphene layer. The extraordinary transmission induced ∼7 times near-filed enhancement of THz absorption in graphene. These results promise complementary metal-oxide-semiconductor compatible THz modulators with tailored operation frequencies, large on/off ratios, and high speeds, ideal for applications in THz communications, imaging, and sensing.

  17. Toward spin-based Magneto Logic Gate in Graphene

    NASA Astrophysics Data System (ADS)

    Wen, Hua; Dery, Hanan; Amamou, Walid; Zhu, Tiancong; Lin, Zhisheng; Shi, Jing; Zutic, Igor; Krivorotov, Ilya; Sham, Lu; Kawakami, Roland

    Graphene has emerged as a leading candidate for spintronic applications due to its long spin diffusion length at room temperature. A universal magnetologic gate (MLG) based on spin transport in graphene has been recently proposed as the building block of a logic circuit which could replace the current CMOS technology. This MLG has five ferromagnetic electrodes contacting a graphene channel and can be considered as two three-terminal XOR logic gates. Here we demonstrate this XOR logic gate operation in such a device. This was achieved by systematically tuning the injection current bias to balance the spin polarization efficiency of the two inputs, and offset voltage in the detection circuit to obtain binary outputs. The output is a current which corresponds to different logic states: zero current is logic `0', and nonzero current is logic `1'. We find improved performance could be achieved by reducing device size and optimizing the contacts.

  18. Ionospheric electron heating, optical emissions, and striations induced by powerful HF radio waves at high latitudes: Aspect angle dependence

    NASA Astrophysics Data System (ADS)

    Rietveld, M. T.; Kosch, M. J.; Blagoveshchenskaya, N. F.; Kornienko, V. A.; Leyser, T. B.; Yeoman, T. K.

    2003-04-01

    In recent years, large electron temperature increases of 300% (3000 K above background) caused by powerful HF-radio wave injection have been observed during nighttime using the EISCAT incoherent scatter radar near Tromsø in northern Norway. In a case study we examine the spatial structure of the modified region. The electron heating is accompanied by ion heating of about 100 degrees and magnetic field-aligned measurements show ion outflows increasing with height up to 300 m s-1 at 582 km. The electron density decreases by up to 20%. When the radar antenna was scanned between three elevations from near field-aligned to vertical, the strongest heating effects were always obtained in the field-aligned position. When the HF-pump beam was scanned between the same three positions, the heating was still almost always strongest in the field-aligned direction. Simultaneous images of the 630 nm O(1D) line in the radio-induced aurora showed that the enhancement caused by the HF radio waves also remained localized near the field-aligned position. Coherent HF radar backscatter also appeared strongest when the pump beam was pointed field-aligned. These results are similar to some Langmuir turbulence phenomena which also show a strong preference for excitation by HF rays launched in the field-aligned direction. The correlation of the position of largest temperature enhancement with the position of the radio-induced aurora suggests that a common mechanism, upper-hybrid wave turbulence, is responsible for both effects. Why the strongest heating effects occur for HF rays directed along the magnetic field is still unclear, but self-focusing on field-aligned striations is a candidate mechanism, and possibly ionospheric tilts may be important.

  19. Inhibition of Voltage-Gated K+ Channel Kv1.5 by Antiarrhythmic Drugs.

    PubMed

    Chen, Rong; Chung, Shin-Ho

    2018-05-08

    Molecular dynamics simulations are employed to determine the inhibitory mechanisms of three drugs, 5-(4-phenoxybutoxy)psoralen (PAP-1), vernakalant, and flecainide, on the voltage-gated K + channel Kv1.5, a target for the treatment of cardiac arrhythmia. At neutral pH, PAP-1 is neutral, whereas the other two molecules carry one positive charge. We show that PAP-1 forms stable dimers in water, primarily through hydrophobic interactions between aromatic rings. All three molecules bind to the cavity between the Ile508 and Val512 residues from the four subunits of the channel. Once bound, the drug molecules are flexible, with the average root-mean-square fluctuation being between 2 and 3 Å, which is larger than the radius of gyration of a bulky amino acid. The presence of a monomeric PAP-1 causes the permeating K + ion to dehydrate, thereby creating a significant energy barrier. In contrast, vernakalant blocks the ion permeation primarily via an electrostatic mechanism and, therefore, must be in the protonated and charged form to be effective.

  20. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  1. Bragg reflector based gate stack architecture for process integration of excimer laser annealing

    NASA Astrophysics Data System (ADS)

    Fortunato, G.; Mariucci, L.; Cuscunà, M.; Privitera, V.; La Magna, A.; Spinella, C.; Magrı, A.; Camalleri, M.; Salinas, D.; Simon, F.; Svensson, B.; Monakhov, E.

    2006-12-01

    An advanced gate stack structure, which incorporates a Bragg reflector, has been developed for the integration of excimer laser annealing into the power metal-oxide semiconductor (MOS) transistor fabrication process. This advanced gate structure effectively protects the gate stack from melting, thus solving the problem related to protrusion formation. By using this gate stack configuration, power MOS transistors were fabricated with improved electrical characteristics. The Bragg reflector based gate stack architecture can be applied to other device structures, such as scaled MOS transistors, thus extending the possibilities of process integration of excimer laser annealing.

  2. Optimal diabatic dynamics of Majorana-based quantum gates

    NASA Astrophysics Data System (ADS)

    Rahmani, Armin; Seradjeh, Babak; Franz, Marcel

    2017-08-01

    In topological quantum computing, unitary operations on qubits are performed by adiabatic braiding of non-Abelian quasiparticles, such as Majorana zero modes, and are protected from local environmental perturbations. In the adiabatic regime, with timescales set by the inverse gap of the system, the errors can be made arbitrarily small by performing the process more slowly. To enhance the performance of quantum information processing with Majorana zero modes, we apply the theory of optimal control to the diabatic dynamics of Majorana-based qubits. While we sacrifice complete topological protection, we impose constraints on the optimal protocol to take advantage of the nonlocal nature of topological information and increase the robustness of our gates. By using the Pontryagin's maximum principle, we show that robust equivalent gates to perfect adiabatic braiding can be implemented in finite times through optimal pulses. In our implementation, modifications to the device Hamiltonian are avoided. Focusing on thermally isolated systems, we study the effects of calibration errors and external white and 1 /f (pink) noise on Majorana-based gates. While a noise-induced antiadiabatic behavior, where a slower process creates more diabatic excitations, prohibits indefinite enhancement of the robustness of the adiabatic scheme, our fast optimal protocols exhibit remarkable stability to noise and have the potential to significantly enhance the practical performance of Majorana-based information processing.

  3. Fast range estimation based on active range-gated imaging for coastal surveillance

    NASA Astrophysics Data System (ADS)

    Kong, Qingshan; Cao, Yinan; Wang, Xinwei; Tong, Youwan; Zhou, Yan; Liu, Yuliang

    2012-11-01

    Coastal surveillance is very important because it is useful for search and rescue, illegal immigration, or harbor security and so on. Furthermore, range estimation is critical for precisely detecting the target. Range-gated laser imaging sensor is suitable for high accuracy range especially in night and no moonlight. Generally, before detecting the target, it is necessary to change delay time till the target is captured. There are two operating mode for range-gated imaging sensor, one is passive imaging mode, and the other is gate viewing mode. Firstly, the sensor is passive mode, only capturing scenes by ICCD, once the object appears in the range of monitoring area, we can obtain the course range of the target according to the imaging geometry/projecting transform. Then, the sensor is gate viewing mode, applying micro second laser pulses and sensor gate width, we can get the range of targets by at least two continuous images with trapezoid-shaped range intensity profile. This technique enables super-resolution depth mapping with a reduction of imaging data processing. Based on the first step, we can calculate the rough value and quickly fix delay time which the target is detected. This technique has overcome the depth resolution limitation for 3D active imaging and enables super-resolution depth mapping with a reduction of imaging data processing. By the two steps, we can quickly obtain the distance between the object and sensor.

  4. Lattice Thermal Conductivity of Ultra High Temperature Ceramics (UHTC) ZrB2 and HfB2 from Atomistic Simulations

    NASA Technical Reports Server (NTRS)

    Lawson, JOhn W.; Daw, Murray S.; Bauschlicher, Charles W.

    2011-01-01

    Ultra high temperature ceramics (UHTC) including ZrB2 and HfB2 are candidate materials for applications in extreme environments because of their high melting point, good mechanical properties and reasonable oxidation resistance. Unlike many ceramics, these materials have high thermal conductivity which can be advantageous, for example, to reduce thermal shock. Recently, we developed Tersoff style interatomic potentials for both ZrB2 and HfB2 appropriate for atomistic simulations. As an application, Green-Kubo molecular dynamics simulations were performed to evaluate the lattice thermal conductivity for single crystals of ZrB2 and HfB2. The atomic mass difference in these binary compounds leads to oscillations in the time correlation function of the heat current. Results at room temperature and at elevated temperatures will be reported.

  5. ELF/VLF Wave Generation via HF Modulation of the Equatorial Electrojet at Arecibo Observatory

    NASA Astrophysics Data System (ADS)

    Flint, Q. A.; Moore, R. C.; Burch, H.; Erdman, A.; Wilkes, R.

    2017-12-01

    In this work we generate ELF/VLF waves by modulating the conductivity of the lower ionosphere using the HF heater at Arecibo. For many years, researchers have generated ELF/VLF waves using the powerful HF transmitters at HAARP, but few have attempted to do the same in the mid- to low- latitude region. While HAARP users have benefitted from the auroral electrojet, we attempt to exploit the equatorial electrojet to generate radio waves. On 31 July 2017, we transmitted at an HF frequency of 5.1 MHz (X-Mode) applying sinusoidal amplitude modulation in a step-like fashion from 0-5 kHz in 200 Hz steps over 10 seconds at 100% peak power to approximate a linear frequency ramp. We also transmitted 10-second-long fixed frequency tones spaced from 1 to 5 kHz. The frequency sweep is a helpful visual tool to identify generated waves, but is also used to determine optimal modulation frequencies for future campaigns. The tones allow us to perform higher SNR analysis. Ground-based B-field VLF receivers recorded the amplitude and phase of the generated radio waves. We employ time-of-arrival techniques to determine the altitude of the ELF/VLF signal source. In this paper, we present the initial analysis of these experimental results.

  6. Power-Stepped HF Cross-Modulation Experiments: Simulations and Experimental Observations

    NASA Astrophysics Data System (ADS)

    Greene, S.; Moore, R. C.

    2014-12-01

    High frequency (HF) cross modulation experiments are a well established means for probing the HF-modified characteristics of the D-region ionosphere. The interaction between the heating wave and the probing pulse depends on the ambient and modified conditions of the D-region ionosphere. Cross-modulation observations are employed as a measure of the HF-modified refractive index. We employ an optimized version of Fejer's method that we developed during previous experiments. Experiments were performed in March 2013 at the High Frequency Active Auroral Research Program (HAARP) observatory in Gakona, Alaska. During these experiments, the power of the HF heating signal incrementally increased in order to determine the dependence of cross-modulation on HF power. We found that a simple power law relationship does not hold at high power levels, similar to previous ELF/VLF wave generation experiments. In this paper, we critically compare these experimental observations with the predictions of a numerical ionospheric HF heating model and demonstrate close agreement.

  7. Impact of Psychiatric Information on Potential Jurors in Evaluating High-Functioning Autism Spectrum Disorder (hfASD)

    PubMed Central

    Berryessa, Colleen M.; Milner, Lauren C.; Garrison, Nanibaa' A.; Cho, Mildred K.

    2015-01-01

    During a trial involving an offender with a mental disorder, jurors are often required to evaluate information on the disorder and its characteristics. This evaluation relies on how jurors understand and synthesize psychiatric and other evidence on the disorder and this information's impact on the case, an offender's culpability, and the rendered verdict. The importance of this evaluation is further highlighted when jurors are faced with evaluating a disorder that may be associated with criminal actions of diagnosed offenders, such as high-functioning autism spectrum disorder (hfASD). We designed a three-part survey to assess potential jurors' attitudes concerning an offender's diagnosis with hfASD in terms of perceptions and decisions surrounding legal and moral responsibility, personal characteristics of the offender, the introduction of psychiatric and genetic information, and the condition's influence on the facts of the case. A sample of 623 jury-eligible U.S. adults completed the survey. We found the majority of participants were influenced by the information provided on hfASD. Most respondents indicated that hfASD diagnosis should generally not affect the legal responsibility of an offender, but many reported the disorder as a mitigating factor when evaluating moral responsibility and legal consequences for criminal actions. Respondents reported favorable and sympathetic perceptions of individuals with autism and associated characteristics but were unsure, even after the presentation of psychiatric information on hfASD, if these disorders should be classified as “mental illness.” Further, the majority reported their views were in some way influenced by the fact that hfASD has potential genetic origins. PMID:26843900

  8. DNAzyme-Based Logic Gate-Mediated DNA Self-Assembly.

    PubMed

    Zhang, Cheng; Yang, Jing; Jiang, Shuoxing; Liu, Yan; Yan, Hao

    2016-01-13

    Controlling DNA self-assembly processes using rationally designed logic gates is a major goal of DNA-based nanotechnology and programming. Such controls could facilitate the hierarchical engineering of complex nanopatterns responding to various molecular triggers or inputs. Here, we demonstrate the use of a series of DNAzyme-based logic gates to control DNA tile self-assembly onto a prescribed DNA origami frame. Logic systems such as "YES," "OR," "AND," and "logic switch" are implemented based on DNAzyme-mediated tile recognition with the DNA origami frame. DNAzyme is designed to play two roles: (1) as an intermediate messenger to motivate downstream reactions and (2) as a final trigger to report fluorescent signals, enabling information relay between the DNA origami-framed tile assembly and fluorescent signaling. The results of this study demonstrate the plausibility of DNAzyme-mediated hierarchical self-assembly and provide new tools for generating dynamic and responsive self-assembly systems.

  9. Spectroscopic requirements for HALOE: An analysis of the HCl and HF channels

    NASA Technical Reports Server (NTRS)

    Rinsland, C. P.; Smith, M. A. H.; Park, J. H.; Harvey, G. A.; Russell, J. M., III; Richardson, D. J.

    1982-01-01

    Spectral line parameters that have absorption features within the HCl and HF channels of the Halogen Occultation Experiment (HALOE) were evaluated. Line positions and identification of stratospheric and solar absorption features in both channels are presented based on an analysis of high-resolution, balloon-borne solar occultation spectra. For the relevant HCl and HF lines and for transitions of the interfering species, the accuracy of the following spectral parameters was assessed: line positions, line strengths, lower state energies, air-broadened collisional half-widths, and temperature dependence of the air-broadened half-widths. In addition, since the HALOE instrument and calibration cells are filled with mixtures of HCl in N2 and HF in N2, the self-broadened and N2-broadened HF and HCl half-widths were also considered.

  10. Low-voltage operation of Si-based ferroelectric field effect transistors using organic ferroelectrics, poly(vinylidene fluoride-trifluoroethylene), as a gate dielectric

    NASA Astrophysics Data System (ADS)

    Miyata, Yusuke; Yoshimura, Takeshi; Ashida, Atsushi; Fujimura, Norifumi

    2016-04-01

    Si-based metal-ferroelectric-semiconductor (MFS) capacitors have been fabricated using poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] as a ferroelectric gate. The pinhole-free P(VDF-TrFE) thin films with high resistivity were able to be prepared by spin-coating directly onto hydrogen-terminated Si. The capacitance-voltage (C-V) characteristics of the ferroelectric gate field effect transistor (FeFET) using this MFS structure clearly show butterfly-shaped hysteresis originating from the ferroelectricity, indicating carrier modulation on the Si surface at gate voltages below 2 V. The drain current-gate voltage (I D-V G) characteristics also show counterclockwise hysteresis at gate voltages below 5 V. This is the first report on the low-voltage operation of a Si-based FeFET using P(VDF-TrFE) as a gate dielectric. This organic gate FeFET without any insulator layer at the ferroelectric/Si interface should be one of the promising devices for overcoming the critical issues of the FeFET, such as depolarization field and a decrease in the gate voltage.

  11. Free energy dissipation of the spontaneous gating of a single voltage-gated potassium channel.

    PubMed

    Wang, Jia-Zeng; Wang, Rui-Zhen

    2018-02-01

    Potassium channels mainly contribute to the resting potential and re-polarizations, with the potassium electrochemical gradient being maintained by the pump Na + /K + -ATPase. In this paper, we construct a stochastic model mimicking the kinetics of a potassium channel, which integrates temporal evolving of the membrane voltage and the spontaneous gating of the channel. Its stationary probability density functions (PDFs) are found to be singular at the boundaries, which result from the fact that the evolving rates of voltage are greater than the gating rates of the channel. We apply PDFs to calculate the power dissipations of the potassium current, the leakage, and the gating currents. On a physical perspective, the essential role of the system is the K + -battery charging the leakage (L-)battery. A part of power will inevitably be dissipated among the process. So, the efficiency of energy transference is calculated.

  12. Free energy dissipation of the spontaneous gating of a single voltage-gated potassium channel

    NASA Astrophysics Data System (ADS)

    Wang, Jia-Zeng; Wang, Rui-Zhen

    2018-02-01

    Potassium channels mainly contribute to the resting potential and re-polarizations, with the potassium electrochemical gradient being maintained by the pump Na+/K+-ATPase. In this paper, we construct a stochastic model mimicking the kinetics of a potassium channel, which integrates temporal evolving of the membrane voltage and the spontaneous gating of the channel. Its stationary probability density functions (PDFs) are found to be singular at the boundaries, which result from the fact that the evolving rates of voltage are greater than the gating rates of the channel. We apply PDFs to calculate the power dissipations of the potassium current, the leakage, and the gating currents. On a physical perspective, the essential role of the system is the K+-battery charging the leakage (L-)battery. A part of power will inevitably be dissipated among the process. So, the efficiency of energy transference is calculated.

  13. The initial Hf isotopic composition of the Earth

    NASA Astrophysics Data System (ADS)

    Bouvier, A.; Boyet, M. M.; Vervoort, J. D.; Patchett, P. J.

    2011-12-01

    One area of considerable activity in trying to understand the formation and evolution of Earth's crust is the isotopic analysis of Hf in parallel with Sm-Nd and U-Pb zircon studies, either to constrain early crustal growth and evolution [1], or as a complement to detrital zircon studies [2]. The 176Lu decay constant deduced from early planetary and Earth materials have different values. It has been suggested that a period of irradiation in the early Solar System affected the 176Hf production rate in meteoritic and planetary materials [3,4]. In this scenario, the initial Hf isotopic composition of the Solar System and the Earth would be ~4 ∈Hf units lower, affecting tremendously the interpretation of the differentiation history of the early Earth. We investigated Lu-Hf compositions of calcium-aluminum-rich inclusions, the oldest known objects of the Solar System dated at 4568 Ma [5], to assess the possibility of neutrino irradiation in the solar nebula. Here we report high-precision 176Lu-176Hf systematics of leached and unleached, and spiked and unspiked, bulk fractions and mineral separates of 6 individual CAIs from 2 CV3 chondrites. Isotopic analyses were carried out by Neptune MC-ICPMS at ASU. Analytical details are in [6,7]. The unspiked Hf fractions reveal stable isotope anomalies of μ178Hf= 20 ± 6 and μ180Hf= 31 ± 9 (2SD) for the CAI B4 fractions (n=3) and μ178Hf= -4 ± 10 and μ180Hf= 2 ± 10 (n=2) for BCR-2 relative to the JMC 475 Hf standard. Further high-precision analysis of unspiked Sm and Nd fractions of the samples will be made to correct from nucleosynthetic or neutron capture anomalies [8]. Such Hf stable isotopic anomalies predict no more than 50ppm correction on 176Hf/177Hf. At this stage, we have thus regressed together the spiked and unspiked Hf compositions of CAI fractions (n=13) for isochron calculations. The slope of the Lu-Hf isochron is 0.0882 ± 0.0026 (2SD) which corresponds to a 176Lu decay constant value of 1.852 (± 0.052) ×10

  14. Temperature dependent electrical characterisation of Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J.; Roul, Basanta

    2015-09-15

    This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolutionmore » X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.« less

  15. Lu-Hf and Sm-Nd isotope systematics of Korean spinel peridotites: A case for metasomatically induced Nd-Hf decoupling

    NASA Astrophysics Data System (ADS)

    Choi, Sung Hi; Mukasa, Samuel B.

    2012-12-01

    We determined the Lu-Hf and Sm-Nd isotope compositions of spinel peridotite xenoliths in alkali basalts from Baengnyeong and Jeju islands, South Korea, to constrain the timing of melt-depletion events and stabilization of the lithospheric mantle beneath the region. Equilibration temperatures estimated by two-pyroxene thermometry range from 780 to 950 °C, and from 960 to 1010 °C for Baengnyeong and Jeju peridotites, respectively. The Baengnyeong peridotite clinopyroxenes are characterized by extremely radiogenic Hf in association with isotopically less extreme Nd, resulting in strong Nd-Hf decoupling referenced to the mantle array. This is in stark contrast to the well-correlated isotopic compositions of Hf and Nd in the Jeju peridotite clinopyroxenes, which plot along the Nd-Hf mantle array. The Hf abundances and isotopic compositions of the Baengnyeong clinopyroxenes were less affected by relatively recent secondary enrichments that overprinted the light rare earth element abundances and Nd isotopes, causing the decoupling of the Nd-Hf isotopes. The Nd-Hf isotopic compositions of the Jeju peridotites appear to have been re-equilibrated, probably as a result of efficient diffusion at the relatively higher temperatures of the Jeju peridotites. Lu-Hf tie lines for clinopyroxene and orthopyroxene from four of the Korean peridotites have negative slopes on a Lu-Hf isochron diagram, yielding negative ages. This is interpreted to indicate recent isotopic exchange in orthopyroxene by reaction with metasomatic agents having low 176Hf/177Hf components. Secondary overprinting in orthopyroxene was facilitated by the considerably lower Hf concentrations than in co-located clinopyroxene. Baengnyeong lherzolite clinopyroxenes yield a Lu-Hf errorchron age of 1.9 ± 0.1 Ga, which is independently supported by a model Os age (based on Re depletion or TRD) of 1.8 Ga on a refractory Baengnyeong peridotite. We interpret this age range to mark the time of stabilization of the mantle

  16. Lu-Hf and Sm-Nd isotope systematics of Korean spinel peridotites: A case for metasomatically induced Nd-Hf decoupling

    NASA Astrophysics Data System (ADS)

    Choi, S.; Mukasa, S. B.

    2012-12-01

    We determined the Lu-Hf and Sm-Nd isotope compositions of spinel peridotite xenoliths in alkali basalts from Baengnyeong and Jeju islands, South Korea, to constrain the timing of melt-depletion events and stabilization of the lithospheric mantle beneath the region. Equilibration temperatures estimated by two-pyroxene thermometry range from 780 to 950°C, and from 960 to 1010°C for Baengnyeong and Jeju peridotites, respectively. The Baengnyeong peridotite clinopyroxenes are characterized by extremely radiogenic Hf in association with isotopically less extreme Nd, resulting in strong Nd-Hf decoupling referenced to the mantle array. This is in stark contrast to the well-correlated isotopic compositions of Hf and Nd in the Jeju peridotite clinopyroxenes, which plot along the Nd-Hf mantle array. The Hf abundances and isotopic compositions of the Baengnyeong clinopyroxenes were less affected by relatively recent secondary enrichments that overprinted the light rare earth element abundances and Nd isotopes, causing the decoupling of the Nd-Hf isotopes. The Nd-Hf isotopic compositions of the Jeju peridotites appear to have been re-equilibrated, probably as a result of efficient diffusion at the relatively higher temperatures of the Jeju peridotites. Lu-Hf tie lines for clinopyroxene and orthopyroxene from four of the Korean peridotites have negative slopes on a Lu-Hf isochron diagram, yielding negative ages. This is interpreted to indicate recent isotopic exchange in orthopyroxene by reaction with metasomatic agents having low 176Hf/177Hf components. Secondary overprinting in orthopyroxene was facilitated by the considerably lower Hf concentrations than in co-located clinopyroxene. Baengnyeong lherzolite clinopyroxenes yield a Lu-Hf errorchron age of 1.9 ± 0.1 Ga, which is independently supported by a model Os age (based on Re depletion or TRD) of 1.8 Ga on a refractory Baengnyeong peridotite. We interpret this age range to mark the time of stabilization of the mantle

  17. A study of the structure of the ν1(HF) absorption band of the СH3СN…HF complex

    NASA Astrophysics Data System (ADS)

    Gromova, E. I.; Glazachev, E. V.; Bulychev, V. P.; Koshevarnikov, A. M.; Tokhadze, K. G.

    2015-09-01

    The ν1(HF) absorption band shape of the CH3CN…HF complex is studied in the gas phase at a temperature of 293 K. The spectra of gas mixtures CH3CN/HF are recorded in the region of 4000-3400 cm-1 at a resolution from 0.1 to 0.005 cm-1 with a Bruker IFS-120 HR vacuum Fourier spectrometer in a cell 10 cm in length with wedge-shaped sapphire windows. The procedure used to separate the residual water absorption allows more than ten fine-structure bands to be recorded on the low-frequency wing of the ν1(HF) band. It is shown that the fine structure of the band is formed primarily due to hot transitions from excited states of the low-frequency ν7 librational vibration. Geometrical parameters of the equilibrium nuclear configuration, the binding energy, and the dipole moment of the complex are determined from a sufficiently accurate quantum-chemical calculation. The frequencies and intensities for a number of spectral transitions of this complex are obtained in the harmonic approximation and from variational solutions of anharmonic vibrational problems.

  18. Plasmon-shaped polarization gating for high-order-harmonic generation

    NASA Astrophysics Data System (ADS)

    Wang, Feng; He, Lixin; Chen, Jiawei; Wang, Baoning; Zhu, Xiaosong; Lan, Pengfei; Lu, Peixiang

    2017-12-01

    We present a plasmon-shaped polarization gating for high-order-harmonic generation by using a linearly polarized laser field to illuminate two orthogonal bow-tie nanostructures. The results show that when these two bow-tie nanostructures have nonidentical geometrical sizes, the transverse and longitudinal components of the incident laser field will experience different phase responses, thus leading to a time-dependent ellipticity of laser field. For the polarizing angle of incident laser field in the range from 45∘ to 60∘, the dominant harmonic emission is gated within the few optical cycles where the laser ellipticity is below 0.3. Then sub-50-as isolated attosecond pulses (IAPs) can be generated. Such a plasmon-shaped polarization gating is robust for IAP generation against the variations of the carrier-envelope phases of the laser pulse. Moreover, by changing the geometrical size of one of the bow-tie nanostructures, the electron dynamics can be effectively controlled and the more efficient supercontinuum as well as IAP can be generated.

  19. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Noor, Fatimah A., E-mail: fatimah@fi.itb.ac.id; Iskandar, Ferry; Abdullah, Mikrajuddin

    In this paper, we discuss the electron transmittance and tunneling current in high-k-based-MOS capacitors with trapping charge by including the off-diagonal effective-mass tensor elements and the effect of coupling between transverse and longitudinal energies represented by an electron velocity in the gate. The HfSiO{sub x}N/SiO{sub 2} dual ultrathin layer is used as the gate oxide in an n{sup +} poly- Si/oxide/Si capacitor to replace SiO{sub 2}. The main problem of using HfSiO{sub x}N is the charge trapping formed at the HfSiO{sub x}N/SiO{sub 2} interface that can influence the performance of the device. Therefore, it is important to develop a modelmore » taking into account the presence of electron traps at the HfSiO{sub x}N/SiO{sub 2} interface in the electron transmittance and tunneling current. The transmittance and tunneling current in n{sup +} poly- Si/HfSiO{sub x}N/trap/SiO2/Si(100) capacitors are calculated by using Airy wavefunctions and a transfer matrix method (TMM) as analytical and numerical approaches, respectively. The transmittance and tunneling current obtained from the Airy wavefunction are compared to those computed by the TMM. The effects of the electron velocity on the transmittance and tunneling current are also discussed.« less

  20. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972