Sample records for ion implantation technique

  1. Evaluation of stabilization techniques for ion implant processing

    NASA Astrophysics Data System (ADS)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  2. System OptimizatIon of the Glow Discharge Optical Spectroscopy Technique Used for Impurity Profiling of ION Implanted Gallium Arsenide.

    DTIC Science & Technology

    1980-12-01

    AFIT/GEO/EE/80D-1 I -’ SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ...EE/80D-1 (\\) SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ARSENIDE...semiconductors, specifically annealed and unan- nealed ion implanted gallium arsenide (GaAs). Methods to improve the sensitivity of the GDOS system have

  3. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  4. A new tritium monitor design based on plasma source ion implantation technique

    NASA Astrophysics Data System (ADS)

    Nassar, Rafat Mohammad

    Tritium is an important isotope of hydrogen. The availability of tritium in our environment is manifest through both natural and artificial sources. Consequently, the requirement for tritium handling and usage will continue to increase in the future. An important future contributor is nuclear fusion power plants and facilities. Essential safety regulations and procedures require effective monitoring and measurements of tritium concentrations in workplaces. The unique characteristics of tritium impose an important role on the criteria for its detection and measurement. As tritium decays by the emission of soft beta particles, maximum 18 keV, it cannot be readily detected by commonly used detectors. Specially built monitors are required. Additional complications occur due to the presence of other radioactive isotopes or ambient radiation fields and because of the high diffusivity of tritium. When it is in oxidized form it is 25000 times more hazardous biologically than when in elemental form. Therefore, contamination of the monitor is expected and compound specific monitors are important. A summary is given of the various well known methods of detecting tritium-in-air. This covers the direct as well as the indirect measuring techniques, although each has been continually improved and further developed, nevertheless, each has its own limitations. Ionization chambers cannot discriminate against airborne P emitters. Proportional counters have a narrow operating range, 3-4 decades, and have poor performance in relatively high humid environments and require a dry counting gas. Liquid scintillation counters are sensitive, but inspection of the sample is slow and they produce chemical liquid waste. A new way to improve the sensitivity of detecting tritium with plastic scintillators has been developed. The technique is based on a non-line-of-sight implantation of tritium ions into a 20 mum plastic scintillator using a plasma source ion implantation (PSII) technique, This

  5. Compositional transformations in ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Abdul-Kader, A. M.; Turos, A.; Grambole, D.; Jagielski, J.; Piątkowska, A.; Madi, N. K.; Al-Maadeed, M.

    2005-10-01

    Changes of surface layer composition produced by ion bombardment of polyethylene and polypropylene samples were studied. These materials are under consideration for load bearing surfaces in biological and technical applications. To improve their tribological properties, surface layers are usually modified by ionizing radiation. Therefore, to study the mechanism of transformations induced by ion beam bombardment selected polymers were implanted with H, He and Ar ions to the fluences ranging from 1 × 1013 to 2 × 1016/cm2. RBS and NRA techniques were applied for sample analysis. Important hydrogen release was observed with increasing ion dose and was correlated with the ion stopping power. Another important effect observed was the rapid oxidation of samples, which apparently occurs after exposure of implanted samples to the air. Up to 10 at.% of oxygen can be incorporated in the implanted layer.

  6. Application of ion implantation in tooling industry

    NASA Astrophysics Data System (ADS)

    Straede, Christen A.

    1996-06-01

    In papers published during the last half of the 1980s it is often stated that the application of ion beams to non-semiconductor purposes seems ready for full-scale industrial exploitation. However, progress with respect to commercialisation of ion implantation has been slower than predicted, although the process is quite clearly building up niche markets, especially in the tooling industry. It is the main purpose of this paper to discuss the implementation of the process in the tooling market, and to describe strategies used to ensure its success. The basic idea has been to find niches where ion implantation out-performs other processes both technically and in prices. For instance, it has been clearly realised that one should avoid competing with physical vapour deposition or other coating techniques in market areas where they perform excellently, and instead find niches where the advantages of the ion implantation technique can be fully utilised. The paper will present typical case stories in order to illustrate market niches where the technique has its greatest successes and potential.

  7. Development of ion implanted gallium arsenide transistors

    NASA Technical Reports Server (NTRS)

    Hunsperger, R.; Baron, R.

    1972-01-01

    Techniques were developed for creating bipolar microwave transistors in GaAs by ion implantation doping. The electrical properties of doped layers produced by the implantation of the light ions Be, Mg, and S were studied. Be, Mg, and S are suitable for forming the relatively deep base-collector junction at low ion energies. The electrical characteristics of ion-implanted diodes of both the mesa and planar types were determined. Some n-p-n planar transistor structures were fabricated by implantation of Mg to form the base regions and Si to form the emitters. These devices were found to have reasonably good base-collector and emitter-base junctions, but the current gain beta was small. The low was attributable to radiative recombination in the base region, which was extremely wide.

  8. Analysis techniques of charging damage studied on three different high-current ion implanters

    NASA Astrophysics Data System (ADS)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  9. Evaluation of electron beam stabilization for ion implant processing

    NASA Astrophysics Data System (ADS)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  10. A feasibility study of ion implantation techniques for mass spectrometer calibration

    NASA Technical Reports Server (NTRS)

    Koslin, M. E.; Krycuk, G. A.; Schatz, J. G., Jr.; White, F. A.; Wood, G. M.

    1978-01-01

    An experimental study was undertaken to examine the feasibility of using ion-implanted filaments doped with either an alkali metal or noble gas for in situ recalibration of onboard mass spectrometers during extended space missions. Implants of rubidium and krypton in rhenium ribbon filaments were subsequently tested in a bakeable 60 deg sector mass spectrometer operating in the static mode. Surface ionization and electron impact ion sources were both used, each yielding satisfactory results. The metallic implant with subsequent ionization provided a means of mass scale calibration and determination of system operating parameters, whereas the noble gas thermally desorbed into the system was more suited for partial pressure and sensitivity determinations.

  11. Resonance ultrasonic vibrations in Cz-Si wafers as a possible diagnostic technique in ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Z. Y.; Ostapenko, S.; Anundson, R.; Tvinnereim, M.; Belyaev, A.; Anthony, M.

    2001-07-01

    The semiconductor industry does not have effective metrology for well implants. The ability to measure such deep level implants will become increasingly important as we progress along the technology road map. This work explores the possibility of using the acoustic whistle effect on ion implanted silicon wafers. The technique detects the elastic stress and defects in silicon wafers by measuring the sub-harmonic f/2 resonant vibrations on a wafer induced via backside contact to create standing waves, which are measured by a non-contact ultrasonic probe. Preliminary data demonstrates that it is sensitive to implant damage, and there is a direct correlation between this sub-harmonic acoustic mode and some of the implant and anneal conditions. This work presents the results of a feasibility study to assess and quantify the correspondent whistle effect to implant damage, residual damage after annealing and intrinsic defects.

  12. Ion beam sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1976-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion beam sputtered surfaces.

  13. Ion-beam-sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1977-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion-beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron-bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion-beam-sputtered surfaces.

  14. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, Bill R.; Ashley, Paul R.; Buchal, Christopher J.

    1989-01-01

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO.sub.3 crystals are implanted with high concentrations of Ti dopant at ion energies of about 350 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000.degree. C. produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality single crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguides properties.

  15. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, B.R.; Ashley, P.R.; Buchal, C.J.

    1987-03-24

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO/sub 3/ crystals are implanted with high concentrations of Ti dopant at ion energies of about 360 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000/degree/C produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguiding properties.

  16. Characterization of silicon-gate CMOS/SOS integrated circuits processed with ion implantation

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1977-01-01

    Progress in developing the application of ion implantation techniques to silicon gate CMOS/SOS processing is described. All of the conventional doping techniques such as in situ doping of the epi-film and diffusion by means of doped oxides are replaced by ion implantation. Various devices and process parameters are characterized to generate an optimum process by the use of an existing SOS test array. As a result, excellent circuit performance is achieved. A general description of the all ion implantation process is presented.

  17. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Arisawa, You; Sawano, Kentarou; Usami, Noritaka

    2017-06-01

    The influence of ion implantation energies on compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si substrates was investigated. It was found that relaxation ratio can be enhanced over 100% at relatively low implantation energies, and compressive strain in the topmost Si layer is maximized at 45 keV due to large lattice mismatch. Cross-sectional transmission electron microscope images revealed that defects are localized around the hetero-interface between the Si1-xCx layer and the Ar+-implanted Si substrate when the implantation energy is 45 keV, which decreases the amount of defects in the topmost Si layer and the upper part of the Si1-xCx buffer layer.

  18. Fluorine-doping in titanium dioxide by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Yamaki, T.; Umebayashi, T.; Sumita, T.; Yamamoto, S.; Maekawa, M.; Kawasuso, A.; Itoh, H.

    2003-05-01

    We implanted 200 keV F + in single crystalline titanium dioxide (TiO 2) rutile at a nominal fluence of 1 × 10 16 to 1 × 10 17 ions cm -2 and then thermally annealed the implanted sample in air. The radiation damage and its recovery process during the annealing were analyzed by Rutherford backscattering spectrometry in channeling geometry and variable-energy positron annihilation spectroscopy. The lattice disorder was completely recovered at 1200 °C by the migration of point defects to the surface. According to secondary ion mass spectrometry analysis, the F depth profile was shifted to a shallower region along with the damage recovery and this resulted in the formation of an F-doped layer where the impurity concentration steadily increased toward the surface. The F doping proved to provide a modification to the conduction-band edge of TiO 2, as assessed by theoretical band calculations.

  19. Development of vertical compact ion implanter for gemstones applications

    NASA Astrophysics Data System (ADS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  20. Microfabrication Method using a Combination of Local Ion Implantation and Magnetorheological Finishing

    NASA Astrophysics Data System (ADS)

    Han, Jin; Kim, Jong-Wook; Lee, Hiwon; Min, Byung-Kwon; Lee, Sang Jo

    2009-02-01

    A new microfabrication method that combines localized ion implantation and magnetorheological finishing is proposed. The proposed technique involves two steps. First, selected regions of a silicon wafer are irradiated with gallium ions by using a focused ion beam system. The mechanical properties of the irradiated regions are altered as a result of the ion implantation. Second, the wafer is processed by using a magnetorheological finishing method. During the finishing process, the regions not implanted with ion are preferentially removed. The material removal rate difference is utilized for microfabrication. The mechanisms of the proposed method are discussed, and applications are presented.

  1. Modification of Wetting Properties of PMMA by Immersion Plasma Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mireault, N.; Ross, G. G.

    Advancing and receding contact angles below 5° have been obtained on PMMA surfaces with the implantation of argon and oxygen ions. The ion implantations were performed by means of the Immersion Plasma Ion Implantation (IPII) technique, a hybrid between ion beams and immersion plasmas. Characterization of treated PMMA surfaces by means of XPS and its combination with chemical derivatization (CD-XPS) have revealed the depletion of oxygen and the creation of dangling bonds, together with the formation of new chemical functions such as -OOH, -COOH and C=C. These observations provide a good explanation for the strong increase of the wetting properties of the PMMA surfaces.

  2. Comparison of monomode KTiOPO4 waveguide formed by C3+ ion implantation and Rb+ ion exchange

    NASA Astrophysics Data System (ADS)

    Cui, Xiao-Jun; Wang, Liang-Ling

    2017-02-01

    In this work, we report on the formation and characterization of monomode KTiOPO4 waveguide at 1539 nm by 6.0 MeV C3+ ion implantation with the dose of 2×1015 ions/cm2 and Rb+-K+ ion exchange, respectively. The relative intensity of light as a function of effective refractive index of TM modes at 633 nm and 1539 nm for KTiOPO4 waveguide formed by two different methods were compared with the prism coupling technique. The refractive index (nz) profile for the ion implanted waveguide was reconstructed by reflectivity calculation method, and one for the ion exchanged waveguide was by inverse Wentzel-Kramers-Brillouin. The nuclear energy loss versus penetration depth of the C3+ ions implantation into KTiOPO4 was simulated using the Stopping Range of Ions in Matter software. The Rutherford Backscattering Spectrometry spectrum of KTiOPO4 waveguide was analyzed after ions exchanged. The results showed that monomode waveguide at 1539 nm can be formed by ion implantation and Rb+ -K+ ion exchange, respectively.

  3. Photoreflectance Study of Boron Ion-Implanted (100) Cadmium Telluride

    NASA Technical Reports Server (NTRS)

    Amirtharaj, P. M.; Odell, M. S.; Bowman, R. C., Jr.; Alt, R. L.

    1988-01-01

    Ion implanted (100) cadmium telluride was studied using the contactless technique of photoreflectance. The implantations were performed using 50- to 400-keV boron ions to a maximum dosage of 1.5 x 10(16)/sq cm, and the annealing was accomplished at 500 C under vacuum. The spectral measurements were made at 77 K near the E(0) and E(1) critical points; all the spectra were computer-fitted to Aspnes' theory. The spectral line shapes from the ion damaged, partially recovered and undamaged, or fully recovered regions could be identified, and the respective volume fraction of each phase was estimated.

  4. Recoil implantation of boron into silicon by high energy silicon ions

    NASA Astrophysics Data System (ADS)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  5. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  6. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  7. Chemical characterization of 4140 steel implanted by nitrogen ions

    NASA Astrophysics Data System (ADS)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  8. Trends and techniques for space base electronics. [mathematical models, ion implantation, and semiconductors

    NASA Technical Reports Server (NTRS)

    Gassaway, J. D.; Mahmood, Q.; Trotter, J. D.

    1978-01-01

    A system was developed for depositing aluminum and aluminum alloys by the D.C. sputtering technique. This system which was designed for a high level of cleanliness and ion monitoring the deposition parameters during film preparation is ready for studying the deposition and annealing parameters upon double level metal preparation. The finite element method was studied for use in the computer modeling of two dimensional MOS transistor structures. An algorithm was developed for implementing a computer study which is based upon the finite difference method. The program was modified and used to calculate redistribution data for boron and phosphorous which had been predeposited by ion implantation with range and straggle conditions typical of those used at MSFC. Data were generated for 111 oriented SOS films with redistribution in N2, dry O2 and steam ambients. Data are given showing both two dimensional effects and the evolution of the junction depth, sheet resistance and integrated dose with redistribution time.

  9. Ion implantation of indium gallium arsenide

    NASA Astrophysics Data System (ADS)

    Almonte, Marlene Isabel

    The ternary compound In0.53Ga0.47As, lattice-matched to Inp, is a semiconductor alloy of technological importance for numerous electronic and optoelectronic device applications. One of these applications includes photodiodes to be developed for the 1.3--1.55 mum wavelength range where silica fibers have their lowest optical loss. With a rapid increase in its use there is an essential need to understand the effects of ion implantation of this alloy semiconductor for implant isolation purposes in which highly resistive layers are required. Due to the small band gap (0.75 eV at 300K) of In0.53Ga0.47As, the estimated maximum resistivity is of the order of 1000 O-cm. Implant isolation can be achieved by the implantation of either inert noble gas ions or electrically active ions. Ion bombardment with inert species introduces defects which trap charge carriers. In the case of implant isolation by electrically active ions, the implanted impurities form an electronic level located close to the middle of the bandgap. Studies of the effects of implantation in In0.53Ga0.47 As due to damage by implantation of Ne+ ions and to compensation by implantation of Fe+ ions are reported in this thesis. The former only involves lattice damage related effects while the latter leads to damage and dopant induced compensation. From the Ne+ implantation results it appears that the damage related energy levels in In0.53 Ga0.47M produced by ion bombardment of chemically inactive species, are not sufficiently deep to lead to effective isolation. A higher resistivity of the order of 770 O-cm is achieved with Fe+ implantation, indicating that Fe introduces an energy level deep in the bandgap. The changes in the electrical properties of the layers are correlated to the lattice damage (damage induced effects) and/or the diffusion of the compensating dopants (dopant induced compensation). Structural characterization of the layers is performed with channeling Rutherford Backscattering Spectrometry

  10. Electronic excitation effects on nanoparticle formation in insulators under heavy-ion implantation

    NASA Astrophysics Data System (ADS)

    Kishimoto, N.; Plaksin, O. A.; Masuo, K.; Okubo, N.; Umeda, N.; Takeda, Y.

    2006-01-01

    Kinetic processes of nanoparticle formation by ion implantation was studied for the insulators of a-SiO2, LiNbO3, MgO · 2.4(Al2O3) and PMMA, either by changing ion flux or by using a co-irradiation technique of ions and photons. Under Cu-implantation of 60 keV Cu-, nanoparticles spontaneously formed without thermal annealing, indicating radiation-induced diffusion of implants. The high-flux implantation caused instable behaviors of nanoparticle morphology in a-SiO2, LiNbO3 and PMMA, i.e. enhanced atomic rearrangement or loss of nanoparticles. The spinel MgO · 2.4(Al2O3) also showed nanoparticle precipitation at 60 keV, but the precipitation tendency is less than the others. Combined irradiation of 3 MeV Cu ions and photons of 2.3 eV or 3.5 eV indicates that the electronic excitation during ion implantation significantly enhances nanoparticle precipitation, greatly depending on photon energy and fluence. The selectivity for photons can be applied to control nanoparticle precipitation.

  11. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu

    2009-03-10

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Agmore » and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.« less

  12. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source.

    PubMed

    Pilz, W; Laufer, P; Tajmar, M; Böttger, R; Bischoff, L

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi 2 + ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  13. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    NASA Astrophysics Data System (ADS)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  14. Ion implanted dielectric elastomer circuits

    NASA Astrophysics Data System (ADS)

    O'Brien, Benjamin M.; Rosset, Samuel; Anderson, Iain A.; Shea, Herbert R.

    2013-06-01

    Starfish and octopuses control their infinite degree-of-freedom arms with panache—capabilities typical of nature where the distribution of reflex-like intelligence throughout soft muscular networks greatly outperforms anything hard, heavy, and man-made. Dielectric elastomer actuators show great promise for soft artificial muscle networks. One way to make them smart is with piezo-resistive Dielectric Elastomer Switches (DES) that can be combined with artificial muscles to create arbitrary digital logic circuits. Unfortunately there are currently no reliable materials or fabrication process. Thus devices typically fail within a few thousand cycles. As a first step in the search for better materials we present a preliminary exploration of piezo-resistors made with filtered cathodic vacuum arc metal ion implantation. DES were formed on polydimethylsiloxane silicone membranes out of ion implanted gold nano-clusters. We propose that there are four distinct regimes (high dose, above percolation, on percolation, low dose) in which gold ion implanted piezo-resistors can operate and present experimental results on implanted piezo-resistors switching high voltages as well as a simple artificial muscle inverter. While gold ion implanted DES are limited by high hysteresis and low sensitivity, they already show promise for a range of applications including hysteretic oscillators and soft generators. With improvements to implanter process control the promise of artificial muscle circuitry for soft smart actuator networks could become a reality.

  15. Interferometric pump-probe characterization of the nonlocal response of optically transparent ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Hadjichristov, Georgi B.

    2012-03-01

    Optical interferometric technique is applied to characterize the nonlocal response of optically transparent ion implanted polymers. The thermal nonlinearity of the ion-modified material in the near-surface region is induced by continuous wave (cw) laser irradiation at a relatively low intensity. The interferometry approach is demonstrated for a subsurface layer of a thickness of about 100 nm formed in bulk polymethylmethacrylate (PMMA) by implantation with silicon ions at an energy of 50 keV and fluence in the range 1014-1017 cm-2. The laser-induced thermooptic effect in this layer is finely probed by interferometric imaging. The interference phase distribution in the plane of the ion implanted layer is indicative for the thermal nonlinearity of the near-surface region of ion implanted optically transparent polymeric materials.

  16. Optical planar waveguides in photo-thermal-refractive glasses fabricated by single- or double-energy carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Yue; Shen, Xiao-Liang; Zheng, Rui-Lin; Guo, Hai-Tao; Lv, Peng; Liu, Chun-Xiao

    2018-01-01

    Ion implantation has demonstrated to be an efficient and reliable technique for the fabrication of optical waveguides in a diversity of transparent materials. Photo-thermal-refractive glass (PTR) is considered to be durable and stable holographic recording medium. Optical planar waveguide structures in the PTR glasses were formed, for the first time to our knowledge, by the C3+-ion implantation with single-energy (6.0 MeV) and double-energy (5.5+6.0 MeV), respectively. The process of the carbon ion implantation was simulated by the stopping and range of ions in matter code. The morphologies of the waveguides were recorded by a microscope operating in transmission mode. The guided beam distributions of the waveguides were measured by the end-face coupling technique. Comparing with the single-energy implantation, the double-energy implantation improves the light confinement for the dark-mode spectrum. The guiding properties suggest that the carbon-implanted PTR glass waveguides have potential for the manufacture of photonic devices.

  17. Low energy implantation of boron with decaborane ions

    NASA Astrophysics Data System (ADS)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  18. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    NASA Astrophysics Data System (ADS)

    Fedorov, A. V.; van Huis, M. A.; van Veen, A.

    2002-05-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2. The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of Tmax=1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3×10 11 s -1.

  19. Ion-Implanted Diamond Films and Their Tribological Properties

    NASA Technical Reports Server (NTRS)

    Wu, Richard L. C.; Miyoshi, Kazuhisa; Korenyi-Both, Andras L.; Garscadden, Alan; Barnes, Paul N.

    1993-01-01

    This paper reports the physical characterization and tribological evaluation of ion-implanted diamond films. Diamond films were produced by microwave plasma, chemical vapor deposition technique. Diamond films with various grain sizes (0.3 and 3 microns) and roughness (9.1 and 92.1 nm r.m.s. respectively) were implanted with C(+) (m/e = 12) at an ion energy of 160 eV and a fluence of 6.72 x 10(exp 17) ions/sq cm. Unidirectional sliding friction experiments were conducted in ultrahigh vacuum (6.6 x 10(exp -7)Pa), dry nitrogen and humid air (40% RH) environments. The effects of C(+) ion bombardment on fine and coarse-grained diamond films are as follows: the surface morphology of the diamond films did not change; the surface roughness increased (16.3 and 135.3 nm r.m.s.); the diamond structures were damaged and formed a thin layer of amorphous non-diamond carbon; the friction coefficients dramatically decreased in the ultrahigh vacuum (0.1 and 0.4); the friction coefficients decreased slightly in the dry nitrogen and humid air environments.

  20. Application of TXRF for ion implanter dose matching experiments

    NASA Astrophysics Data System (ADS)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  1. Nitrogen ion implantation into various materials using 28 GHz electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Chang Seouk; School of Mechanical Engineering, Pusan National University, Pusan 609-735; Lee, Byoung-Seob

    2016-02-15

    The installation of the 28 GHz electron cyclotron resonance ion source (ECRIS) ion implantation beamline was recently completed at the Korea Basic Science Institute. The apparatus contains a beam monitoring system and a sample holder for the ion implantation process. The new implantation system can function as a multipurpose tool since it can implant a variety of ions, ranging hydrogen to uranium, into different materials with precise control and with implantation areas as large as 1–10 mm{sup 2}. The implantation chamber was designed to measure the beam properties with a diagnostic system as well as to perform ion implantation withmore » an in situ system including a mass spectrometer. This advanced implantation system can be employed in novel applications, including the production of a variety of new materials such as metals, polymers, and ceramics and the irradiation testing and fabrication of structural and functional materials to be used in future nuclear fusion reactors. In this investigation, the first nitrogen ion implantation experiments were conducted using the new system. The 28 GHz ECRIS implanted low-energy, multi-charged nitrogen ions into copper, zinc, and cobalt substrates, and the ion implantation depth profiles were obtained. SRIM 2013 code was used to calculate the profiles under identical conditions, and the experimental and simulation results are presented and compared in this report. The depths and ranges of the ion distributions in the experimental and simulation results agree closely and demonstrate that the new system will enable the treatment of various substrates for advanced materials research.« less

  2. Synthesis of embedded titanium dioxide nanoparticles by oxygen ion implantation in titanium films

    NASA Astrophysics Data System (ADS)

    Rukade, Deepti. A.; Desai, C. A.; Kulkarni, Nilesh; Tribedi, L. C.; Bhattacharyya, Varsha

    2013-02-01

    Thin films of titanium of 100nm thickness are deposited on fused silica substrates. These films are implanted by oxygen ions with implantation energy of 60keV obtained from ECR based highly charged ion accelerator. The implanted films are later annealed in a tube furnace to establish nanophase formation. The post implanted annealed films are characterized by UV-Visible Spectroscopy and Glancing Angle X-ray Diffraction technique (GAXRD). The phase formed and particle size is determined by GAXRD. Nanoparticle formation is confirmed by the UV-VIS spectroscopic analysis that shows quantum size effects in the form of a blue shift in the band-gap energy of titanium-oxide.

  3. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    NASA Astrophysics Data System (ADS)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  4. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    NASA Astrophysics Data System (ADS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  5. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    PubMed Central

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants. PMID:26527099

  6. Ion implantation of solar cell junctions without mass analysis

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D.; Tonn, D. G.

    1981-01-01

    This paper is a summary of an investigation to determine the feasibility of producing solar cells by means of ion implantation without the use of mass analysis. Ion implants were performed using molecular and atomic phosphorus produced by the vaporization of solid red phosphorus and ionized in an electron bombardment source. Solar cell junctions were ion implanted by mass analysis of individual molecular species and by direct unanalyzed implants from the ion source. The implant dose ranged from 10 to the 14th to 10 to the 16th atoms/sq cm and the energy per implanted atom ranged from 5 KeV to 40 KeV in this study.

  7. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  8. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  9. Laser-induced thermo-lens in ion-implanted optically-transparent polymer

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Ivanov, Victor G.; Hadjichristov, Georgi B.

    2009-10-01

    A strong laser-induced thermo-lens (LITL) effect is found in optically-transparent ion-implanted polymer upon irradiation by a cw laser with a power up to 100 mW (λ = 532 nm). The effect is observed in bulk polymethylmethacrylate (PMMA) implanted with silicon ions (Si+). A series of PMMA specimens is examined, subjected to low-energy (50 keV) Si+ implantation at various dosages in the range from 1014 to 1017 ions/cm2. The thermo-lensing is unambiguously attributed to the modification of the subsurface region of the polymer upon the ion implantation. Having a gradient refractive-index in-depth profile, the subsurface organic-carbonaceous layer produced in the polymer by ion implantation, is responsible for the LITL effect observed in reflection geometry. The LITL occurs due to optical absorption of the ion-implanted layer of a thickness of about 100 nm buried in a depth ~ 100 nm, and subsequent laser-induced change in the refractive index of the Si+-implanted PMMA. Being of importance as considering photonic applications of ion-implanted optically-transparent polymers, the LITL effect in Si+-implanted PMMA is studied as a function of the implant dose, the incident laser power and incidence angle, and is linked to the structure formed in this ion-implanted plastic.

  10. Optical properties of P ion implanted ZnO

    NASA Astrophysics Data System (ADS)

    Pong, Bao-Jen; Chou, Bo-Wei; Pan, Ching-Jen; Tsao, Fu-Chun; Chi, Gou-Chung

    2006-02-01

    Red and green emissions are observed from P ion implanted ZnO. Red emission at ~680 nm (1.82 eV) is associated with the donor-acceptor pair (DAP) transition, where the corresponding donor and acceptor are interstitial zinc (Zn i) and interstitial oxygen (O i), respectively. Green emission at ~ 516 nm (2.40 eV) is associated with the transition between the conduction band and antisite oxygen (O Zn). Green emission at ~516nm (2.403 eV) was observed for ZnO annealed at 800 oC under ambient oxygen, whereas, it was not visible when it was annealed in ambient nitrogen. Hence, the green emission is most likely not related to oxygen vacancies on ZnO sample, which might be related to the cleanliness of ZnO surface, a detailed study is in progress. The observed micro-strain is larger for N ion implanted ZnO than that for P ion implanted ZnO. It is attributed to the larger straggle of N ion implanted ZnO than that of P ion implanted ZnO. Similar phenomenon is also observed in Be and Mg ion implanted GaN.

  11. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu Chenglong; Xin Yunchang; Tian Xiubo

    2007-03-15

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has threemore » layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO{sub 2} with some Mg(OH){sub 2}. The middle layer that is 50 nm thick comprises predominantly TiO{sub 2} and MgO with minor contributions from MgAl{sub 2}O{sub 4} and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti{sub 3}Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37{+-}1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased {beta}-Mg{sub 12}Al{sub 17} phase.« less

  12. Self-organized surface ripple pattern formation by ion implantation

    NASA Astrophysics Data System (ADS)

    Hofsäss, Hans; Zhang, Kun; Bobes, Omar

    2016-10-01

    Ion induced ripple pattern formation on solid surfaces has been extensively studied in the past and the theories describing curvature dependent ion erosion as well as redistribution of recoil atoms have been very successful in explaining many features of the pattern formation. Since most experimental studies use noble gas ion irradiation, the incorporation of the ions into the films is usually neglected. In this work we show that the incorporation or implantation of non-volatile ions also leads to a curvature dependent term in the equation of motion of a surface height profile. The implantation of ions can be interpreted as a negative sputter yield; and therefore, the effect of ion implantation is opposite to the one of ion erosion. For angles up to about 50°, implantation of ions stabilizes the surface, whereas above 50°, ion implantation contributes to the destabilization of the surface. We present simulations of the curvature coefficients using the crater function formalism and we compare the simulation results to the experimental data on the ion induced pattern formation using non-volatile ions. We present several model cases, where the incorporation of ions is a crucial requirement for the pattern formation.

  13. Passivated contact formation using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Stradins, Pauls; Nemeth, William

    2018-05-29

    Methods for forming passivated contacts include implanting compound-forming ions into a substrate to about a first depth below a surface of the substrate, and implanting dopant ions into the substrate to about a second depth below the surface. The second depth may be shallower than the first depth. The methods also include annealing the substrate.

  14. Ion Implantation Studies of Titanium Metal Surfaces.

    DTIC Science & Technology

    1981-01-01

    sf.Th. 82-0 327 11,y 604.)___ _ 4 . TITLE (and Subtitle) S. TYPE OF REPORT & PERIOD COVERED Final Ion Implantation Studies of Titanium Metal Suf s 6 ...AD-A113 7ag GEORGIA INST OF TECH ATLANTA SCHOOL OF PHYSICS FIG 11/ 6 ION IMPLANTATION STUDOIES OF TITANIUM METAL SURtFACES. (U) 1901 J R STEVENSON. K...LL0 kpproved ror 82 4 ±s~rutic iui.~o 82r-~~ ION IMPLANTATION STUDIES OF TITANIUM METAL SURFACES SECURITY CLASSIFICATION OIOF THIS PAGE (0fen Date

  15. Modification of polyvinyl alcohol surface properties by ion implantation

    NASA Astrophysics Data System (ADS)

    Pukhova, I. V.; Kurzina, I. A.; Savkin, K. P.; Laput, O. A.; Oks, E. M.

    2017-05-01

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 1014, 1 × 1015 and 1 × 1016 ion/cm2 and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (sbnd Cdbnd O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  16. Erbium ion implantation into different crystallographic cuts of lithium niobate

    NASA Astrophysics Data System (ADS)

    Nekvindova, P.; Svecova, B.; Cajzl, J.; Mackova, A.; Malinsky, P.; Oswald, J.; Kolistsch, A.; Spirkova, J.

    2012-02-01

    Single crystals like lithium niobate are frequently doped with optically active rare-earth or transition-metal ions for a variety of applications in optical devices such as solid-state lasers, amplifiers or sensors. To exploit the potential of the Er:LiNbO 3, one must ensure high intensity of the 1.5 μm luminescence as an inevitable prerequisite. One of the important factors influencing the luminescence properties of a lasing ion is the crystal field of the surrounding, which is inevitably determined by the crystal structure of the pertinent material. From that point it is clear that it cannot be easy to affect the resulting luminescence properties - intensity or position of the luminescence band - without changing the structure of the substrate. However, there is a possibility to utilise a potential of the ion implantation of the lasing ions, optionally accompanied with a sensitising one, that can, besides the doping, also modify the structure of the treated area od the crystal. This effect can be eventually enhanced by a post-implantation annealing that may help to recover the damaged structure and hence to improve the desired luminescence. In this paper we are going to report on our experiments with ion-implantation technique followed with subsequent annealing could be a useful way to influence the crystal field of LN. Optically active Er:LiNbO 3 layers were fabricated by medium energy implantation under various experimental conditions. The Er + ions were implanted at energies of 330 and 500 keV with fluences ranging from 1.0 × 10 15 to 1.0 × 10 16 ion cm -2 into LiNbO 3 single-crystal cuts of both common and special orientations. The as-implanted samples were annealed in air and oxygen at two different temperatures (350 and 600 °C) for 5 h. The depth concentration profiles of the implanted erbium were measured by Rutherford Backscattering Spectroscopy (RBS) using 2 MeV He + ions. The photoluminescence spectra of the samples were measured to determine the

  17. Method for ion implantation induced embedded particle formation via reduction

    DOEpatents

    Hampikian, Janet M; Hunt, Eden M

    2001-01-01

    A method for ion implantation induced embedded particle formation via reduction with the steps of ion implantation with an ion/element that will chemically reduce the chosen substrate material, implantation of the ion/element to a sufficient concentration and at a sufficient energy for particle formation, and control of the temperature of the substrate during implantation. A preferred embodiment includes the formation of particles which are nano-dimensional (<100 m-n in size). The phase of the particles may be affected by control of the substrate temperature during and/or after the ion implantation process.

  18. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE PAGES

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; ...

    2015-11-03

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  19. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  20. Multicycle rapid thermal annealing technique and its application for the electrical activation of Mg implanted in GaN

    NASA Astrophysics Data System (ADS)

    Feigelson, B. N.; Anderson, T. J.; Abraham, M.; Freitas, J. A.; Hite, J. K.; Eddy, C. R.; Kub, F. J.

    2012-07-01

    No reliable results were reported up-to-date on electrical activation of Mg implanted GaN without co-doping with other ions. The main reason of the poor ion-implanted activation in GaN is lack of the adequate GaN annealing technique. We have developed a new approach, Multicycle Rapid Thermal Annealing to overcome this limitation and enable longer annealing times at high temperature. We have applied this new technique to Mg-implanted GaN, and demonstrated p-type conductivity.

  1. Retention of ion-implanted-xenon in olivine: Dependence on implantation dose

    NASA Technical Reports Server (NTRS)

    Melcher, C. L.; Tombrello, T. A.; Burnett, D. S.

    1982-01-01

    The diffusion of Xe in olivine, a major mineral in both meteorites and lunar samples, was studied. Xe ions were implanted at 200 keV into single-crystal synthetic-forsterite targets and the depth profiles were measured by alpha particle backscattering before and after annealing for 1 hour at temperatures up to 1500 C. The fraction of implanted Xe retained following annealing was strongly dependent on the implantation dose. Maximum retention of 100% occurred for an implantion dose of 3 x 10 to the 15th power Xe ions/sq cm. Retention was less at lower doses, with (approximately more than or = 50% loss at one hundred trillion Xe ions/sq cm. Taking the diffusion coefficient at this dose as a lower limit, the minimum activation energy necessary for Xe retention in a 10 micrometer layer for ten million years was calculated as a function of metamorphic temperature.

  2. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, K.N.

    1996-09-24

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted. 16 figs.

  3. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted.

  4. Reflectivity modification of polymethylmethacrylate by silicon ion implantation

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Ivanov, Victor; Faulques, Eric

    2008-05-01

    The effect of silicon ion implantation on the optical reflection of bulk polymethylmethacrylate (PMMA) was examined in the visible and near UV. A low-energy (30 and 50 keV) Si + beam at fluences in the range from 10 13 to 10 17 cm -2 was used for ion implantation of PMMA. The results show that a significant enhancement of the reflectivity from Si +-implanted PMMA occurs at appropriate implantation energy and fluence. The structural modifications of PMMA by the silicon ion implantation were characterized by means of photoluminescence and Raman spectroscopy. Formation of hydrogenated amorphous carbon (HAC) layer beneath the surface of the samples was established and the corresponding HAC domain size was estimated.

  5. Ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1991-01-01

    A Planar-Buried-Heterostructure, Graded-Index, Separate-Confinement-Heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding layer 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an ion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  6. Fabrication of poly(vinyl carbazole) waveguides by oxygen ion implantation

    NASA Astrophysics Data System (ADS)

    Ghailane, Fatima; Manivannan, Gurusamy; Knystautas, Émile J.; Lessard, Roger A.

    1995-08-01

    Polymer waveguides were fabricated by ion implantation involving poly(vinyl carbazole) films. This material was implanted by oxygen ions (O ++ ) of energies ranging from 50 to 250 keV. The ion doses varied from 1010 to 1015 ions / cm2. The conventional prism-film coupler method was used to determine the waveguiding nature of the implanted and unimplanted films. The increase of the surface refractive index in the implanted layer has been studied by measuring the effective refractive index (neff) for different optical modes. Electron spectroscopy chemical analysis measurements were also performed to assess the effect of ion implantation on the polymer matrix.

  7. Structured back gates for high-mobility two-dimensional electron systems using oxygen ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berl, M., E-mail: mberl@phys.ethz.ch; Tiemann, L.; Dietsche, W.

    2016-03-28

    We present a reliable method to obtain patterned back gates compatible with high mobility molecular beam epitaxy via local oxygen ion implantation that suppresses the conductivity of an 80 nm thick silicon doped GaAs epilayer. Our technique was optimized to circumvent several constraints of other gating and implantation methods. The ion-implanted surface remains atomically flat which allows unperturbed epitaxial overgrowth. We demonstrate the practical application of this gating technique by using magneto-transport spectroscopy on a two-dimensional electron system (2DES) with a mobility exceeding 20 × 10{sup 6} cm{sup 2}/V s. The back gate was spatially separated from the Ohmic contacts of the 2DES,more » thus minimizing the probability for electrical shorts or leakage and permitting simple contacting schemes.« less

  8. Mechanical stresses and amorphization of ion-implanted diamond

    NASA Astrophysics Data System (ADS)

    Khmelnitsky, R. A.; Dravin, V. A.; Tal, A. A.; Latushko, M. I.; Khomich, A. A.; Khomich, A. V.; Trushin, A. S.; Alekseev, A. A.; Terentiev, S. A.

    2013-06-01

    Scanning white light interferometry and Raman spectroscopy were used to investigate the mechanical stresses and structural changes in ion-implanted natural diamonds with different impurity content. The uniform distribution of radiation defects in implanted area was obtained by the regime of multiple-energy implantation of keV He+ ions. A modification of Bosia's et al. (Nucl. Instrum. Meth. B 268 (2010) 2991) method for determining the internal stresses and the density variation in an ion-implanted diamond layer was proposed that suggests measuring, in addition to the surface swelling of a diamond plate, the radius of curvature of the plate. It is shown that, under multiple-energy implantation of He+, mechanical stresses in the implanted layer may be as high as 12 GPa. It is shown that radiation damage reaches saturation for the implantation fluence characteristic of amorphization of diamond but is appreciably lower than the graphitization threshold.

  9. Cd ion implantation in AlN

    NASA Astrophysics Data System (ADS)

    Miranda, S. M. C.; Franco, N.; Alves, E.; Lorenz, K.

    2012-10-01

    AlN thin films were implanted with cadmium, to fluences of 1 × 1013 and 8 × 1014 at/cm2. The implanted samples were annealed at 950 °C under flowing nitrogen. Although implantation damage in AlN is known to be extremely stable the crystal could be fully recovered at low fluences. At high fluences the implantation damage was only partially removed. Implantation defects cause an expansion of the c-lattice parameter. For the high fluence sample the lattice site location of the ions was studied by Rutherford Backscattering/Channelling Spectrometry. Cd ions are found to be incorporated in substitutional Al sites in the crystal and no significant diffusion is seen upon thermal annealing. The observed high solubility limit and site stability are prerequisite for using Cd as p-type dopant in AlN.

  10. Enhancement of Ag nanoparticles concentration by prior ion implantation

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Wang, Jun; Liu, Changlong

    2017-09-01

    Thermally grown SiO2 layer on Si substrates were singly or sequentially implanted with Zn or Cu and Ag ions at the same fluence of 2 × 1016/cm2. The profiles of implanted species, structure, and spatial distribution of the formed nanoparticles (NPs) have been characterized by the cross-sectional transmission electron microscope (XTEM) and Rutherford backscattering spectrometry (RBS). It is found that pre-implantation of Zn or Cu ions could suppress the self sputtering of Ag atoms during post Ag ion implantation, which gives rise to fabrication of Ag NPs with a high density. Moreover, it has also been demonstrated that the suppressing effect strongly depends on the applied energy and mobility of pre-implanted ions. The possible mechanism for the enhanced Ag NPs concentration has been discussed in combination with SRIM simulations. Both vacancy-like defects acting as the increased nucleation sites for Ag NPs and a high diffusivity of prior implanted ions in SiO2 play key roles in enhancing the deposition of Ag implants.

  11. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    NASA Astrophysics Data System (ADS)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  12. Determination of migration of ion-implanted Ar and Zn in silica by backscattering spectrometry

    NASA Astrophysics Data System (ADS)

    Szilágyi, E.; Bányász, I.; Kótai, E.; Németh, A.; Major, C.; Fried, M.; Battistig, G.

    2015-03-01

    It is well known that the refractive indices of lots of materials can be modified by ion implantation, which is important for waveguide fabrication. In this work the effect of Ar and Zn ion implantation on silica layers was investigated by Rutherford Backscattering Spectrometry (RBS) and Spectroscopic Ellipsometry (SE). Silica layers produced by chemical vapour deposition technique on single crystal silicon wafers were implanted by Ar and Zn ions with a fluence of 1-2 ×1016 Ar/cm2 and 2.5 ×1016 Zn/cm2, respectively. The refractive indices of the implanted silica layers before and after annealing at 300°C and 600°C were determined by SE. The migration of the implanted element was studied by real-time RBS up to 500°C. It was found that the implanted Ar escapes from the sample at 300°C. Although the refractive indices of the Ar-implanted silica layers were increased compared to the as-grown samples, after the annealing this increase in the refractive indices vanished. In case of the Zn-implanted silica layer both the distribution of the Zn and the change in the refractive indices were found to be stable. Zn implantation seems to be an ideal choice for producing waveguides.

  13. Implantation of sodium ions into germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Korol', V. M., E-mail: vkorol@ctsnet.ru; Kudriavtsev, Yu.

    The donor properties of Na atoms introduced by ion implantation into p-Ge with the resistivity 20-40 {Omega} cm are established for the first time. Na profiles implanted into Ge (the energies 70 and 77 keV and the doses (0.8, 3, 30) Multiplication-Sign 10{sup 14} cm{sup -2}) are studied. The doses and annealing temperatures at which the thermoprobe detects n-type conductivity on the sample surface are established. After implantation, the profiles exhibit an extended tail. The depth of the concentration maximum is in good agreement with the calculated mean projected range of Na ions R{sub p}. Annealing for 30 min atmore » temperatures of 250-700 Degree-Sign C brings about a redistribution of Na atoms with the formation of segregation peaks at a depth, which is dependent on the ion dose, and is accompanied by the diffusion of Na atoms to the surface with subsequent evaporation. After annealing at 700 Degree-Sign C less than 7% of the implanted ions remain in the matrix. The shape of the profile tail portions measured after annealing at temperatures 300-400 Degree-Sign C is indicative of the diffusion of a small fraction of Na atoms into the depth of the sample.« less

  14. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Peercy, P.S.; Land, C.E.

    1980-06-13

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Ions that are implanted include H/sup +/, He/sup +/, Ar/sup +/, and a preferred co-implant of Ar/sup +/ and Ne/sup +/. The positive ion implantation advantageously serves to shift the band gap energy threshold of the PLZT material from near-uv light to visible blue light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to these positive ions of sufficient density and with sufficient energy to provide an image. The PLZT material may have a lanthanum content ranging from 5 to 10%; a lead zirconate content ranging from 62 to 70 mole %; and a lead titanate content ranging from 38 to 30%. The region of ion implantation is in a range from 0.1 to 2 microns below the surface of the PLZT plate. Density of ions is in the range from 1 x 10/sup 12/ to 1 x 10/sup 17/ ions/cm/sup 2/ and having an energy in the range from 100 to 500 keV.

  15. High definition surface micromachining of LiNbO 3 by ion implantation

    NASA Astrophysics Data System (ADS)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  16. Application of laser driven fast high density plasma blocks for ion implantation

    NASA Astrophysics Data System (ADS)

    Sari, Amir H.; Osman, F.; Doolan, K. R.; Ghoranneviss, M.; Hora, H.; Höpfl, R.; Benstetter, G.; Hantehzadeh, M. H.

    2005-10-01

    The measurement of very narrow high density plasma blocks of high ion energy from targets irradiated with ps-TW laser pulses based on a new skin depth interaction process is an ideal tool for application of ion implantation in materials, especially of silicon, GaAs, or conducting polymers, for micro-electronics as well as for low cost solar cells. A further application is for ion sources in accelerators with most specifications of many orders of magnitudes advances against classical ion sources. We report on near band gap generation of defects by implantation of ions as measured by optical absorption spectra. A further connection is given for studying the particle beam transforming of n-type semiconductors into p-type and vice versa as known from sub-threshold particle beams. The advantage consists in the use of avoiding aggressive or rare chemical materials when using the beam techniques for industrial applications.

  17. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    NASA Astrophysics Data System (ADS)

    Ward, L. P.; Purushotham, K. P.; Manory, R. R.

    2016-02-01

    Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 1016 ions cm-2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti2N phase was observed with Cr implantation.

  18. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat; Naab, Fabian; Toader, Ovidiu; Mahmood, Arshad; Rashid, Rashad; Mahmood, Mazhar

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C+ ion implantation effects on PMMA at different fluences ranging from 5 × 1013 to 5 × 1015 ions/cm2. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV-Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 1014 to 5 × 1015 ions/cm2. The existence of amorphization and sp2-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV-Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10-10 (Ω-cm)-1 (pristine) to (0.32 ± 0.01) × 10-5 (Ω-cm)-1 (irradiated sample).

  19. Optical reflectivity study of silicon ion implanted poly(methyl methacrylate)

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Stefanov, Ivan L.; Florian, Bojana I.; Blaskova, Gergana D.; Ivanov, Victor G.; Faulques, Eric

    2009-11-01

    The optical reflectivity (both specular and off-specular) of poly(methyl methacrylate) (PMMA) implanted with silicon ions (Si +) at energy of 50 keV, is studied in the spectral range 0.25-25 μm. The effect from the Si + implantation on the reflectivity of two PMMA materials is examined in the dose range from 10 14 to 10 17 ions/cm 2 and is linked to the structure formed in this ion implanted plastic. As compared to the pristine PMMA, an enhancement of the reflectivity of Si + implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation. The ion-produced subsurface organic interface is also probed by laser-induced thermo-lens.

  20. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in; Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{supmore » 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.« less

  1. Development of nano-fabrication technique utilizing self-organizational behavior of point defects induced by ion irradiation

    NASA Astrophysics Data System (ADS)

    Nitta, Noriko; Taniwaki, Masafumi

    2006-04-01

    The present authors proposed a novel nano-fabrication technique that is able to arrange the fine cells orderly, based on their finding in GaSb implanted at a low temperature. In this article, first the experimental results that anomalous cellular structure was formed in GaSb by ion implantation is introduced and the self-organizational formation mechanism of the structure is described. Next a nano-fabrication technique that utilizes focused ion beam is described. This technique consists of two procedures, i.e. the formation process of the voids array and the development of the initial array to ordered cellular structure. Finally, the nano-fabrication is actually performed by this technique and their results are reported. Fabrication succeeded in structures where the dot (cell) interval was 100 nm or larger. The minimum ion dose for initial voids which develops to the ordered cellular structure is evaluated. It is also shown that the substrate temperature during implantation is an essential parameter for this technique.

  2. Physical and Tribological Characteristics of Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Heidger, S.; Korenyi-Both, A. L.; Jayne, D. T.; Herrera-Fierro, P.; Shogrin, B.; Wilbur, P. J.; Wu, R. L. C.; Garscadden, A.; Barnes, P. N.

    1994-01-01

    Unidirectional sliding friction experiments were conducted with a natural, polished diamond pin in contact with both as-deposited and carbon-ion-implanted diamond films in ultrahigh vacuum. Diamond films were deposited on silicon, silicon carbide, and silicon nitride by microwave-plasma-assisted chemical vapor deposition. The as-deposited diamond films were impacted with carbon ions at an accelerating energy of 60 keV and a current density of 50 micron A/cm(exp 2) for approximately 6 min, resulting in a dose of 1.2 x 10(exp 17) carbon ions/cm(exp 2). The results indicate that the carbon ion implantation produced a thin surface layer of amorphous, nondiamond carbon. The nondiamond carbon greatly decreased both friction and wear of the diamond films. The coefficients of friction for the carbon-ion-implanted, fine-grain diamond films were less than 0.1, factors of 20 to 30 lower than those for the as-deposited, fine-grain diamond films. The coefficients of friction for the carbon-ion-implanted, coarse-grain diamond films were approximately 0.35, a factor of five lower than those for the as-deposited, coarse-grain diamond films. The wear rates for the carbon-ion-implanted, diamond films were on the order of 10(exp -6) mm(exp 3)/Nm, factors of 30 to 80 lower than that for the as-deposited diamond films, regardless of grain size. The friction of the carbon-ion-implanted diamond films was greatly reduced because the amorphous, nondiamond carbon, which had a low shear strength, was restricted to the surface layers (less than 0.1 micron thick) and because the underlying diamond materials retained their high hardness. In conclusion, the carbon-ion-implanted, fine-grain diamond films can be used effectively as wear resistant, self-lubricating coatings for ceramics, such as silicon nitride and silicon carbide, in ultrahigh vacuum.

  3. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    PubMed

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  4. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    NASA Astrophysics Data System (ADS)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  5. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Land, Cecil E.; Peercy, Paul S.

    1983-01-01

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Implanted ions include H.sup.+, He.sup.+, Ne.sup.+, Ar.sup.+, as well as chemically reactive ions from Fe, Cr, and Al. The positive ion implantation advantageously serves to shift the absorption characteristics of the PLZT material from near-UV light to visible light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to the positive ions at sufficient density, from 1.times.10.sup.12 to 1.times.10.sup.17, and with sufficient energy, from 100 to 500 KeV, to provide photosensitivity enhancement. The PLZT material may have a lanthanum content ranging from 5 to 10%, a lead zirconate content of 62 to 70 mole %, and a lead titanate content of 38 to 30%. The ions are implanted at a depth of 0.1 to 2 microns below the surface of the PLZT plate.

  6. Why are mini-implants lost: the value of the implantation technique!

    PubMed

    Romano, Fabio Lourenço; Consolaro, Alberto

    2015-01-01

    The use of mini-implants have made a major contribution to orthodontic treatment. Demand has aroused scientific curiosity about implant placement procedures and techniques. However, the reasons for instability have not yet been made totally clear. The aim of this article is to establish a relationship between implant placement technique and mini-implant success rates by means of examining the following hypotheses: 1) Sites of poor alveolar bone and little space between roots lead to inadequate implant placement; 2) Different sites require mini-implants of different sizes! Implant size should respect alveolar bone diameter; 3) Properly determining mini-implant placement site provides ease for implant placement and contributes to stability; 4) The more precise the lancing procedures, the better the implant placement technique; 5) Self-drilling does not mean higher pressures; 6) Knowing where implant placement should end decreases the risk of complications and mini-implant loss.

  7. Surface insulating properties of titanium implanted alumina ceramics by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Zhu, Mingdong; Song, Falun; Li, Fei; Jin, Xiao; Wang, Xiaofeng; Wang, Langping

    2017-09-01

    The insulating property of the alumina ceramic in vacuum under high voltage is mainly limited by its surface properties. Plasma immersion ion implantation (PIII) is an effective method to modify the surface chemical and physical properties of the alumina ceramic. In order to improve the surface flashover voltage of the alumina ceramic in vacuum, titanium ions with an energy of about 20 keV were implanted into the surface of the alumina ceramic using the PIII method. The surface properties of the as-implanted samples, such as the chemical states of the titanium, morphology and surface resistivity, were characterized by X-ray photoelectron spectroscopy, scanning electron microscope and electrometer, respectively. The surface flashover voltages of the as-implanted alumina samples were measured by a vacuum surface flashover experimental system. The XPS spectra revealed that a compound of Ti, TiO2 and Al2O3 was formed in the inner surface of the alumina sample. The electrometer results showed that the surface resistivity of the implanted alumina decreased with increased implantation time. In addition, after the titanium ion implantation, the maximum hold-off voltage of alumina was increased to 38.4 kV, which was 21.5% higher than that of the unimplanted alumina ceramic.

  8. Controlled deterministic implantation by nanostencil lithography at the limit of ion-aperture straggling

    NASA Astrophysics Data System (ADS)

    Alves, A. D. C.; Newnham, J.; van Donkelaar, J. A.; Rubanov, S.; McCallum, J. C.; Jamieson, D. N.

    2013-04-01

    Solid state electronic devices fabricated in silicon employ many ion implantation steps in their fabrication. In nanoscale devices deterministic implants of dopant atoms with high spatial precision will be needed to overcome problems with statistical variations in device characteristics and to open new functionalities based on controlled quantum states of single atoms. However, to deterministically place a dopant atom with the required precision is a significant technological challenge. Here we address this challenge with a strategy based on stepped nanostencil lithography for the construction of arrays of single implanted atoms. We address the limit on spatial precision imposed by ion straggling in the nanostencil—fabricated with the readily available focused ion beam milling technique followed by Pt deposition. Two nanostencils have been fabricated; a 60 nm wide aperture in a 3 μm thick Si cantilever and a 30 nm wide aperture in a 200 nm thick Si3N4 membrane. The 30 nm wide aperture demonstrates the fabricating process for sub-50 nm apertures while the 60 nm aperture was characterized with 500 keV He+ ion forward scattering to measure the effect of ion straggling in the collimator and deduce a model for its internal structure using the GEANT4 ion transport code. This model is then applied to simulate collimation of a 14 keV P+ ion beam in a 200 nm thick Si3N4 membrane nanostencil suitable for the implantation of donors in silicon. We simulate collimating apertures with widths in the range of 10-50 nm because we expect the onset of J-coupling in a device with 30 nm donor spacing. We find that straggling in the nanostencil produces mis-located implanted ions with a probability between 0.001 and 0.08 depending on the internal collimator profile and the alignment with the beam direction. This result is favourable for the rapid prototyping of a proof-of-principle device containing multiple deterministically implanted dopants.

  9. N and Cr ion implantation of natural ruby surfaces and their characterization

    NASA Astrophysics Data System (ADS)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan; Magudapathy, P.; Panigrahi, B. K.; Nayak, B. B.; Mishra, B. K.

    2016-04-01

    Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV-Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 1017 N-ions/cm2 fluence, formation of colour centres (F+, F2, F2+ and F22+) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  10. Production technology for high efficiency ion implanted solar cells

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Greenwald, A. C.; Josephs, R. H.

    1978-01-01

    Ion implantation is being developed for high volume automated production of silicon solar cells. An implanter designed for solar cell processing and able to properly implant up to 300 4-inch wafers per hour is now operational. A machine to implant 180 sq m/hr of solar cell material has been designed. Implanted silicon solar cells with efficiencies exceeding 16% AM1 are now being produced and higher efficiencies are expected. Ion implantation and transient processing by pulsed electron beams are being integrated with electrostatic bonding to accomplish a simple method for large scale, low cost production of high efficiency solar cell arrays.

  11. Silicon solar cells by ion implantation and pulsed energy processing

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Shaughnessy, T. S.; Greenwald, A. C.

    1976-01-01

    A new method for fabrication of silicon solar cells is being developed around ion implantation in conjunction with pulsed electron beam techniques to replace conventional furnace processing. Solar cells can be fabricated totally in a vacuum environment at room temperature. Cells with 10% AM0 efficiency have been demonstrated. High efficiency cells and effective automated processing capabilities are anticipated.

  12. A role for ion implantation in quantum computing

    NASA Astrophysics Data System (ADS)

    Jamieson, David N.; Prawer, Steven; Andrienko, Igor; Brett, David A.; Millar, Victoria

    2001-04-01

    We propose to create arrays of phosphorus atoms in silicon for quantum computing using ion implantation. Since the implantation of the ions is essentially random, the yield of usefully spaced atoms is low and therefore some method of registering the passage of a single ion is required. This can be accomplished by implantation of the ions through a thin surface layer consisting of resist. Changes to the chemical and/or electrical properties of the resist will be used to mark the site of the buried ion. For chemical changes, the latent damage will be developed and the atomic force microscope (AFM) used to image the changes in topography. Alternatively, changes in electrical properties (which obviate the need for post-irradiation chemical etching) will be used to register the passage of the ion using scanning tunneling microscopy (STM), the surface current imaging mode of the AFM. We address the central issue of the contrast created by the passage of a single ion through resist layers of PMMA and C 60.

  13. A simple ion implanter for material modifications in agriculture and gemmology

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  14. Ion Beam Processing.

    DTIC Science & Technology

    1987-03-13

    guides Taps for plastics Orthopedic implants (hip and knee joints, etc.) Extrusion spinnerettes Finishing rolls for copper rod Extrusion nozzles...detail in following sections. C. Comparison to Coating Techniques -,* Because ion implantation is a process that modifies surface properties it is often...Therefore, it is important to understand the differences between ion implantation and coating techniques, especially ion plating. The result of ion

  15. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    NASA Astrophysics Data System (ADS)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  16. High-intensity low energy titanium ion implantation into zirconium alloy

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  17. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, Rolf H.; Zhang, Shengtao

    1997-01-01

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, end sodium sulfur.

  18. Formation of Porous Germanium Layers by Silver-Ion Implantation

    NASA Astrophysics Data System (ADS)

    Stepanov, A. L.; Vorob'ev, V. V.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Yu. N.

    2018-04-01

    We propose a method for the formation of porous germanium ( P-Ge) layers containing silver nanoparticles by means of high-dose implantation of low-energy Ag+ ions into single-crystalline germanium ( c-Ge). This is demonstrated by implantation of 30-keV Ag+ ions into a polished c-Ge plate to a dose of 1.5 × 1017 ion/cm2 at an ion beam-current density of 5 μA/cm2. Examination by high-resolution scanning electron microscopy (SEM), atomic-force microscopy (AFM), X-ray diffraction (XRD), energy-dispersive X-ray (EDX) microanalysis, and reflection high-energy electron diffraction (RHEED) showed that the implantation of silver ions into c-Ge surface led to the formation of a P-Ge layer with spongy structure comprising a network of interwoven nanofibers with an average diameter of ˜10-20 nm Ag nanoparticles on the ends of fibers. It is also established that the formation of pores during Ag+ ion implantation is accompanied by effective sputtering of the Ge surface.

  19. Certified ion implantation fluence by high accuracy RBS.

    PubMed

    Colaux, Julien L; Jeynes, Chris; Heasman, Keith C; Gwilliam, Russell M

    2015-05-07

    From measurements over the last two years we have demonstrated that the charge collection system based on Faraday cups can robustly give near-1% absolute implantation fluence accuracy for our electrostatically scanned 200 kV Danfysik ion implanter, using four-point-probe mapping with a demonstrated accuracy of 2%, and accurate Rutherford backscattering spectrometry (RBS) of test implants from our quality assurance programme. The RBS is traceable to the certified reference material IRMM-ERM-EG001/BAM-L001, and involves convenient calibrations both of the electronic gain of the spectrometry system (at about 0.1% accuracy) and of the RBS beam energy (at 0.06% accuracy). We demonstrate that accurate RBS is a definitive method to determine quantity of material. It is therefore useful for certifying high quality reference standards, and is also extensible to other kinds of samples such as thin self-supporting films of pure elements. The more powerful technique of Total-IBA may inherit the accuracy of RBS.

  20. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, R.H.; Zhang, S.

    1997-01-14

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, and sodium sulfur. 6 figs.

  1. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, J.R.

    1988-08-16

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner. 7 figs.

  2. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, John R.

    1988-01-01

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner.

  3. Optical characterization of poly(methyl methacrylate) implanted with low energy ions

    NASA Astrophysics Data System (ADS)

    Gupta, Renu; Kumar, Vijay; Goyal, Parveen Kumar; Kumar, Shyam

    2012-12-01

    The samples of poly(methyl methacrylate) (PMMA) were subjected to 100 keV N+ and Ar+ ion implantation up to a maximum fluence of 2 × 1016 ions/cm2. The effect of ion implantation on the optical energy gap and the refractive index has been studied through UV-visible spectroscopy. The results clearly indicate a decrease in the values of optical energy gap and an increase in the values of refractive index as an effect of ion implantation corresponding to both of the ions. It has also been observed that the changes induced by the implanted ions are more pronounced for N+ ions in comparison to Ar+ ions. This variation has been correlated with the calculated ranges of these ions in PMMA polymer using Stopping and Range of Ions in Matter (SRIM) code. Finally, an attempt has been made to correlate all the observed changes with the induced structural changes as revealed through Raman spectroscopy.

  4. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  5. More-reliable SOS ion implantations

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  6. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE PAGES

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.; ...

    2017-12-19

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  7. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  8. Thermal annealing behavior of nano-size metal-oxide particles synthesized by ion implantation in Fe-Cr alloy

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Descoins, M.; Mangelinck, D.; Dalle, F.; Arnal, B.; Delauche, L.

    2017-05-01

    Oxide dispersion strengthened (ODS) steels are promising structural materials for the next generation nuclear reactors, as well as fusion facilities. The detailed understanding of the mechanisms involved in the precipitation of nano-oxides during ODS steel production would strongly contribute to the improvement of the mechanical properties and the optimization of manufacturing of ODS steels, with a potentially strong economic impact for their industrialization. A useful tool for the experimental study of nano-oxide precipitation is ion implantation, a technique that is widely used to synthesize precipitate nanostructures in well-controlled conditions. Earlier, we have demonstrated the feasibility of synthesizing aluminum-oxide particles in the high purity Fe-10Cr alloy by consecutive implantation with Al and O ions at room temperature. This paper describes the effects of high-temperature annealing after the ion implantation stage on the development of the aluminum based oxide nanoparticle system. Using transmission electron microscopy and atom probe tomography experiments, we demonstrate that post-implantation heat treatment induces the growth of the nano-sized oxides in the implanted region and nucleation of new oxide precipitates behind the implantation zone as a result of the diffusion driven broadening of implant profiles. A tentative scenario for the development of metal-oxide nano-particles at both ion implantation and heat treatment stages is suggested based on the experimental observations.

  9. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    Successful n- and p-doping of GaN is an extremely important technological problem. More recently, ion implantation has been used to achieve both n- and p-type GaN. The ion implantation process is accompanied by the presence of radiation defects as the result of the ion-solid interactions. The temperatures (above 1000°C) required for recovery of the implantation induced damage and dopant activation strongly affect the GaN's surface integrity due to the significant nitrogen vapor pressure. Preservation of the surface integrity of GaN during high temperature post-implantation annealing is one of the key issues in the fabrication of GaN-based light-emitting devices. The radiation damage build-up in the implanted GaN layers has been investigated as a function of ion dose and the substrate's temperature. Results of measurements of structural damage by the Rutherford backscattering/Channeling (RBS/C) and the spectroscopic ellipsometry (SE) techniques have demonstrated the complex nature of the damage build-up. Analysis of GaN implanted at high temperature has demonstrated the presence of competing processes of layer-by-layer damage build-up and defect annihilation. Using a capping layer and annealing in a sealed quartz capsule filled with dry nitrogen can preserve the integrity of the GaN's surface. In this work the ion-implanted GaN samples were capped with 40 run MOCVD (Metal Organic Chemical Vapor Deposition) grown AlN film prior to annealing. The results of this work showed the advantage of high-temperature annealing of implanted GaN in a quartz capsule with nitrogen ambient, as compared with annealing in argon and nitrogen gas flow. Partial to complete decomposition of the AlN cap and underlying GaN has been observed by RBS/C and SEM (Scanning electron microscopy) for the samples annealed in flowing argon, as well as for the samples processed in flowing nitrogen. Encapsulation with nitrogen overpressure prevented the decomposition of the AlN capping film and the Ga

  10. An experiment on the dynamics of ion implantation and sputtering of surfaces

    NASA Astrophysics Data System (ADS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  11. An experiment on the dynamics of ion implantation and sputtering of surfaces.

    PubMed

    Wright, G M; Barnard, H A; Kesler, L A; Peterson, E E; Stahle, P W; Sullivan, R M; Whyte, D G; Woller, K B

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  12. Superconducting properties of ion-implanted gold-silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jisrawi, N.M.

    The superconducting properties of thin Au{sub x}Si{sub 1{minus}x}, films prepared by ion beam implantation and ion beam mixing are studied. The films are prepared by evaporation of single Au layers on Si substrates and mixing them with Si, Ar, or Xe, or by Xe beam mixing of alternate multilayers of Au and Si sputtered on Al{sub 2}O{sub 3} substrates. The superconducting transition temperature and upper critical fields are determined by measuring the temperature and magnetic field dependence of resistivity. Temperatures as low as 20mK and magnetic fields as high as 8 T were used. Superconductivity in these films is discussedmore » in connection with metastable metallic phases that are reportedly produced in the Au-Si system by high quenching rate preparation techniques like quenching from the vapor or the melt or ion implantation. Preliminary structural studies provide evidence for the existence of these phases and near-edge X-ray absorption and X-ray photoelectron spectroscopy measurements indicate a metallic type of bonding from which compound formation is inferred. The quality of the films is strongly dependent on the conditions of implantation. The maximum superconducting transition temperature attained is about 1.2 K. The upper critical fields have a maximum of 6T. An unusual double transition in the field dependence of resistivity is observed at low temperatures. The effect is very pronounced at compositions near x = 0.5 where the maximum {Tc} occurs. A model is presented to explain this result which invokes the properties of the metastable metallic phases and assumes the formation of more than two such phases in the same sample as the implantation dose increases. The Si-Au interface plays an important role in understanding the model and in interpreting the results of this thesis in general.« less

  13. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Kai; Wang, Yibo; Li, Zhuguo, E-mail: lizg@sjtu.edu.cn

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enrichedmore » region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.« less

  14. Preparation of graphene on Cu foils by ion implantation with negative carbon clusters

    NASA Astrophysics Data System (ADS)

    Li, Hui; Shang, Yan-Xia; Zhang, Zao-Di; Wang, Ze-Song; Zhang, Rui; Fu, De-Jun

    2015-01-01

    We report on few-layer graphene synthesized on Cu foils by ion implantation using negative carbon cluster ions, followed by annealing at 950 °C in vacuum. Raman spectroscopy reveals IG/I2D values varying from 1.55 to 2.38 depending on energy and dose of the cluster ions, indicating formation of multilayer graphene. The measurements show that the samples with more graphene layers have fewer defects. This is interpreted by graphene growth seeded by the first layers formed via outward diffusion of C from the Cu foil, though nonlinear damage and smoothing effects also play a role. Cluster ion implantation overcomes the solubility limit of carbon in Cu, providing a technique for multilayer graphene synthesis. Project supported by the National Natural Science Foundation of China (Grant Nos. 11105100, 11205116, and 11375135) and the State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, China (Grant No. AWJ-M13-03).

  15. Laser generated Ge ions accelerated by additional electrostatic field for implantation technology

    NASA Astrophysics Data System (ADS)

    Rosinski, M.; Gasior, P.; Fazio, E.; Ando, L.; Giuffrida, L.; Torrisi, L.; Parys, P.; Mezzasalma, A. M.; Wolowski, J.

    2013-05-01

    The paper presents research on the optimization of the laser ion implantation method with electrostatic acceleration/deflection including numerical simulations by the means of the Opera 3D code and experimental tests at the IPPLM, Warsaw. To introduce the ablation process an Nd:YAG laser system with repetition rate of 10 Hz, pulse duration of 3.5 ns and pulse energy of 0.5 J has been applied. Ion time of flight diagnostics has been used in situ to characterize concentration and energy distribution in the obtained ion streams while the postmortem analysis of the implanted samples was conducted by the means of XRD, FTIR and Raman Spectroscopy. In the paper the predictions of the Opera 3D code are compared with the results of the ion diagnostics in the real experiment. To give the whole picture of the method, the postmortem results of the XRD, FTIR and Raman characterization techniques are discussed. Experimental results show that it is possible to achieve the development of a micrometer-sized crystalline Ge phase and/or an amorphous one only after a thermal annealing treatment.

  16. Down to 2 nm Ultra Shallow Junctions : Fabrication by IBS Plasma Immersion Ion Implantation Prototype PULSION registered

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torregrosa, Frank; Etienne, Hasnaa; Mathieu, Gilles

    Classical beam line implantation is limited in low energies and cannot achieve P+/N junctions requirements for <45nm node. Compared to conventional beam line ion implantation, limited to a minimum of about 200 eV, the efficiency of Plasma Immersion Ion Implantation (PIII) is no more to prove for the realization of Ultra Shallow Junctions (USJ) in semiconductor applications: this technique allows to get ultimate shallow profiles (as implanted) thanks to no lower limitation of energy and offers high dose rate. In the field of the European consortium NANOCMOS, Ultra Shallow Junctions implanted on a semi-industrial PIII prototype (PULSION registered ) designedmore » by the French company IBS, have been studied. Ultra shallow junctions implanted with BF3 at acceleration voltages down to 20V were realized. Contamination level, homogeneity and depth profile are studied. The SIMS profiles obtained show the capability to make ultra shallow profiles (as implanted) down to 2nm.« less

  17. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  18. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    NASA Astrophysics Data System (ADS)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  19. Improved depth profiling with slow positrons of ion implantation-induced damage in silicon

    NASA Astrophysics Data System (ADS)

    Fujinami, M.; Miyagoe, T.; Sawada, T.; Akahane, T.

    2003-10-01

    Variable-energy positron annihilation spectroscopy (VEPAS) has been extensively applied to study defects in near-surface regions and buried interfaces, but there is an inherent limit for depth resolution due to broadening of the positron implantation profile. In order to overcome this limit and obtain optimum depth resolution, iterative chemical etching of the sample surface and VEPAS measurement are employed. This etch-and-measure technique is described in detail and the capabilities are illustrated by investigating the depth profile of defects in Si after B and P implantations with 2×1014/cm2 at 100 keV followed by annealing. Defect tails can be accurately examined and the extracted defect profile is proven to extend beyond the implanted ion range predicted by the Monte Carlo code TRIM. This behavior is more remarkable for P ion implantation than B, and the mass difference of the implanted ions is strongly related to it. No significant difference is recognized in the annealing behavior between B and P implantations. After annealing at 300 °C, the defect profile is hardly changed, but the ratio of the characteristic Doppler broadening, S, a parameter for defects, to that for the bulk Si rises by 0.01, indicating that divacancies, V2, are transformed into V4. Annealing at more than 500 °C causes diffusion of the defects toward the surface and positron traps are annealed out at 800 °C. It is proved that this resolution-enhanced VEPAS can eliminate some discrepancies in defect profiles extracted by conventional means.

  20. Impact energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Q. Y.; Fu, Ricky K. Y.; Chu, Paul K.

    2009-08-10

    The implantation energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation (EGD-PIII) is investigated numerically and experimentally. Depth profiles obtained from different samples processed by EGD-PIII and traditional PIII are compared. The retained doses under different pulse widths are calculated by integrating the area under the depth profiles. Our results indicate that the improvement in the impact energy and retained dose uniformity by this technique is remarkable.

  1. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    NASA Astrophysics Data System (ADS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  2. Multiple Ion Implantation Effects on Wear and Wet Ability of Polyethylene Based Polymers

    NASA Astrophysics Data System (ADS)

    Torrisi, L.; Visco, A. M.; Campo, N.

    2004-10-01

    Polyethylene based polymers were ion implanted with multiple irradiations of different ions (N+, Ar+ and Kr+) at energies between 30 keV and 300 keV and doses ranging between 1013 and 1016 ions/cm2. The ion implantation dehydrogenises the polyethylene inducing cross-link effects in the residual polymer carbons. At high doses the irradiated surface show properties similar to graphite surfaces. The depth of the modified layers depends on the ion range in polyethylene at the incident ion energy. The chemical modification depends on the implanted doses and on the specie of the incident ions. A "pin-on-disc" machine was employed to measure the polymer wear against AISI-316 L stainless steel. A "contact-angle-test" machine was employed to measure the wet ability of the polymer surface for 1 μl pure water drop. Measurements demonstrate that the multiple ion implantation treatments decrease the surface wear and the surface wetting and produce a more resistant polymer surface. The properties of the treated surfaces improves the polymer functionality for many bio-medical applications, such as those relative to the polyethylene friction discs employed in knee and hip prosthesis joints. The possibility to use multiply ion implantations of polymers with traditional ion implanters and with laser ion sources producing plasmas is investigated.

  3. Heavy doping of CdTe single crystals by Cr ion implantation

    NASA Astrophysics Data System (ADS)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  4. Long-range effect of ion implantation of Raex and Hardox steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Droździel, A.; Wiertel, M.

    2016-09-01

    Ion implantation involves introduction of ionized atoms of any element (nitrogen) to metals thanks to the high kinetic energy that they acquired in the electric field. The distribution of nitrogen ions implanted at E = 65 keV energy and D = 1.1017 N+ /cm2 fluence in the steel sample and vacancies produced by them was calculated using the SRIM program. This result was confirmed by RBS measurements. The initial maximum range of the implanted nitrogen ions is ∼⃒0.17 μm. This value is relatively small compared to the influence of nitriding on the thickness surface layer of modified steel piston rings. Measurements of the friction coefficient during the pin-on-disc tribological test were performed under dry friction conditions. The friction coefficient of the implanted sample increased to values characteristic of an unimplanted sample after ca. 1500 measurement cycles. The depth of wear trace is ca. 2.4 μm. This implies that the thickness of the layer modified by the implantation process is ∼⃒2.4 μm and exceeds the initial range of the implanted ions by an order of magnitude. This effect, referred to as a long-range implantation effect, is caused by migration of vacancies and nitrogen atoms into the sample. This phenomenon makes ion implantation a legitimate process of modification of the surface layer in order to enhance the tribological properties of critical components of internal combustion engines such as steel piston rings.

  5. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    NASA Astrophysics Data System (ADS)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  6. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  7. Ion implantation for manufacturing bent and periodically bent crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to producemore » X-ray beams.« less

  8. Nanomechanical investigation of ion implanted single crystals - Challenges, possibilities and pitfall traps related to nanoindentation

    NASA Astrophysics Data System (ADS)

    Kurpaska, Lukasz

    2017-10-01

    Nanoindentation technique have developed considerably over last thirty years. Nowadays, commercially available systems offer very precise measurement in nano- and microscale, environmental noise cancelling (or at least noise suppressing), in situ high temperature indentation in controlled atmosphere and vacuum conditions and different additional options, among them dedicated indentation is one of the most popular. Due to its high precision, and ability to measure mechanical properties from very small depths (tens of nm), this technique become quite popular in the nuclear society. It is known that ion implantation (to some extent) can simulate the influence of neutron flux. However, depth of the material damage is very limited resulting in creation of thin layer of modified material over unmodified bulk. Therefore, only very precise technique, offering possibility to control depth of the measurement can be used to study functional properties of the material. For this reason, nanoindentation technique seems to be a perfect tool to investigate mechanical properties of ion implanted specimens. However, conducting correct nanomechanical experiment and extracting valuable mechanical parameters is not an easy task. In this paper a discussion about the nanoindentation tests performed on ion irradiated YSZ single crystal is presented. The goal of this paper is to discuss possible traps when studying mechanical properties of such materials and thin coatings.

  9. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    NASA Astrophysics Data System (ADS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  10. Less-Costly Ion Implantation of Solar Cells

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D. J.

    1984-01-01

    Experiments point way toward more relaxed controls over ion-implanation dosage and uniformity in solar-cell fabrication. Data indicate cell performance, measured by output current density at fixed voltage, virtually same whether implant is particular ion species or broad-beam mixture of several species.

  11. The effects of ion implantation on the beaks of orthodontic pliers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.

    1991-06-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load ofmore » 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers.« less

  12. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    NASA Astrophysics Data System (ADS)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  13. Development of the ion source for cluster implantation

    NASA Astrophysics Data System (ADS)

    Kulevoy, T. V.; Seleznev, D. N.; Kozlov, A. V.; Kuibeda, R. P.; Kropachev, G. N.; Alexeyenko, O. V.; Dugin, S. N.; Oks, E. M.; Gushenets, V. I.; Hershcovitch, A.; Jonson, B.; Poole, H. J.

    2014-02-01

    Bernas ion source development to meet needs of 100s of electron-volt ion implanters for shallow junction production is in progress in Institute for Theoretical and Experimental Physics. The ion sources provides high intensity ion beam of boron clusters under self-cleaning operation mode. The last progress with ion source operation is presented. The mechanism of self-cleaning procedure is described.

  14. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  15. The Effect of Low Energy Nitrogen Ion Implantation on Graphene Nanosheets

    NASA Astrophysics Data System (ADS)

    Mishra, Mukesh; Alwarappan, Subbiah; Kanjilal, Dinakar; Mohanty, Tanuja

    2018-03-01

    Herein, we report the effect 50 keV nitrogen ion implantation at varying fluence on the optical properties of graphene nanosheets (number of layers < 5). Initially, graphene nanosheets synthesized by the direct liquid exfoliation of graphite layers were deposited on a cleaned Si-substrate by drop cast method. These graphene nanosheets are implanted with 50 keV nitrogen-ion beam at six different fluences. Raman spectroscopic results show that the D, D' and G peak get broadened up to the nitrogen ion fluence of 1 × 1015 ions/cm2, while 2D peak of graphene nanosheets disappeared for nitrogen-ions have fluence more than 1014 ions/cm2. However, further increase of fluence causes the indistinguishable superimposition of D, D' and G peaks. Surface contact potential value analysis for ion implanted graphene nanosheets shows the increase in defect concentration from 1.15 × 1012 to 1.98 × 1014 defects/cm2 with increasing the nitrogen ion fluence, which resembles the Fermi level shift towards conduction band. XRD spectra confirmed that the crystallinity of graphene nanosheets was found to tamper with increasing fluence. These results revealed that the limit of nitrogen ion implantation resistant on the vibrational behaviors for graphene nanosheets was 1015 ions/cm2 that opens up the scope of application of graphene nanosheets in device fabrication for ion-active environment and space applications.

  16. Ion-implanted epitaxially grown ZnSe

    NASA Technical Reports Server (NTRS)

    Chernow, F.

    1975-01-01

    The use of ZnSe to obtain efficient, short wavelength injection luminescence was investigated. It was proposed that shorter wavelength emission and higher efficiency be achieved by employing a p-i-n diode structure rather than the normal p-n diode structure. The intervening i layer minimizes concentration quenching effects and the donor-acceptor pair states leading to long wavelength emission. The surface p layer was formed by ion implantation; implantation of the i layer rather than the n substrate permits higher, uncompensated p-type doping. An ion implanted p-n junction in ZnSe is efficiency-limited by high electron injection terminating in nonradiative recombination at the front surface, and by low hole injection resulting from the inability to obtain high conductivity p-type surface layers. While the injection ratio in p-n junctions was determined by the radio of majority carrier concentrations, the injection ratio in p-i-n structures was determined by the mobility ratios and/or space charge neutrality requirements in the i layer.

  17. Plasma Immersion Ion Implantation for Interdigitated Back Passivated Contact (IBPC) Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo

    2016-11-21

    We present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam-line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm-2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures grown on n-Cz wafers with PH3more » PIII doping gave implied open circuit voltage (iVoc) values of 730 mV with Jo values of 2 fA/cm2. Samples doped with B2H6 gave iVoc values of 690 mV and Jo values of 24 fA/cm2, outperforming BF3 doping, which gave iVoc values in the 660-680 mV range. Samples were further characterized by photoluminescence and SIMS depth profiles. Initial IBPC cell results are presented.« less

  18. Semiconductor Ion Implanters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacKinnon, Barry A.; Ruffell, John P.

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion. Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intelmore » product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.« less

  19. Low-temperature positron annihilation study of B+-ion implanted PMMA

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T. S.; Tsmots, V. M.; Voloshanska, S. Ya.; Šauša, O.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Y. N.; Stepanov, A. L.

    2014-08-01

    Temperature dependent positron annihilation lifetime spectroscopy (PALS) measurements in the range of 50-300 K are carried out to study positronium formation in 40 KeV B+-ion implanted polymethylmethacrylate (B:PMMA) with two ion doses of 3.13 × 1015 and 3.75 × 1016 ions/cm2. The investigated samples show the various temperature trends of ortho-positronium (o-Ps) lifetime τ3 and intensity I3 in PMMA before and after ion implantation. Two transitions in the vicinity of ˜150 and ˜250 K, ascribed to γ and β transitions, respectively, are observed in the PMMA and B:PMMA samples in consistent with reference data for pristine sample. The obtained results are compared with room temperature PALS study of PMMA with different molecular weight (Mw) which known from literature. It is found that B+-ion implantation leads to decreasing Mw in PMMA at lower ion dose. At higher ion dose the local destruction of polymeric structure follows to broadening of lifetime distribution (hole size distribution).

  20. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He + ion implantation

    NASA Astrophysics Data System (ADS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-05-01

    He + ion implanted collagen-coated tubes with a fluence of 1 × 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2. Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was inhibited with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 × 10 13 ions/cm 2. On the 1 × 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface.

  1. Formation of carbon nanoclusters by implantation of keV carbon ions in fused silica followed by thermal annealing

    NASA Astrophysics Data System (ADS)

    Olivero, P.; Peng, J. L.; Liu, A.; Reichart, P.; McCallum, J. C.; Sze, J. Y.; Lau, S. P.; Tay, B. K.; Kalish, R.; Dhar, S.; Feldman, Leonard; Jamieson, David N.; Prawer, Steven

    2005-02-01

    In the last decade, the synthesis and characterization of nanometer sized carbon clusters have attracted growing interest within the scientific community. This is due to both scientific interest in the process of diamond nucleation and growth, and to the promising technological applications in nanoelectronics and quantum communications and computing. Our research group has demonstrated that MeV carbon ion implantation in fused silica followed by thermal annealing in the presence of hydrogen leads to the formation of nanocrystalline diamond, with cluster size ranging from 5 to 40 nm. In the present paper, we report the synthesis of carbon nanoclusters by the implantation into fused silica of keV carbon ions using the Plasma Immersion Ion Implantation (PIII) technique, followed by thermal annealing in forming gas (4% 2H in Ar). The present study is aimed at evaluating this implantation technique that has the advantage of allowing high fluence-rates on large substrates. The carbon nanostructures have been characterized with optical absorption and Raman spectroscopies, cross sectional Transmission Electron Microscopy (TEM), and Parallel Electron Energy Loss Spectroscopy (PEELS). Nuclear Reaction Analysis (NRA) has been employed to evaluate the deuterium incorporation during the annealing process, as a key mechanism to stabilize the formation of the clusters.

  2. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    Rutherford backscattering technique which showed the satisfactory functioning of the system. The accuracy of the fluence measurements is found to be less than 2% which meets the demands of the irradiation experiments undertaken using the developed set up. The system was incorporated for regular use at the existing ultra high vacuum (UHV) ion irradiation chamber of 1.7 MV Tandem accelerator and several ion implantation experiments on a variety of samples like SS304, D9, ODS alloys have been successfully carried out.

  3. Designing functionality in perovskite thin films using ion implantation techniques: Assessment and insights from first-principles calculations

    DOE PAGES

    Sharma, Vinit K.; Herklotz, Andreas; Ward, Thomas Zac; ...

    2017-09-11

    Ion implantation has been widely used in the semiconductor industry for decades to selectively control electron/hole doping for device applications. Recently, experimental studies on ion implantation into more structurally and electronically complex materials have been undertaken in which defect generation has been used to control a variety of functional phenomena. Of particular interest, are recent findings demonstrating that low doses of low energy helium ions into single crystal films can be used to tailor the structural properties. These initial experimental studies have shown that crystal symmetry can be continuously controlled by applying increasingly large doses of He ions into amore » crystal. The observed changes in lattice structure were then observed to correlate with functional changes, such as metal-insulator transition temperature2 and optical bandgap3. In these preliminary experimental studies, changes to lattice expansion was proposed to be the direct result of chemical pressure originating predominantly from the implanted He applying chemical pressure at interstitial sites. However, the influence of possible secondary knock-on damage arising from the He atoms transferring energy to the lattice through nuclear-nuclear collision with the crystal lattice remains largely unaddressed. In this work, we focus on a SrRuO3 model system to provide a comprehensive examination of the impact of common defects on structural and electronic properties, obtain calculated defect formation energies, and define defect migration barriers. Our model indicates that, while interstitial He can modify the crystal properties, a dose significantly larger than those reported in experimental studies would be required. The true origin of the observed structural changes is likely the result of a combination of secondary defects created during He implantation. Of particular importance, we observe that different defect types can generate greatly varied local electronic structures

  4. Plasma immersion ion implantation for reducing metal ion release

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diaz, C.; Garcia, J. A.; Maendl, S.

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment.more » Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.« less

  5. X-ray photoelectron study of Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Tsvetkova, T.; Balabanov, S.; Bischoff, L.; Krastev, V.; Stefanov, P.; Avramova, I.

    2010-11-01

    X-ray photoelectron spectroscopy was used to characterize different polymer materials implanted with low energy Si+ ions (E=30 keV, D= 1.1017 cm-2). Two kinds of polymers were studied - ultra-high-molecular-weight poly-ethylene (UHMWPE), and poly-methyl-methacrylate (PMMA). The non-implanted polymer materials show the expected variety of chemical bonds: carbon-carbon, carbon being three- and fourfold coordinated, and carbon-oxygen in the case of PMMA samples. The X-ray photoelectron and Raman spectra show that Si+ ion implantation leads to the introduction of additional disorder in the polymer material. The X-ray photoelectron spectra of the implanted polymers show that, in addition to already mentioned bonds, silicon creates new bonds with the host elements - Si-C and Si-O, together with additional Si dangling bonds as revealed by the valence band study of the implanted polymer materials.

  6. Ion Implantation of Perfluoropolyether-Lubricated Surfaces for Improved Tribological Performance

    NASA Technical Reports Server (NTRS)

    Shogrin, Brad

    1998-01-01

    For over 30 years, perfluoropolyethers (PFPE's) have been the liquid lubricants of choice for space applications because of their proven tribological performance and desirable properties, such as low vapor pressure and a wide liquid temperature range. These oils are used in such space mechanisms as gyroscopes, scanning mirrors, actuators, and filter wheels. In the past few years, there have been several incidents during which PFPE-lubricated space mechanisms have shown anomalous behavior. These anomalies are thought to be the result of PFPE degradation. Investigative research focused on understanding and modeling the degradation of PFPE lubricants has shown that PFPE's degrade and lose their desirable properties while under boundary-lubricated, sliding/rolling contacts and at elevated temperatures. These performance deficiencies are strongly dependent on the surface chemistry and reactivity of the lubricated contacts, which dictate the formation of harmful catalytic by-products. One way to inhibit tribo-induced degradation may be to use passivated surfaces that do not promote the formation of harmful by-products. Such a passivated surface would inhibit PFPE degradation and increase the lifetime of the lubricated mechanism. Ion implantation is one such passivation technique. This surface-treatment technique can modify the surface properties of materials without affecting either the properties or dimensions of the bulk material beneath the treated layer. By introducing a foreign species into a submicron surface layer, ion implantation can induce unique surface microstructures.

  7. Impact of He and H relative depth distributions on the result of sequential He+ and H+ ion implantation and annealing in silicon

    NASA Astrophysics Data System (ADS)

    Cherkashin, N.; Daghbouj, N.; Seine, G.; Claverie, A.

    2018-04-01

    Sequential He++H+ ion implantation, being more effective than the sole implantation of H+ or He+, is used by many to transfer thin layers of silicon onto different substrates. However, due to the poor understanding of the basic mechanisms involved in such a process, the implantation parameters to be used for the efficient delamination of a superficial layer are still subject to debate. In this work, by using various experimental techniques, we have studied the influence of the He and H relative depth-distributions imposed by the ion energies onto the result of the sequential implantation and annealing of the same fluence of He and H ions. Analyzing the characteristics of the blister populations observed after annealing and deducing the composition of the gas they contain from FEM simulations, we show that the trapping efficiency of He atoms in platelets and blisters during annealing depends on the behavior of the vacancies generated by the two implants within the H-rich region before and after annealing. Maximum efficiency of the sequential ion implantation is obtained when the H-rich region is able to trap all implanted He ions, while the vacancies it generated are not available to favor the formation of V-rich complexes after implantation then He-filled nano-bubbles after annealing. A technological option is to implant He+ ions first at such an energy that the damage it generates is located on the deeper side of the H profile.

  8. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    NASA Astrophysics Data System (ADS)

    Nikolaev, A. G.; Yushkov, G. Yu.; Oks, E. M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E. S.; Brown, I. G.

    2014-08-01

    Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal-gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the "inverse" concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  9. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    NASA Astrophysics Data System (ADS)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  10. The electrical properties of 60 keV zinc ions implanted into semi-insulating gallium arsenide

    NASA Technical Reports Server (NTRS)

    Littlejohn, M. A.; Anikara, R.

    1972-01-01

    The electrical behavior of zinc ions implanted into chromium-doped semiinsulating gallium arsenide was investigated by measurements of the sheet resistivity and Hall effect. Room temperature implantations were performed using fluence values from 10 to the 12th to 10 to the 15th power/sq cm at 60 keV. The samples were annealed for 30 minutes in a nitrogen atmosphere up to 800 C in steps of 200 C and the effect of this annealing on the Hall effect and sheet resistivity was studied at room temperature using the Van der Pauw technique. The temperature dependence of sheet resistivity and mobility was measured from liquid nitrogen temperature to room temperature. Finally, a measurement of the implanted profile was obtained using a layer removal technique combined with the Hall effect and sheet resistivity measurements.

  11. Compositional, structural, and optical changes of polyimide implanted by 1.0 MeV Ni+ ions

    NASA Astrophysics Data System (ADS)

    Mikšová, R.; Macková, A.; Pupikova, H.; Malinský, P.; Slepička, P.; Švorčík, V.

    2017-09-01

    The ion irradiation leads to deep structural and compositional changes in the irradiated polymers. Ni+ ions implanted polymers were investigated from the structural and compositional changes point of view and their optical properties were investigated. Polyimide (PI) foils were implanted with 1.0 MeV Ni+ ions at room temperature with fluencies of 1.0 × 1013-1.0 × 1015 cm-2 and two different ion implantation currents densities (3.5 and 7.2 nA/cm2). Rutherford Back-Scattering (RBS) and Elastic Recoil Detection Analysis (ERDA) were used for determination of oxygen and hydrogen escape in implanted PI. Atomic Force Microscopy (AFM) was used to follow surface roughness modification after the ion implantation and UV-Vis spectroscopy was employed to check the optical properties of the implanted PI. The implanted PI structural changes were analysed using Attenuated Total Reflection Fourier Transform Infrared Spectroscopy (ATR-FTIR). High energy Ni-ion implantation causes only a minor release of hydrogen and oxygen close to the polymer sub-surface region in about 60 nm thick layer penetrated by the ion beam; especially at ion fluencies below 1.0 × 1014 cm-2. The mostly pronounced structural changes of the Ni implanted PI were found for the samples implanted above ion fluence 1.0 × 1015 cm-2 and at the ion current density 7.2 nA/cm2, where the optical band gap significantly decreases and the reduction of more complex structural unit of PI monomer was observed.

  12. Adhesive and abrasive wear mechanisms in ion implanted metals

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1985-03-01

    The distinction between adhesive and abrasive wear processes was introduced originally by Burwell during the nineteen-fifties, though some authors prefer to classify wear according to whether it is mild or severe. It is argued here that, on the basis of the performance of a variety of ion implanted metal surfaces, exposed to different modes of wear, the Burwell distinction is a valid one which, moreover, enables us to predict under which circumstances a given treatment will perform well. It is shown that, because wear rates under abrasive conditions are very sensitive to the ratio of the hardness of the surface to that of the abrasive particles, large increases in working life are attainable as a result of ion implantation. Under adhesive wear conditions, the wear rate appears to fall inversely as the hardness increases, and it is advantageous to implant species which will create and retain a hard surface oxide or other continuous film in order to reduce metal-metal contact. By the appropriate combination of physico-chemical changes in an implanted layer it has been possible to reduce wear rates by up to three orders of magnitude. Such rates compensate for the shallow depths achievable by ion implantation.

  13. Role of stresses in annealing of ion-implantation damage in Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seshan, K.; EerNisse, E.P.

    Recent results showing a crystallographic orientation dependence of growth kinetics, secondary defects, and stress relief in annealing of ion-implanted Si are shown to be self-consistent if interpreted in terms of the influence of stresses upon annealing processes. The stress influence proposed is microplastic shear which is induced in (112) directions on (111) planes inclined to the implant surface by the biaxial stress created in the implant region by ion-implantation damage. The shear stresses are shown to be dependent on crystallographic orientation in a manner consistent with the model.

  14. Persistent photoconductivity in oxygen-ion implanted KNbO3 bulk single crystal

    NASA Astrophysics Data System (ADS)

    Tsuruoka, R.; Shinkawa, A.; Nishimura, T.; Tanuma, C.; Kuriyama, K.; Kushida, K.

    2016-12-01

    Persistent Photoconductivity (PPC) in oxygen-ion implanted KNbO3 ([001] oriented bulk single crystals; perovskite structure; ferroelectric with a band gap of 3.16 eV) is studied in air at room temperature to prevent the degradation of its crystallinity caused by the phase transition. The residual hydrogens in un-implanted samples are estimated to be 5×1014 cm-2 from elastic recoil detection analysis (ERDA). A multiple-energy implantation of oxygen ions into KNbO3 is performed using energies of 200, 400, and 600 keV (each ion fluence:1.0×1014 cm-2). The sheet resistance varies from >108 Ω/□ for an un-implanted sample to 1.9×107 Ω/□ for as-implanted one, suggesting the formation of donors due to hydrogen interstitials and oxygen vacancies introduced by the ion implantation. The PPC is clearly observed with ultraviolet and blue LEDs illumination rather than green, red, and infrared, suggesting the release of electrons from the metastable conductive state below the conduction band relating to the charge states of the oxygen vacancy.

  15. Oxygen-related vacancy-type defects in ion-implanted silicon

    NASA Astrophysics Data System (ADS)

    Pi, X. D.; Burrows, C. P.; Coleman, P. G.; Gwilliam, R. M.; Sealy, B. J.

    2003-10-01

    Czochralski silicon samples implanted to a dose of 5 × 1015 cm-2 with 0.5 MeV O and to a dose of 1016 cm-2 with 1 MeV Si, respectively, have been studied by positron annihilation spectroscopy. The evolution of divacancies to vacancy (V)-O complexes is out-competed by V-interstitial (I) recombination at 400 and 500 °C in the Si- and O-implanted samples; the higher oxygen concentration makes the latter temperature higher. The defective region shrinks as the annealing temperature increases as interstitials are injected from the end of the implantation range (Rp). VmOn (m> n) are formed in the shallow region most effectively at 700 °C for both Si and O implantation. VxOy (x< y) are produced near Rp by the annealing. At 800 °C, implanted Si ions diffuse and reduce m and implanted O ions diffuse and increase n in VmOn. All oxygen-related vacancy-type defects appear to begin to dissociate at 950 °C, with the probable formation of oxygen clusters. At 1100 °C, oxygen precipitates appear to form just before Rp in O-implanted silicon.

  16. Super-hard cubic BN layer formation by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Komarov, F. F.; Pilko, V. V.; Yakushev, V. A.; Tishkov, V. S.

    1994-11-01

    Microcrystalline and amorphous boron thin films were implanted with nitrogen ions at energies from 25 to 125 keV and with doses from 2 × 10 17 to 1 × 10 18 at.cm 2 at temperatures below 200°C. The structure of boron nitride phases after ion implantation, formation of phases and phase transformations were investigated by TEM and TED methods. The cubic boron nitride phase is revealed. The microhardness of the formed films was satisfactorily explained in terms of chemical compound formation by polyenergetic ion implantation. The influence of the copper impurity on the formation of the cubic boron nitride phase is demonstrated. It has also been shown that low concentrations of copper promote cubic BN boundary formation.

  17. Simultaneous Sterilization With Surface Modification Of Plastic Bottle By Plasma-Based Ion Implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakudo, N.; Ikenaga, N.; Ikeda, F.

    2011-01-07

    Dry sterilization of polymeric material is developed. The technique utilizes the plasma-based ion implantation which is same as for surface modification of polymers. Experimental data for sterilization are obtained by using spores of Bacillus subtilis as samples. On the other hand we previously showed that the surface modification enhanced the gas barrier characteristics of plastic bottles. Comparing the implantation conditions for the sterilization experiment with those for the surface modification, we find that both sterilization and surface modification are simultaneously performed in a certain range of implantation conditions. This implies that the present bottling system for plastic vessels will bemore » simplified and streamlined by excluding the toxic peroxide water that has been used in the traditional sterilization processes.« less

  18. Suppression of threshold voltage variability in MOSFETs by adjustment of ion implantation parameters

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyun; Chang, Tae-sig; Kim, Minsuk; Woo, Sola; Kim, Sangsig

    2018-01-01

    In this study, we investigate threshold voltage (VTH) variability of metal-oxide-semiconductor field-effect transistors induced by random dopant fluctuation (RDF). Our simulation work demonstrates not only the influence of the implantation parameters such as its dose, tilt angle, energy, and rotation angle on the RDF-induced VTH variability, but also the solution to reduce the effect of this variability. By adjusting the ion implantation parameters, the 3σ (VTH) is reduced from 43.8 mV to 28.9 mV. This 34% reduction is significant, considering that our technique is very cost effective and facilitates easy fabrication, increasing availability.

  19. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  20. Advances in the surface modification techniques of bone-related implants for last 10 years

    PubMed Central

    Qiu, Zhi-Ye; Chen, Cen; Wang, Xiu-Mei; Lee, In-Seop

    2014-01-01

    At the time of implanting bone-related implants into human body, a variety of biological responses to the material surface occur with respect to surface chemistry and physical state. The commonly used biomaterials (e.g. titanium and its alloy, Co–Cr alloy, stainless steel, polyetheretherketone, ultra-high molecular weight polyethylene and various calcium phosphates) have many drawbacks such as lack of biocompatibility and improper mechanical properties. As surface modification is very promising technology to overcome such problems, a variety of surface modification techniques have been being investigated. This review paper covers recent advances in surface modification techniques of bone-related materials including physicochemical coating, radiation grafting, plasma surface engineering, ion beam processing and surface patterning techniques. The contents are organized with different types of techniques to applicable materials, and typical examples are also described. PMID:26816626

  1. Compositional and structural analysis of nitrogen incorporated and ion implanted diamond thin films

    NASA Astrophysics Data System (ADS)

    Garratt, Elias James

    Significant progress in area of nano-structured thin film systems has taken place in recent decades. In particular, diamond thin film systems are being widely studied for their wear resistant, optical and electronic properties. Of the various methods researchers use to modify the structure of such films, three techniques in particular are of interest due to their versatility: modification of the growth atmosphere, growth on metalized substrates, providing an interfacial layer, and modification through post-growth ion implantation. The aim of this study is to investigate the effects each has to the structure and composition of elements. Different techniques are applied in each section; nitrogen gas dilution in a microwave plasma CVD system, diamond deposition on a metal interfacial layer and ion implantation in thin nanocrystalline diamond film. The forms of nanocrystalline diamond film resulting from such modifications are investigated using advanced spectroscopic and spectrometric techniques, as well as mechanical testing and surface mapping. The impact of these characterizations will provide valuable perspective to researchers in materials science. Understanding the changes to the structure and properties of this class of thin films, which can be induced through various mechanisms, will allow future researchers to refine these films towards technological applications in areas of hard coatings, electronics and photonics.

  2. Ion Implantation with in-situ Patterning for IBC Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graff, John W.

    2014-10-24

    Interdigitated back-side Contact (IBC) solar cells are the highest efficiency silicon solar cells currently on the market. Unfortunately the cost to produce these solar cells is also very high, due to the large number of processing steps required. Varian believes that only the combination of high efficiency and low cost can meet the stated goal of $1/Wp. The core of this program has been to develop an in-situ patterning capability for an ion implantation system capable of producing patterned doped regions for IBC solar cells. Such a patterning capable ion implanter can reduce the number of process steps required tomore » manufacture IBC cells, and therefore significantly reduce the cost. The present program was organized into three phases. Phase I was to select a patterning approach and determine the patterning requirements for IBC cells. Phase II consists of construction of a Beta ion implantation system containing in-situ patterning capability. Phase III consists of shipping and installation of the ion implant system in a customer factory where it will be tested and proven in a pilot production line.« less

  3. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    NASA Astrophysics Data System (ADS)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  4. Synergistic effect of nanotopography and bioactive ions on peri-implant bone response

    PubMed Central

    Su, Yingmin; Komasa, Satoshi; Li, Peiqi; Nishizaki, Mariko; Chen, Luyuan; Terada, Chisato; Yoshimine, Shigeki; Nishizaki, Hiroshi; Okazaki, Joji

    2017-01-01

    Both bioactive ion chemistry and nanoscale surface modifications are beneficial for enhanced osseointegration of endosseous implants. In this study, a facile synthesis approach to the incorporation of bioactive Ca2+ ions into the interlayers of nanoporous structures (Ca-nano) formed on a Ti6Al4V alloy surface was developed by sequential chemical and heat treatments. Samples with a machined surface and an Na+ ion-incorporated nanoporous surface (Na-nano) fabricated by concentrated alkali and heat treatment were used in parallel for comparison. The bone response was investigated by microcomputed tomography assessment, sequential fluorescent labeling analysis, and histological and histomorphometric evaluation after 8 weeks of implantation in rat femurs. No significant differences were found in the nanotopography, surface roughness, or crystalline properties of the Ca-nano and Na-nano surfaces. Bone–implant contact was better in the Ca-nano and Na-nano implants than in the machined implant. The Ca-nano implant was superior to the Na-nano implant in terms of enhancing the volume of new bone formation. The bone formation activity consistently increased for the Ca-nano implant but ceased for the Na-nano implant in the late healing stage. These results suggest that Ca-nano implants have promising potential for application in dentistry and orthopedics. PMID:28184162

  5. Intrinsic point-defect balance in self-ion-implanted ZnO.

    PubMed

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  6. Observations of Ag diffusion in ion implanted SiC

    DOE PAGES

    Gerczak, Tyler J.; Leng, Bin; Sridharan, Kumar; ...

    2015-03-17

    The nature and magnitude of Ag diffusion in SiC has been a topic of interest in connection with the performance of tristructural isotropic (TRISO) coated particle fuel for high temperature gas-cooled nuclear reactors. Ion implantation diffusion couples have been revisited to continue developing a more complete understanding of Ag fission product diffusion in SiC. Ion implantation diffusion couples fabricated from single crystal 4H-SiC and polycrystalline 3C-SiC substrates and exposed to 1500–1625°C, were investigated in this study by transmission electron microscopy and secondary ion mass spectrometry (SIMS). The high dynamic range of SIMS allowed for multiple diffusion régimes to be investigated,more » including enhanced diffusion by implantation-induced defects and grain boundary (GB) diffusion in undamaged SiC. Lastly, estimated diffusion coefficients suggest GB diffusion in bulk SiC does not properly describe the release observed from TRISO fuel.« less

  7. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  8. High Curie temperature drive layer materials for ion-implanted magnetic bubble devices

    NASA Technical Reports Server (NTRS)

    Fratello, V. J.; Wolfe, R.; Blank, S. L.; Nelson, T. J.

    1984-01-01

    Ion implantation of bubble garnets can lower the Curie temperature by 70 C or more, thus limiting high temperature operation of devices with ion-implanted propagation patterns. Therefore, double-layer materials were made with a conventional 2-micron bubble storage layer capped by an ion-implantable drive layer of high Curie temperature, high magnetostriction material. Contiguous disk test patterns were implanted with varying doses of a typical triple implant. Quality of propagation was judged by quasistatic tests on 8-micron period major and minor loops. Variations of magnetization, uniaxial anisotropy, implant dose, and magnetostriction were investigated to ensure optimum flux matching, good charged wall coupling, and wide operating margins. The most successful drive layer compositions were in the systems (SmDyLuCa)3(FeSi)5O12 and (BiGdTmCa)3(FeSi)5O12 and had Curie temperatures 25-44 C higher than the storage layers.

  9. Effect of ion implantation on the tribology of metal-on-metal hip prostheses.

    PubMed

    Bowsher, John G; Hussain, Azad; Williams, Paul; Nevelos, Jim; Shelton, Julia C

    2004-12-01

    Nitrogen ion implantation (which considerably hardens the surface of the bearing) may represent one possible method of reducing the wear of metal-on-metal (MOM) hip bearings. Currently there are no ion-implanted MOM bearings used clinically. Therefore a physiological hip simulator test was undertaken using standard test conditions, and the results compared to previous studies using the same methods. N2-ion implantation of high carbon cast Co-Cr-Mo-on-Co-Cr-Mo hip prostheses increased wear by 2-fold during the aggressive running-in phase compared to untreated bearing surfaces, plus showing no wear reductions during steady-state conditions. Although 2 specimens were considered in the current study, it would appear that ion implantation has no clinical benefit for MOM.

  10. Homojunction silicon solar cells doping by ion implantation

    NASA Astrophysics Data System (ADS)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  11. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    NASA Astrophysics Data System (ADS)

    Bannister, M. E.; Hijazi, H.; Meyer, H. M.; Cianciolo, V.; Meyer, F. W.

    2014-11-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R&D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 1016 cm-2, where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5-6.2 × 1016 cm-2. Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities.

  12. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-03-01

    A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C1- implantation dose was increased to 1 × 1016 ions/cm2, and the effects of C1-, C2- and O1- implantation result in only small differences in the water contact angle at 3 × 1015 ions/cm2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Sisbnd CH3, Sisbnd Osbnd Si, Csbnd H) of RTV SR and generates hydrophilic functional groups (sbnd COOH, sbnd OH, Sisbnd (O)x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  13. Optimization of single keV ion implantation for the construction of single P-donor devices

    NASA Astrophysics Data System (ADS)

    Yang, Changyi; Jamieson, David N.; Hopf, Toby; Andresen, Soren E.; Hearne, Sean M.; Hudson, Fay E.; Pakes, Christopher I.; Mitic, Mladen; Gauja, Eric; Tamanyan, Grigori; Dzurak, Andrew S.; Prawer, Steven; Clark, Robert G.

    2005-02-01

    We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the "top down" strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.

  14. Extended defects and hydrogen interactions in ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (<650°C) defect dissolution and defect injection dominates, resulting in increased junction depths. At higher anneal temperatures, however, repair dominates over defect injection resulting in shallower junctions. Hydrogenation experiments shows that hydrogen enhances dopant activation and reduces TED at low anneal temperatures (<550°C). At anneal temperatures >550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at

  15. Structural Changes in Polymer Films by Fast Ion Implantation

    NASA Astrophysics Data System (ADS)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  16. Methods of obtaining a uniform volume concentration of implanted ions

    NASA Astrophysics Data System (ADS)

    Reutov, V. F.

    1998-05-01

    Three simple practical methods of irradiation with high energy particles (>5 MeV/n), providing the conditions of obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to the movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method of obtaining a uniform volume concentration of the implanted ions in a massive sample consists of sample irradiation through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for mechanical tests, for example, the second one - for irradiation in different gaseous media, the third one - for obtaining high concentration of the implanted ions under controlled (regulated) thermal and deformation conditions.

  17. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    PubMed

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  18. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    NASA Astrophysics Data System (ADS)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  19. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru; Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk; Nikonenko, Elena, E-mail: vilatomsk@mail.ru

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a differentmore » effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.« less

  20. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    NASA Astrophysics Data System (ADS)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  1. Fabrication of fixed implant prostheses using function bite impression technique (FBI technique).

    PubMed

    Suzuki, Yasunori; Shimpo, Hidemasa; Ohkubo, Chikahiro; Kurtz, Kenneth S

    2012-10-01

    The patient was partially edentulous, lacking both the first mandibular molars. The FBI and the conventional impression technique were used for the fabrication of implant-fixed prosthesis replacing the right and left molars, respectively. In the FBI technique, the definitive impression was made under occlusal force and functionally generated path (FGP) recording at the same time. The right and left occlusal contact areas were compared after completing the implant-fixed prosthesis rehabilitation. It has been suggested that accuracy of the impression and maxillomandibular registration is necessary to ensure a satisfactory long-term clinical outcome. The transfer of the exact position of the implants to the working cast is even more important because implants lack the mobility of natural teeth. There are displacement differences between implants and natural teeth under occlusal force. The FBI technique may compensate for this difference in accuracy. Using the FBI technique, a precise prosthesis could be produced by completing simultaneously the maxillomandibular registration, impression and FGP. Copyright © 2012 Japan Prosthodontic Society. All rights reserved.

  2. Effect of implant angulation and impression technique on impressions of NobelActive implants.

    PubMed

    Alexander Hazboun, Gillian Brewer; Masri, Radi; Romberg, Elaine; Kempler, Joanna; Driscoll, Carl F

    2015-05-01

    How the configuration of the NobelActive internal conical connection affects implant impressions is uncertain. The purpose of this study was to measure the effect in vitro of closed and open tray impression techniques for NobelActive implants placed at various angulations. Six NobelActive implants were placed in a master maxillary cast as follows: 0 degrees of angulation to a line drawn perpendicular to the occlusal plane in the first molar area, 15 degrees of angulation to a line drawn perpendicular to the occlusal plane in the first premolar area, and 30 degrees of angulation to a line drawn perpendicular to the occlusal plane in the lateral incisor area. Twelve open tray and 12 closed tray impressions were made. Occlusal, lateral, and frontal view photographs of the resulting casts were used to measure the linear and angular displacement of implant analogs. Statistical analysis was performed with a factorial analysis of variance (ANOVA), followed by the Tukey HSD test (α=.05). No significant difference was found in the impressions made of NobelActive implants with the open or closed tray technique (linear displacement: F=0.93, P=.34; angular displacement: F=2.09, P=.15). In addition, implant angulation (0, 15, or 30 degrees) had no effect on the linear or angular displacement of impressions (linear displacement: F=2.72, P=.07; angular displacement: F=0.86, P=.43). Finally, no significant interaction was found between impression technique and implant angulation on NobelActive implants (F=0.25, P=.77; F=1.60, P=.20). Within the limitations of this study, impression technique (open vs closed tray) and implant angulation (0, 15, and 30 degrees) had no significant effect on in vitro impressions of NobelActive implants. Copyright © 2015 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  3. Demonstration and Analysis of Materials Processing by Ablation Plasma Ion Implantation (APII)

    NASA Astrophysics Data System (ADS)

    Qi, B.; Gilgenbach, R. M.; Lau, Y. Y.; Jones, M. C.; Lian, J.; Wang, L. M.; Doll, G. L.; Lazarides, A.

    2001-10-01

    Experiments have demonstrated laser-ablated Fe ion implantation into Si substrates. Baseline laser deposited films (0 kV) showed an amorphous Fe-Si film overlying the Si substrate with a top layer of nanocrystalline Fe. APII films exhibited an additional Fe ion-induced damage layer, extending 7.6 nm below the Si surface. The overlying Fe-Si layer and Fe top layer were amorphized by fast ions. Results were confirmed by XPS vs Ar ion etching time for depth profile of the deposited films. XPS showed primarily Fe (top layer), transitioning to roughly equal Fe/Si , then mostly Si with lower Fe (implanted region). These data clearly prove Fe ion implantation into Si, verifying the feasibility of APII as an ion acceleration and implantation process [1]. SRIM simulations predict about 20 percent deeper Fe ion penetration than data, due to:(a) Subsequent ions must pass through the Fe film deposited by earlier ions, and (b) the bias voltage has a slow rise and fall time. Theoretical research has developed the scaling laws for APII [2]. Recently, a model has successfully explained the shortening of the decay time in the high voltage pulse with the laser ablation plasma. This reduces the theoretical RC time constant, which agrees with the experimental data. * Research supported by National Science Foundation Grant CTS-9907106 [1] Appl. Phys. Lett. 78, 3785 (2001) [2] Appl. Phys. Lett. 78, 706 (2001)),

  4. Development and experimental study of large size composite plasma immersion ion implantation device

    NASA Astrophysics Data System (ADS)

    Falun, SONG; Fei, LI; Mingdong, ZHU; Langping, WANG; Beizhen, ZHANG; Haitao, GONG; Yanqing, GAN; Xiao, JIN

    2018-01-01

    Plasma immersion ion implantation (PIII) overcomes the direct exposure limit of traditional beam-line ion implantation, and is suitable for the treatment of complex work-piece with large size. PIII technology is often used for surface modification of metal, plastics and ceramics. Based on the requirement of surface modification of large size insulating material, a composite full-directional PIII device based on RF plasma source and metal plasma source is developed in this paper. This device can not only realize gas ion implantation, but also can realize metal ion implantation, and can also realize gas ion mixing with metal ions injection. This device has two metal plasma sources and each metal source contains three cathodes. Under the condition of keeping the vacuum unchanged, the cathode can be switched freely. The volume of the vacuum chamber is about 0.94 m3, and maximum vacuum degree is about 5 × 10-4 Pa. The density of RF plasma in homogeneous region is about 109 cm-3, and plasma density in the ion implantation region is about 1010 cm-3. This device can be used for large-size sample material PIII treatment, the maximum size of the sample diameter up to 400 mm. The experimental results show that the plasma discharge in the device is stable and can run for a long time. It is suitable for surface treatment of insulating materials.

  5. Fabrication and Characterization of Thin Film Ion Implanted Composite Materials for Integrated Nonlinear Optical Devices

    NASA Technical Reports Server (NTRS)

    Sarkisov, S.; Curley, M.; Williams, E. K.; Wilkosz, A.; Ila, D.; Poker, D. B.; Hensley, D. K.; Smith, C.; Banks, C.; Penn, B.; hide

    1998-01-01

    Ion implantation has been shown to produce a high density of metal colloids within the layer regions of glasses and crystalline materials. The high-precipitate volume fraction and small size of metal nanoclusters formed leads to values for the third-order susceptibility much greater than those for metal doped solids. This has stimulated interest in use of ion implantation to make nonlinear optical materials. On the other side, LiNbO3 has proved to be a good material for optical waveguides produced by MeV ion implantation. Light confinement in these waveguides is produced by refractive index step difference between the implanted region and the bulk material. Implantation of LiNbO3 with MeV metal ions can therefore result into nonlinear optical waveguide structures with great potential in a variety of device applications. We describe linear and nonlinear optical properties of a waveguide structure in LiNbO3-based composite material produced by silver ion implantation in connection with mechanisms of its formation.

  6. Ultrahigh-current-density metal-ion implantation and diamondlike-hydrocarbon films for tribological applications

    NASA Astrophysics Data System (ADS)

    Wilbur, P. J.

    1993-09-01

    The metal-ion-implantation system used to implant metals into substrates are described. The metal vapor required for operation is supplied by drawing sufficient electron current from the plasma discharge to an anode-potential crucible so a solid, pure metal placed in the crucible will be heated to the point of vaporization. The ion-producing, plasma discharge is initiated within a graphite-ion-source body, which operates at high temperature, by using an argon flow that is turned off once the metal vapor is present. Extraction of ion beams several cm in diameter at current densities ranging to several hundred micro-A/sq cm on a target 50 cm downstream of the ion source were demonstrated using Mg, Ag, Cr, Cu, Si, Ti, V, B, and Zr. These metals were implanted into over 100 substrates (discs, pins, flats, wires). A model describing thermal stresses induced in materials (e.g. ceramic plates) during high-current-density implantation is presented. Tribological and microstructural characteristics of iron and 304-stainless-steel samples implanted with Ti or B are examined. Diamondlike-hydrocarbon coatings were applied to steel surfaces and found to exhibit good tribological performance.

  7. Method For Plasma Source Ion Implantation And Deposition For Cylindrical Surfaces

    DOEpatents

    Fetherston, Robert P. , Shamim, Muhammad M. , Conrad, John R.

    1997-12-02

    Uniform ion implantation and deposition onto cylindrical surfaces is achieved by placing a cylindrical electrode in coaxial and conformal relation to the target surface. For implantation and deposition of an inner bore surface the electrode is placed inside the target. For implantation and deposition on an outer cylindrical surface the electrode is placed around the outside of the target. A plasma is generated between the electrode and the target cylindrical surface. Applying a pulse of high voltage to the target causes ions from the plasma to be driven onto the cylindrical target surface. The plasma contained in the space between the target and the electrode is uniform, resulting in a uniform implantation or deposition of the target surface. Since the plasma is largely contained in the space between the target and the electrode, contamination of the vacuum chamber enclosing the target and electrodes by inadvertent ion deposition is reduced. The coaxial alignment of the target and the electrode may be employed for the ion assisted deposition of sputtered metals onto the target, resulting in a uniform coating of the cylindrical target surface by the sputtered material. The independently generated and contained plasmas associated with each cylindrical target/electrode pair allows for effective batch processing of multiple cylindrical targets within a single vacuum chamber, resulting in both uniform implantation or deposition, and reduced contamination of one target by adjacent target/electrode pairs.

  8. Mechanical properties improvement of pulsed laser-deposited hydroxyapatite thin films by high energy ion-beam implantation

    NASA Astrophysics Data System (ADS)

    Nelea, V.; Pelletier, H.; Müller, D.; Broll, N.; Mille, P.; Ristoscu, C.; Mihailescu, I. N.

    2002-01-01

    Major problems in the hydroxyapatite (HA), Ca 5(PO 4) 3OH, thin films processing still keep the poor mechanical properties and the lack in density. We present a study on the feasibility of high energy ion-beam implantation technique to densify HA bioceramic films. Crystalline HA films were grown by pulsed laser deposition (PLD) method using an excimer KrF ∗ laser ( λ=248 nm, τ FWHM≥20 ns). The films were deposited on Ti-5Al-2.5Fe alloys substrates previously coated with a ceramic TiN buffer layer. After deposition the films were implanted with Ar + ions at high energy. Optical microscopy (OM), white light confocal microscopy (WLCM), grazing incidence X-ray diffraction (GIXRD) and Berkovich nanoindentation in normal and scratch options have been applied for the characterization of the obtained structures. We put into evidence an enhancement of the mechanical characteristics after implantation, while GIXRD measurements confirm that the crystalline structure of HA phase is preserved. The improvement in mechanical properties is an effect of a densification after ion treatment as a result of pores elimination and grains regrowth.

  9. Use of low-energy hydrogen ion implants in high-efficiency crystalline-silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Sigh, R.; Mu, H. C.

    1986-01-01

    The use of low-energy hydrogen implants in the fabrication of high-efficiency crystalline silicon solar cells was investigated. Low-energy hydrogen implants result in hydrogen-caused effects in all three regions of a solar cell: emitter, space charge region, and base. In web, Czochralski (Cz), and floating zone (Fz) material, low-energy hydrogen implants reduced surface recombination velocity. In all three, the implants passivated the space charge region recombination centers. It was established that hydrogen implants can alter the diffusion properties of ion-implanted boron in silicon, but not ion-implated arsenic.

  10. Breeding of D(-)-lactic acid high producing strain by low-energy ion implantation and preliminary analysis of related metabolism.

    PubMed

    Xu, Ting-Ting; Bai, Zhong-Zhong; Wang, Li-Juan; He, Bing-Fang

    2010-01-01

    The low-energy nitrogen ion beam implantation technique was used in the breeding of mutant D(-)-lactic-acid-producing strains. The wild strain Sporolactobacillus sp. DX12 was mutated by an N(+) ion beam with energy of 10keV and doses ranging from 0.4 x 10(15) to 6.60 x 10(15) ions/cm(2). Combined with an efficient screening method, an efficient mutant Y2-8 was selected after two times N(+) ion beam implantation. By using the mutant Y2-8, 121.6g/l of D-lactic acid was produced with the molar yields of 162.1% to the glucose. The yield of D-lactic acid by strain Y2-8 was 198.8% higher than the wild strain. Determination of anaerobic metabolism by Biolog MT2 was used to analyze the activities of the concerned enzymes in the lactic acid metabolic pathway. The results showed that the activities of the key enzymes responded on the substrates such as 6-phosphofructokinase, pyruvate kinase, and D-lactate dehydrogenase were considerably higher in the mutants than the wild strain. These might be affected by ion beam implantation.

  11. Ion radiation albedo effect: influence of surface roughness on ion implantation and sputtering of materials

    NASA Astrophysics Data System (ADS)

    Li, Yonggang; Yang, Yang; Short, Michael P.; Ding, Zejun; Zeng, Zhi; Li, Ju

    2017-01-01

    In fusion devices, ion retention and sputtering of materials are major concerns in the selection of compatible plasma-facing materials (PFMs), especially in the context of their microstructural conditions and surface morphologies. We demonstrate how surface roughness changes ion implantation and sputtering of materials under energetic ion irradiation. Using a new, sophisticated 3D Monte Carlo (MC) code, IM3D, and a random rough surface model, ion implantation and the sputtering yields of tungsten (W) with a surface roughness varying between 0-2 µm have been studied for irradiation by 0.1-1 keV D+, He+ and Ar+ ions. It is found that both ion backscattering and sputtering yields decrease with increasing roughness; this is hereafter called the ion radiation albedo effect. This effect is mainly dominated by the direct, line-of-sight deposition of a fraction of emitted atoms onto neighboring asperities. Backscattering and sputtering increase with more oblique irradiation angles. We propose a simple analytical formula to relate rough-surface and smooth-surface results.

  12. Metal Ion-Loaded Nanofibre Matrices for Calcification Inhibition in Polyurethane Implants

    PubMed Central

    Singh, Charanpreet; Wang, Xungai

    2017-01-01

    Pathologic calcification leads to structural deterioration of implant materials via stiffening, stress cracking, and other structural disintegration mechanisms, and the effect can be critical for implants intended for long-term or permanent implantation. This study demonstrates the potential of using specific metal ions (MI)s for inhibiting pathological calcification in polyurethane (PU) implants. The hypothesis of using MIs as anti-calcification agents was based on the natural calcium-antagonist role of Mg2+ ions in human body, and the anti-calcification effect of Fe3+ ions in bio-prosthetic heart valves has previously been confirmed. In vitro calcification results indicated that a protective covering mesh of MI-doped PU can prevent calcification by preventing hydroxyapatite crystal growth. However, microstructure and mechanical characterisation revealed oxidative degradation effects from Fe3+ ions on the mechanical properties of the PU matrix. Therefore, from both a mechanical and anti-calcification effects point of view, Mg2+ ions are more promising candidates than Fe3+ ions. The in vitro MI release experiments demonstrated that PU microphase separation and the structural design of PU-MI matrices were important determinants of release kinetics. Increased phase separation in doped PU assisted in consistent long-term release of dissolved MIs from both hard and soft segments of the PU. The use of a composite-sandwich mesh design prevented an initial burst release which improved the late (>20 days) release rate of MIs from the matrix. PMID:28644382

  13. Ion implantation in ices and its relevance to the icy moons of the external planets

    NASA Astrophysics Data System (ADS)

    Strazzulla, G.; Baratta, G. A.; Fulvio, D.; Garozzo, M.; Leto, G.; Palumbo, M. E.; Spinella, F.

    2007-08-01

    Solid, atmosphere-less objects in the Solar System are continuously irradiated by energetic ions mostly in the keV-MeV energy range. Being the penetration depth of the incoming ions usually much lower than the thickness of the target, they are stopped into the ice. They deposit energy in the target induce the breaking of molecular bonds. The recombination of fragments produce different molecules. Reactive ions (e.g., H, C, N, O, S) induce all of the effects of any other ion, but in addition have a chance, by implantation in the target, to form new species containing the projectile. An ongoing research program performed at our laboratory has the aim to investigate ion implantation of reactive ions in many relevant ice mixtures. The results obtained so far indicate that some molecular species observed on icy planetary surfaces could not be native of that object but formed by implantation of reactive ions. In particular we present data obtained after: • C, N and S implantation in water ice • H implantation in carbon and sulfur dioxide

  14. Production yield of rare-earth ions implanted into an optical crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kornher, Thomas, E-mail: t.kornher@physik.uni-stuttgart.de; Xia, Kangwei; Kolesov, Roman

    2016-02-01

    Rare-earth (RE) ions doped into desired locations of optical crystals might enable a range of novel integrated photonic devices for quantum applications. With this aim, we have investigated the production yield of cerium and praseodymium by means of ion implantation. As a measure, the collected fluorescence intensity from both implanted samples and single centers was used. With a tailored annealing procedure for cerium, a yield up to 53% was estimated. Praseodymium yield amounts up to 91%. Such high implantation yield indicates a feasibility of creation of nanopatterned rare-earth doping and suggests strong potential of RE species for on-chip photonic devices.

  15. Method of making an ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1992-01-01

    Planar-buried-heterostructure, graded-index, separate-confinement-heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding lever 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an iion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  16. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1986-03-06

    34 ASME, J. Lub. Technology 105, pp. 534-541 (1983). 89. J. M. Lambert, P. A. Treado, D . Trbojevic , R. G. Allas, A. R. Knudson, G. W. Reynolds, and F. R...Singer and R.G. Vardiman D . In Situ Auger Analysis Of Surface Composition During High Fluence Ion Implantation...Niobium Implantation Of Iron Films ..............................................37 B. D . Sartwell and D.A. Baldwin F. Sputtering And Migration During Ta

  17. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  18. Characterisation of Cs ion implanted GaN by DLTS

    NASA Astrophysics Data System (ADS)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  19. Microstructural and opto-electrical properties of chromium nitride films implanted with vanadium ions

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Traverse, A.; Popović, M.; Lieb, K. P.; Zhang, K.; Bibić, N.

    2012-07-01

    We report on modifications of 280-nm thin polycrystalline CrN layers caused by vanadium ion implantation. The CrN layers were deposited at 150°C by d.c. reactive sputtering on Si(100) wafers and then implanted at room temperature with 80-keV V+ ions to fluences of 1×1017 and 2×1017 ions/cm2. Rutherford backscattering spectroscopy, cross-sectional transmission electron microscopy, and X-ray diffraction were used to characterize changes in the structural properties of the films. Their optical and electrical properties were analyzed by infrared spectroscopy in reflection mode and electrical resistivity measurements. CrN was found to keep its cubic structure under the conditions of vanadium ion implantation used here. The initially partially non-metallic CrN layer displays metallic character under implantation, which may be related to the possible formation of Cr1-x V x N.

  20. Effects of vanadium ion implantation on microstructure, mechanical and tribological properties of TiN coatings

    NASA Astrophysics Data System (ADS)

    Deng, Bin; Tao, Ye; Guo, Deliang

    2012-09-01

    TiN coatings were deposited on the substrates of cemented carbide (WC-TiC-Co) by Magnetic Filter Arc Ion Plating (MFAIP) and then implanted with vanadium through Metal Vacuum Vapor Arc (MEVVA) ion source with the doses of 1 × 1017 and 5 × 1017 ions/cm2 at 40 kV. The microstructures and chemical compositions of the V-implanted TiN coatings were investigated using Glancing Incidence X-ray Diffraction (GIXRD) and X-ray Photoelectron Spectroscopy (XPS), together with the mechanical and tribological properties of coatings were characterized using nano-indentation and ball-on-disk tribometer. It was found that the diffraction peaks of the V-implanted TiN coatings at the doses of 5 × 1017 ions/cm2 shifted to higher angles and became broader. The hardness and elastic modulus of TiN coatings increased after V ion implantation. The wear mechanism for both un-implanted and V-implanted TiN coatings against GCr15 steel ball was adhesive wear, and the V-implanted TiN coatings had a lower friction coefficient as well as a better wear resistance

  1. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  2. Ion implantation in group III-nitride semiconductors: a tool for doping and defect studies

    NASA Astrophysics Data System (ADS)

    Zolper, J. C.

    1997-06-01

    Ion implantation is a flexible process technology for introducing an array of doping or compensating impurities into semiconductors. As the crystal quality of the group III-nitride materials continues to improve, ion implantation is playing an enabling role in exploring new dopant species and device structures. In this paper we review the recent developments in ion implantation processing of these materials with a particular emphasis on how this technology has brought new understanding to this materials system. In particular, the use of ion implantation to characterize impurity luminescence, doping, and compensation in III-nitride materials is reviewed. In addition, we address the nature of implantation induced damage in GaN which demonstrates a very strong resistance to amorphization while at the same time forming damage that is not easily removed by thermal annealing. Finally, we review the coupling of implantation with high temperature rapid thermal annealing to better understand the thermal stability of these materials and the redistribution properties of the common dopant (Si, O, Be, Mg, Ca, and Zn).

  3. Angle Control on the Optima HE/XE Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Edward; Satoh, Shu

    2008-11-03

    The Optima HE/XE is the latest generation of high energy ion implanter from Axcelis, combining proven RF linear accelerator technology with new single wafer processing. The architecture of the implanter is designed to provide a parallel beam at the wafer plane over the full range of implant energies and beam currents. One of the advantages of this system is the ability to control both the horizontal and vertical implant angles for each implant. Included in the design is the ability to perform in situ measurements of the horizontal and vertical angles of the beam in real time. The method ofmore » the horizontal and vertical angle measurements is described in this paper.« less

  4. A study of the wear behaviour of ion implanted pure iron

    NASA Astrophysics Data System (ADS)

    Goode, P. D.; Peacock, A. T.; Asher, J.

    1983-05-01

    The technique of Thin Layer Activation (TLA) has been used to monitor disc wear in pin-on-disc wear tests. By simultaneously monitoring the pin wear the relationship between the wear rates of the two components of the wear couple has been studied. Tests were carried out using untreated pins wearing against ion implanted and untreated pure iron discs. The ratio of pin/disc volumetric wear rates was found to be constant in tests with unimplanted discs. In the implanted case the ratio was 8 initially, rising to the unimplatned value of 24 by a sliding distance of 25 km. The relationship between pin and disc wear after nitrogen implantation of the disc was approximately independent of dose between values of 7×10 16 and 1.2×10 18 N atoms cm -2. The actual wear rates of both pin and disc were significantly lower after implantation with the greater effects being observed om the unimplanted pin. The effects are explained in terms of the model of oxidative wear. In the unimplanted case the high pin wear relative to disc wear is considered to result from the higher mean temperature of pin asperities. Implantation appears to alter the mean asperity temperatures in such a way as to reduce the oxidation rate of the pin preferentially. Alternatively the effect of the implantation could be to reduce the critical thickness for removal of oxide formed on disc asperities.

  5. Controlled removal of ceramic surfaces with combination of ions implantation and ultrasonic energy

    DOEpatents

    Boatner, Lynn A.; Rankin, Janet; Thevenard, Paul; Romana, Laurence J.

    1995-01-01

    A method for tailoring or patterning the surface of ceramic articles is provided by implanting ions to predetermined depth into the ceramic material at a selected surface location with the ions being implanted at a fluence and energy adequate to damage the lattice structure of the ceramic material for bi-axially straining near-surface regions of the ceramic material to the predetermined depth. The resulting metastable near-surface regions of the ceramic material are then contacted with energy pulses from collapsing, ultrasonically-generated cavitation bubbles in a liquid medium for removing to a selected depth the ion-damaged near-surface regions containing the bi-axially strained lattice structure from the ceramic body. Additional patterning of the selected surface location on the ceramic body is provided by implanting a high fluence of high-energy, relatively-light ions at selected surface sites for relaxing the bi-axial strain in the near-surface regions defined by these sites and thereby preventing the removal of such ion-implanted sites by the energy pulses from the collapsing ultrasonic cavitation bubbles.

  6. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    NASA Astrophysics Data System (ADS)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  7. Ion implantation disorder in strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Arnold, G. W.; Picraux, S. T.; Peercy, P. S.; Myers, D. R.; Biefeld, R. M.; Dawson, L. R.

    Cantilever beam bending and RBS channeling measurements have been used to examine implantation induced disorder and stress buildup in InO 2GaO 8As/GaAs SLS structures. The critical fluence for saturation of compressive stress occurs prior to amorphous layer formation and is followed by stress relief. For all the ions the maximum ion induced stress scales with energy density into atomic processes and stress relief occurs above approximately 1x10 to the 20th keV/1 cubic cm. Stress relief is more pronounced for the SLSs than for bulk GaAs. Stress relief may lead to slip or other forms of inelastic material flow in SLSs, which would be undesirable for active regions in device applications. Such material flow may be avoided by limiting maximum fluences or by multiple step or simultaneous implantation and annealing for high fluences.

  8. Electrical and optical properties of nitrile rubber modified by ion implantation

    NASA Astrophysics Data System (ADS)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  9. Third Order Optical Nonlinearity of Colloidal Metal Nanoclusters Formed by MeV Ion Implantation

    NASA Technical Reports Server (NTRS)

    Sarkisov, S. S.; Williams, E.; Curley, M.; Ila, D.; Venkateswarlu, P.; Poker, D. B.; Hensley, D. K.

    1997-01-01

    We report the results of characterization of nonlinear refractive index of the composite material produced by MeV Ag ion implantation of LiNbO(sub 3) crystal (z-cut). The material after implantation exhibited a linear optical absorption spectrum with the surface plasmon peak near 430 nm attributed to the colloidal silver nanoclusters. Heat treatment of the material at 500 deg C caused a shift of the absorption peak to 550 nm. The nonlinear refractive index of the sample after heat treatment was measured in the region of the absorption peak with the Z-scan technique using a tunable picosecond laser source (4.5 ps pulse width).The experimental data were compared against the reference sample made of MeV Cu implanted silica with the absorption peak in the same region. The nonlinear index of the Ag implanted LiNbO(sub 3) sample produced at five times less fluence is on average two times greater than that of the reference.

  10. Channeling implantation of high energy carbon ions in a diamond crystal: Determination of the induced crystal amorphization

    NASA Astrophysics Data System (ADS)

    Erich, M.; Kokkoris, M.; Fazinić, S.; Petrović, S.

    2018-02-01

    This work reports on the induced diamond crystal amorphization by 4 MeV carbon ions implanted in the 〈1 0 0〉 oriented crystal and its determination by application of RBS/C and EBS/C techniques. The spectra from the implanted samples were recorded for 1.2, 1.5, 1.75 and 1.9 MeV protons. For the two latter ones the strong resonance of the nuclear elastic scattering 12C(p,p0)12C at 1.737 MeV was explored. The backscattering channeling spectra were successfully fitted and the ion beam induced crystal amorphization depth profile was determined using a phenomenological approach, which is based on the properly defined Gompertz type dechanneling functions for protons in the 〈1 0 0〉 diamond crystal channels and the introduction of the concept of ion beam amorphization, which is implemented through our newly developed computer code CSIM.

  11. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  12. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    NASA Astrophysics Data System (ADS)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  13. Nonlinear effects in defect production by atomic and molecular ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    David, C., E-mail: david@igcar.gov.in; Dholakia, Manan; Chandra, Sharat

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al{sub 3}, resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed duemore » to Al{sub 4} implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations.« less

  14. Localization of carbon atoms and extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions

    NASA Astrophysics Data System (ADS)

    Jadan, M.; Chelyadinskii, A. R.; Odzhaev, V. B.

    2013-02-01

    The possibility to control the localization of implanted carbon in sites and interstices in silicon immediately during the implantation has been demonstrated. The formation of residual extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions has been shown. It has been found that the formation of residual defects can be suppressed due to annihilation of point defects at C atoms (the Watkins effect). The positive effect is attained if implanted carbon is localized over lattice sites, which is provided by its implantation with the effective current density of the scanning ion beam no lower than 1.0 μA cm-2.

  15. Technique of after-loading interstitial implants.

    PubMed

    Syed, A M; Feder, B H

    1977-01-01

    Interstitial implants are either removable or permanent (and occasionally a combination of both). Permanent implants are generally utilized where tumors are not accessible enough to permit easy removal of sources or where accurate source distribution is less critical. They are useful for cancers of the lung, pancreas, prostate, bladder, lymph nodes, etc. Radon and gold-198 have been largely replaced by iodine-125. Our major interests are in the removable after-loading iridium-192 implant techniques. Template (steel guide) and non-template (plastic tube) techniques are utilized. Templates are preferred where the tumor volume can only be approached from one side and where accurate positioning of sources would otherwise be difficult. They are useful for cancers of the cervix, vagina, urethra, and rectum. Non-template (plastic tube) techniques are preferred where the tumor volume can be approached from at least two sides and where templates are either not feasible or not essential for accurate positioning of sources. The single needle non-template approach is useful for cancers of lip, nodes, and breast (plastic button) and for cancers of the oral cavity and oropharynx (gold button). The paired needle non-template approach is useful for cancers of the gum, retromolar trigone, and base of tongue (loop technique) and for cancers of the palate (arch technique). Procedures for each technique are described in detail.

  16. Dose Control System in the Optima XE Single Wafer High Energy Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Satoh, Shu; Yoon, Jongyoon; David, Jonathan

    2011-01-07

    Photoresist outgassing can significantly compromise accurate dosimetry of high energy implants. High energy implant even at a modest beam current produces high beam powers which create significantly worse outgassing than low and medium energy implants and the outgassing continues throughout the implant due to the low dose in typical high energy implant recipes. In the previous generation of high energy implanters, dose correction by monitoring of process chamber pressure during photoresist outgassing has been used. However, as applications diversify and requirements change, the need arises for a more versatile photoresist correction system to match the versatility of a single wafermore » high energy ion implanter. We have successfully developed a new dosimetry system for the Optima XE single wafer high energy ion implanter which does not require any form of compensation due to the implant conditions. This paper describes the principles and performance of this new dose system.« less

  17. Au5+ ion implantation induced structural phase transitions probed through structural, microstructural and phonon properties in BiFeO3 ceramics, using synergistic ion beam energy

    NASA Astrophysics Data System (ADS)

    Dey, Ranajit; Bajpai, P. K.

    2018-04-01

    Implanted Au5+-ion-induced modification in structural and phonon properties of phase pure BiFeO3 (BFO) ceramics prepared by sol-gel method was investigated. These BFO samples were implanted by 15.8 MeV ions of Au5+ at various ion fluence ranging from 1 × 1014 to 5 × 1015 ions/cm2. Effect of Au5+ ions' implantation is explained in terms of structural phase transition coupled with amorphization/recrystallization due to ion implantation probed through XRD, SEM, EDX and Raman spectroscopy. XRD patterns show broad diffuse contributions due to amorphization in implanted samples. SEM images show grains collapsing and mounds' formation over the surface due to mass transport. The peaks of the Raman spectra were broadened and also the peak intensities were decreased for the samples irradiated with 15.8 MeV Au5+ ions at a fluence of 5 × 1015 ion/cm2. The percentage increase/decrease in amorphization and recrystallization has been estimated from Raman and XRD data, which support the synergistic effects being operative due to comparable nuclear and electronic energy losses at 15.8 MeV Au5+ ion implantation. Effect of thermal treatment on implanted samples is also probed and discussed.

  18. Does the Implantation Technique for Totally Implantable Venous Access Ports (TIVAPs) Influence Long-Term Outcome?

    PubMed

    Biacchi, Daniele; Sammartino, Paolo; Sibio, Simone; Accarpio, Fabio; Cardi, Maurizio; Sapienza, Paolo; De Cesare, Alessandro; Atta, Joseph Maher Fouad; Impagnatiello, Alessio; Di Giorgio, Angelo

    2016-02-01

    Totally implantable venous access ports (TIVAP) are eventually explanted for various reasons, related or unrelated to the implantation technique used. Having more information on long-term explantation would help improve placement techniques. From a series of 1572 cancer patients who had TIVAPs implanted in our center with the cutdown technique or Seldinger technique, we studied the 542 patients who returned to us to have their TIVAP explanted after 70 days or more. As outcome measures we distinguished between TIVAPs explanted for long-term complications (infection, catheter-, reservoir-, and patient-related complications) and TIVAPs no longer needed. Univariate and multivariate analyses were run to investigate the reasons for explantation and their possible correlation with implantation techniques. The most common reason for explantation was infection (47.6 %), followed by catheter-related (20.8 %), patient-related (14.7 %), and reservoir-related complications (4.7 %). In the remaining 12.2 % of cases, the TIVAP was explanted complication free after the planned treatments ended. Infection correlated closely with longer TIVAP use. Univariate and multivariate analyses identified the Seldinger technique as a major risk factor for venous thrombosis and catheter dislocation. The need for long-term TIVAP explantation in about one-third of cancer patients is related to the implantation techniques used.

  19. Systemic levels of metallic ions released from orthodontic mini-implants.

    PubMed

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  20. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    NASA Astrophysics Data System (ADS)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  1. Spectral distribution of UV range diffuse reflectivity for Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Balabanov, S.; Tsvetkova, T.; Borisova, E.; Avramov, L.; Bischoff, L.

    2008-05-01

    The analysis of the UV range spectral characteristics can supply additional information on the formed sub-surface buried layer with implanted dopants. The near-surface layer (50÷150 nm) of bulk polymer samples have been implanted with silicon (Si+) ions at low energies (E = 30 keV) and a wide range of ion doses (D = 1.1013 ÷ 1, 2.1017 cm-2). The studied polymer materials were: ultra-high-molecular-weight polyethylene (UHMWPE), poly-methyl-metacrylate (PMMA) and poly-tetra-fluor-ethylene (PTFE). The diffuse optical reflectivity spectra Rd = f(λ) of the ion implanted samples have been measured in the UV range (λ = 220÷350 nm). In this paper the dose dependences of the size and sign of the diffuse optical reflectivity changes λRd = f(D) have been analysed.

  2. Nanostructures by ion beams

    NASA Astrophysics Data System (ADS)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  3. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  4. The formation of magnetic silicide Fe3Si clusters during ion implantation

    NASA Astrophysics Data System (ADS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  5. Plasma ion implantation technology at Hughes Research Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matossian, J.N.

    1994-03-01

    The plasma ion implantation (PII) project at Hughes Research Laboratories (HRL) has as its main objective the evaluation and application of PII technology to improve the tribological properties of metal and nonmetal materials used in aerospace, defense, and commercial applications. The HRL PII facility consists of a 4-ft-diam[times]8-ft-long vacuum chamber capable of implanting objects weighing up to 7000 lbs, and a high-power (100-kW), high-voltage (100-kV) pulse modulator to provide voltage pulses for implantation. Advanced plasma sources have been developed to produce atomic, as well as molecular, nitrogen and oxygen ions, and PII processes have been developed to treat metal andmore » nonmetal materials. The HRL PII facility has been operational since 1989 and has been used for prototype demonstrations of PII technology to achieve (1) a 2--3[times] improved wear life of Co/WC drill bits used for printed-wiring-board fabrication, (2) an 8[times] reduced wear rate for TiN-coated cutting tools, and (3) a 2[times] increased surface hardness for a 7000-lb polymer object, 3 ft by 5 ft by 1 ft.« less

  6. Electrical and optical properties of nitrile rubber modified by ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    S, Najidha; Predeep, P.

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease withmore » increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.« less

  7. Nanotubular surface modification of metallic implants via electrochemical anodization technique.

    PubMed

    Wang, Lu-Ning; Jin, Ming; Zheng, Yudong; Guan, Yueping; Lu, Xin; Luo, Jing-Li

    2014-01-01

    Due to increased awareness and interest in the biomedical implant field as a result of an aging population, research in the field of implantable devices has grown rapidly in the last few decades. Among the biomedical implants, metallic implant materials have been widely used to replace disordered bony tissues in orthopedic and orthodontic surgeries. The clinical success of implants is closely related to their early osseointegration (ie, the direct structural and functional connection between living bone and the surface of a load-bearing artificial implant), which relies heavily on the surface condition of the implant. Electrochemical techniques for modifying biomedical implants are relatively simple, cost-effective, and appropriate for implants with complex shapes. Recently, metal oxide nanotubular arrays via electrochemical anodization have become an attractive technique to build up on metallic implants to enhance the biocompatibility and bioactivity. This article will thoroughly review the relevance of electrochemical anodization techniques for the modification of metallic implant surfaces in nanoscale, and cover the electrochemical anodization techniques used in the development of the types of nanotubular/nanoporous modification achievable via electrochemical approaches, which hold tremendous potential for bio-implant applications. In vitro and in vivo studies using metallic oxide nanotubes are also presented, revealing the potential of nanotubes in biomedical applications. Finally, an outlook of future growth of research in metallic oxide nanotubular arrays is provided. This article will therefore provide researchers with an in-depth understanding of electrochemical anodization modification and provide guidance regarding the design and tuning of new materials to achieve a desired performance and reliable biocompatibility.

  8. Nanotubular surface modification of metallic implants via electrochemical anodization technique

    PubMed Central

    Wang, Lu-Ning; Jin, Ming; Zheng, Yudong; Guan, Yueping; Lu, Xin; Luo, Jing-Li

    2014-01-01

    Due to increased awareness and interest in the biomedical implant field as a result of an aging population, research in the field of implantable devices has grown rapidly in the last few decades. Among the biomedical implants, metallic implant materials have been widely used to replace disordered bony tissues in orthopedic and orthodontic surgeries. The clinical success of implants is closely related to their early osseointegration (ie, the direct structural and functional connection between living bone and the surface of a load-bearing artificial implant), which relies heavily on the surface condition of the implant. Electrochemical techniques for modifying biomedical implants are relatively simple, cost-effective, and appropriate for implants with complex shapes. Recently, metal oxide nanotubular arrays via electrochemical anodization have become an attractive technique to build up on metallic implants to enhance the biocompatibility and bioactivity. This article will thoroughly review the relevance of electrochemical anodization techniques for the modification of metallic implant surfaces in nanoscale, and cover the electrochemical anodization techniques used in the development of the types of nanotubular/nanoporous modification achievable via electrochemical approaches, which hold tremendous potential for bio-implant applications. In vitro and in vivo studies using metallic oxide nanotubes are also presented, revealing the potential of nanotubes in biomedical applications. Finally, an outlook of future growth of research in metallic oxide nanotubular arrays is provided. This article will therefore provide researchers with an in-depth understanding of electrochemical anodization modification and provide guidance regarding the design and tuning of new materials to achieve a desired performance and reliable biocompatibility. PMID:25258532

  9. A Comparison of implant impression precision: Different materials and techniques

    PubMed Central

    Tabesh, Mahtab; Alikhasi, Marzieh

    2018-01-01

    Background Precision of implant impressions is a prerequisite for long-term success of implant supported prostheses. Impression materials and impression techniques are two important factors that impression precision relies on. Material and Methods A model of edentulous maxilla containing four implants inserted by All-on-4 guide was constructed. Seventy two impressions using polyether (PE), polyvinyl siloxane (PVS), and vinyl siloxanether (VSE) materials with direct and indirect techniques were made (n=12). Coordinates of implants in casts were measured using coordinate measuring machine (CMM). Data were analyzed with ANOVA; t-test and Tukey test were used for post hoc. Results With two-way ANOVA, mean values of linear displacements of implants were significantly different among materials and techniques. One-way ANOVA and Tukey showed significant difference between PE and VSE (P=0.019), PE and PVS (P=0.002) in direct technique, and between PVS and PE (P<0.001), PVS and VSE (P<0.001) in indirect technique. One-way ANOVA and t-test showed significant difference between the two techniques in PVS groups (P<0.001) and in PE groups (P=0.02). Two-way ANOVA showed mean values of rotational displacement of implants were significantly different among materials. One-way ANOVA and Tukey test showed significant difference between PVS and PE (P=0.001) and between PVS and VSE (P=0.012) in indirect groups. Conclusions On the basis of the results, when deciding on the material to make an impression of implants, PE is recommended for direct technique while PE and VSE are recommended for indirect technique. Recommended technique for VSE is either direct or indirect; and for PE and PVS is direct. Key words:Polyvinyl siloxane, polyether, vinyl siloxanether, direct technique, indirect technique, All-on-4, coordinate measuring machine. PMID:29670733

  10. Low-cost plasma immersion ion implantation doping for Interdigitated back passivated contact (IBPC) solar cells

    DOE PAGES

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo; ...

    2016-06-01

    Here, we present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO 2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm -2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures (~100 nmmore » thick) grown on n-Cz wafers with pH3 PIII doping gave implied open circuit voltage (iV oc) values of 730 mV with J o values of 2 fA/cm 2. Samples doped with B 2H 6 gave iV oc values of 690 mV and J o values of 24 fA/cm 2, outperforming BF 3 doping, which gave iV oc values in the 660-680 mV range. Samples were further characterized by SIMS, photoluminescence, TEM, EELS, and post-metallization TLM to reveal micro- and macro-scopic structural, chemical and electrical information.« less

  11. Hardness depth profile of lattice strained cemented carbide modified by high-energy boron ion implantation

    NASA Astrophysics Data System (ADS)

    Yoshida, Y.; Matsumura, A.; Higeta, K.; Inoue, T.; Shimizu, S.; Motonami, Y.; Sato, M.; Sadahiro, T.; Fujii, K.

    1991-07-01

    The hardness depth profiles of cemented carbides which were implanted with high-energy B + ions have been estimated using a dynamic microhardness tester. The B + implantations into (16% Co)-cemented WC alloys were carried out under conditions where the implantation energies were 1-3 MeV and the fluences 1 × 10 17-1 × 10 18ions/cm 2. The profiles show that the implanted layer becomes harder as fluences are chosen at higher values and there is a peak at a certain depth which depends on the implantation energy. In X-ray diffraction (XRD) studies of the implanted surface the broadened refraction peaks of only WC and Co are detected and the increments of lattice strain and of residual stress in the near-surface region are observed. It is supposed that the hardening effect should be induced by an increase in residual stress produced by lattice strain. The hardness depth profile in successive implantation of ions with different energies agrees with the compounded profile of each one of the implantations. It is concluded that the hardness depth profile can be controlled under adequate conditions of implantation.

  12. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  13. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    NASA Astrophysics Data System (ADS)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  14. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  15. Microstructure investigations of U3Si2 implanted by high-energy Xe ions at 600 °C

    NASA Astrophysics Data System (ADS)

    Miao, Yinbin; Harp, Jason; Mo, Kun; Kim, Yeon Soo; Zhu, Shaofei; Yacout, Abdellatif M.

    2018-05-01

    The microstructure investigations on a high-energy Xe-implanted U3Si2 pellet were performed. The promising accident tolerant fuel (ATF) candidate, U3Si2, was irradiated by 84 MeV Xe ions at 600 °C at Argonne Tandem Linac Accelerator System (ATLAS). The characterizations of the Xe implanted sample were conducted using advanced transmission electron microscopy (TEM) techniques. An oxidation layer was observed on the sample surface after irradiation under the ∼10-5 Pa vacuum. The study on the oxidation layer not only unveils the readily oxidation behavior of U3Si2 under high-temperature irradiation conditions, but also develops an understanding of its oxidation mechanism. Intragranular Xe bubbles with bimodal size distribution were observed within the Xe deposition region of the sample induced by 84 MeV Xe ion implantation. At the irradiation temperature of 600 °C, the gaseous swelling strain contributed by intragranular bubbles was found to be insignificant, indicating an acceptable fission gas behavior of U3Si2 as a light water reactor (LWR) fuel operating at such a temperature.

  16. Extended Lindhard-Scharf-Schiott Theory for Ion Implantation Profiles Expressed with Pearson Function

    NASA Astrophysics Data System (ADS)

    Suzuki, Kunihiro

    2009-04-01

    Ion implantation profiles are expressed by the Pearson function with first, second, third, and fourth moment parameters of Rp, ΔRp, γ, and β. We derived an analytical model for these profile moments by solving a Lindhard-Scharf-Schiott (LSS) integration equation using perturbation approximation. This analytical model reproduces Monte Carlo data that were well calibrated to reproduce a vast experimental database. The extended LSS theory is vital for instantaneously predicting ion implantation profiles with any combination of incident ions and substrate atoms including their energy dependence.

  17. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    PubMed

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  18. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    PubMed

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  19. Erbium ion implantation into diamond - measurement and modelling of the crystal structure.

    PubMed

    Cajzl, Jakub; Nekvindová, Pavla; Macková, Anna; Malinský, Petr; Sedmidubský, David; Hušák, Michal; Remeš, Zdeněk; Varga, Marián; Kromka, Alexander; Böttger, Roman; Oswald, Jiří

    2017-02-22

    Diamond is proposed as an extraordinary material usable in interdisciplinary fields, especially in optics and photonics. In this contribution we focus on the doping of diamond with erbium as an optically active centre. In the theoretical part of the study based on DFT simulations we have developed two Er-doped diamond structural models with 0 to 4 carbon vacancies in the vicinity of the Er atom and performed geometry optimizations by the calculation of cohesive energies and defect formation energies. The theoretical results showed an excellent agreement between the calculated and experimental cohesive energies for the parent diamond. The highest values of cohesive energies and the lowest values of defect formation energies were obtained for models with erbium in the substitutional carbon position with 1 or 3 vacancies in the vicinity of the erbium atom. From the geometry optimization the structural model with 1 vacancy had an octahedral symmetry whereas the model with 3 vacancies had a coordination of 10 forming a trigonal structure with a hexagonal ring. In the experimental part, erbium doped diamond crystal samples were prepared by ion implantation of Er + ions using ion implantation fluences ranging from 1 × 10 14 ions per cm 2 to 5 × 10 15 ions per cm 2 . The experimental results revealed a high degree of diamond structural damage after the ion implantation process reaching up to 69% of disordered atoms in the samples. The prepared Er-doped diamond samples annealed at the temperatures of 400, 600 and 800 °C in a vacuum revealed clear luminescence, where the 〈110〉 cut sample has approximately 6-7 times higher luminescence intensity than the 〈001〉 cut sample with the same ion implantation fluence. The reported results are the first demonstration of the Er luminescence in the single crystal diamond structure for the near-infrared spectral region.

  20. Scanning-electron-microscopy observations and mechanical characteristics of ion-beam-sputtered surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Meyer, M. L.; Ling, J. S.

    1977-01-01

    An electron bombardment ion thruster was used as an ion source to sputter the surfaces of orthopedic prosthetic metals. Scanning electron microscopy photomicrographs were made of each ion beam textured surface. The effect of ion texturing an implant surface on its bond to bone cement was investigated. A Co-Cr-W alloy and surgical stainless steel were used as representative hard tissue implant materials to determine effects of ion texturing on bulk mechanical properties. Work was done to determine the effect of substrate temperature on the development of an ion textured surface microstructure. Results indicate that the ultimate strength of the bulk materials is unchanged by ion texturing and that the microstructure will develop more rapidly if the substrate is heated prior to ion texturing.

  1. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    The objective of this program is the investigation and evaluation of the capabilities of the ion implantation process for the production of photovoltaic cells from a variety of present-day, state-of-the-art, low-cost silicon sheet materials. Task 1 of the program concerns application of ion implantation and furnace annealing to fabrication of cells made from dendritic web silicon. Task 2 comprises the application of ion implantation and pulsed electron beam annealing (PEBA) to cells made from SEMIX, SILSO, heat-exchanger-method (HEM), edge-defined film-fed growth (EFG) and Czochralski (CZ) silicon. The goals of Task 1 comprise an investigation of implantation and anneal processes applied to dendritic web. A further goal is the evaluation of surface passivation and back surface reflector formation. In this way, processes yielding the very highest efficiency can be evaluated. Task 2 seeks to evaluate the use of PEBA for various sheet materials. A comparison of PEBA to thermal annealing will be made for a variety of ion implantation processes.

  2. Corrosion resistance and blood compatibility of lanthanum ion implanted pure iron by MEVVA

    NASA Astrophysics Data System (ADS)

    Zhu, Shengfa; Huang, Nan; Shu, Hui; Wu, Yanping; Xu, Li

    2009-10-01

    Pure iron is a potential material applying for coronary artery stents based on its biocorrodible and nontoxic properties. However, the degradation characteristics of pure iron in vivo could reduce the mechanical stability of iron stents prematurely. The purpose of this work was to implant the lanthanum ion into pure iron specimens by metal vapor vacuum arc (MEVVA) source at an extracted voltage of 40 kV to improve its corrosion resistance and biocompatibility. The implanted fluence was up to 5 × 10 17 ions/cm 2. The X-ray photoelectron spectroscopy (XPS) was used to characterize the chemical state and depth profiles of La, Fe and O elements. The results showed lanthanum existed in the +3 oxidation state in the surface layer, most of the oxygen combined with lanthanum and form a layer of oxides. The lanthanum ion implantation layer could effectively hold back iron ions into the immersed solution and obviously improved the corrosion resistance of pure iron in simulated body fluids (SBF) solution by the electrochemical measurements and static immersion tests. The systematic evaluation of blood compatibility, including in vitro platelets adhesion, prothrombin time (PT), thrombin time (TT), indicated that the number of platelets adhesion, activation, aggregation and pseudopodium on the surface of the La-implanted samples were remarkably decreased compared with pure iron and 316L stainless steel, the PT and TT were almost the same as the original plasma. It was obviously showed that lanthanum ion implantation could effectively improve the corrosion resistance and blood compatibility of pure iron.

  3. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  4. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  5. Controlled atmosphere annealing of ion implanted gallium arsenide. Final report 1 Jul 76-30 Nov 79

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, C.L.; Eu, V.; Feng, M.

    1980-08-01

    Controlled atmosphere techniques were developed as an alternative to dielectric encapsulation for the high temperature anneal of ion implanted layers in GaAs. Two approaches: (1) the controlled atmosphere technique (CAT), and (2) the melt controlled ambient technique (MCAT) have been investigated. Using the CAT procedure, which involves annealing in flowing hydrogen with an arsenic overpressure, annealing without detectable surface erosion, has been performed at temperatures as high as 950 C, with or without encapsulants. Impurity diffusion, damage recovery, and electrical activity were investigated as a function of anneal parameters. Range studies of technologically important impurities such as S, Si, Se,more » Be and Mg were carried out. For the first time the role of the encapsulant on implanted profile degradation and the importance of Cr redistribution during the anneal cycle were determined. An improved CAT anneal system capable of production quantity throughput was developed and is in current use for device processing.« less

  6. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires.

    PubMed

    Krishnan, Manu; Saraswathy, Seema; Sukumaran, Kalathil; Abraham, Kurian Mathew

    2013-01-01

    To evaluate the changes in surface roughness and frictional features of 'ion-implanted nickel titanium (NiTi) and titanium molybdenum alloy (TMA) arch wires' from its conventional types in an in-vitro laboratory set up. 'Ion-implanted NiTi and low friction TMA arch wires' were assessed for surface roughness with scanning electron microscopy (SEM) and 3 dimensional (3D) optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS) values in nanometers and Frictional Forces (FF) in grams. Mean values of RMS and FF were compared by Student's 't' test and one way analysis of variance (ANOVA). SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm) and 48.90% for TMA groups (463.28 to 236.35 nm) from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  7. Uranium passivation by C + implantation: A photoemission and secondary ion mass spectrometry study

    NASA Astrophysics Data System (ADS)

    Nelson, A. J.; Felter, T. E.; Wu, K. J.; Evans, C.; Ferreira, J. L.; Siekhaus, W. J.; McLean, W.

    2006-03-01

    Implantation of 33 keV C + ions into polycrystalline U 238 with a dose of 4.3 × 10 17 cm -2 produces a physically and chemically modified surface layer that prevents further air oxidation and corrosion. X-ray photoelectron spectroscopy and secondary ion mass spectrometry were used to investigate the surface chemistry and electronic structure of this C + ion implanted polycrystalline uranium and a non-implanted region of the sample, both regions exposed to air for more than a year. In addition, scanning electron microscopy was used to examine and compare the surface morphology of the two regions. The U 4f, O 1s and C 1s core-level and valence band spectra clearly indicate carbide formation in the modified surface layer. The time-of-flight secondary ion mass spectrometry depth profiling results reveal an oxy-carbide surface layer over an approximately 200 nm thick UC layer with little or no residual oxidation at the carbide layer/U metal transitional interface.

  8. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reichel, Christian, E-mail: christian.reichel@ise.fraunhofer.de; National Renewable Energy Laboratory; Feldmann, Frank

    Passivated contacts (poly-Si/SiO{sub x}/c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF{sub 2}), the ion implantation dose (5 × 10{sup 14 }cm{sup −2} to 1 × 10{sup 16 }cm{sup −2}), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells.more » Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV{sub oc}) of 725 and 720 mV, respectively. For p-type passivated contacts, BF{sub 2} implantations into intrinsic a-Si yield well passivated contacts and allow for iV{sub oc} of 690 mV, whereas implanted B gives poor passivation with iV{sub oc} of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V{sub oc} of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF{sub 2} implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V{sub oc} of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts.« less

  9. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  10. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    NASA Astrophysics Data System (ADS)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  11. [RESEARCH PROGRESS OF THREE-DIMENSIONAL PRINTING TECHNIQUE FOR SPINAL IMPLANTS].

    PubMed

    Lu, Qi; Yu, Binsheng

    2016-09-08

    To summarize the current research progress of three-dimensional (3D) printing technique for spinal implants manufacture. The recent original literature concerning technology, materials, process, clinical applications, and development direction of 3D printing technique in spinal implants was reviewed and analyzed. At present, 3D printing technologies used to manufacture spinal implants include selective laser sintering, selective laser melting, and electron beam melting. Titanium and its alloys are mainly used. 3D printing spinal implants manufactured by the above materials and technology have been successfully used in clinical. But the problems regarding safety, related complications, cost-benefit analysis, efficacy compared with traditional spinal implants, and the lack of relevant policies and regulations remain to be solved. 3D printing technique is able to provide individual and customized spinal implants for patients, which is helpful for the clinicians to perform operations much more accurately and safely. With the rapid development of 3D printing technology and new materials, more and more 3D printing spinal implants will be developed and used clinically.

  12. Photoluminescence and reflectivity of polymethylmethacrylate implanted by low-energy carbon ions at high fluences

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Zhu, Fei; Zhang, Bei; Liu, Huixian; Jia, Guangyi; Liu, Changlong

    2012-11-01

    Polymethylmethacrylate (PMMA) specimens were implanted with 30 keV carbon ions in a fluence range of 1 × 1016 to 2 × 1017 cm-2, and photoluminescence (PL) and reflectivity of the implanted samples were examined. A luminescent band with one peak was found in PL spectra excited by 480 nm line, but its intensity did not vary in parallel with ion fluence. The strongest PL occurred at the fluence of 5 × 1016 cm-2. Results from visible-light-excited micro-Raman spectra indicated that the formation of hydrogenated amorphous carbon structures in subsurface layer and their evolutions with ion fluence could be responsible for the observed PL responses. Measurements of the small-angle reflectance spectra from both the implanted and rear surfaces of samples in the ultraviolet-visible (UV-vis) range demonstrated a kind of both fluence-dependent and wavelength-related reflectivity variations, which were attributed to the structural changes induced by ion implantation. A noticeable reflectivity modification, which may be practically used, could be found at the fluence of 1 × 1016 cm-2.

  13. The Dermal Apron Technique for Immediate Implant Socket Management: A Novel Technique.

    PubMed

    Levin, Barry P

    2016-01-01

    With immediate implant placement and provisionalization (IIP) in the esthetic zone, measures to counter hard and soft tissue loss are frequently necessary. To reduce the morbidity associated with bone and connective tissue procurement, various exogenous materials are utilized. The "Dermal Apron Technique" presented in this article demonstrates the use of a composite bone particulate (allograft/xenograft) plus a dermal allograft, adapted around screw-retained temporary crowns and secured within a subperiosteal pouch. The purpose is to augment the thickness of peri-implant mucosa for the purpose of preserving ridge dimensions and preventing mucosal recession. Controlled studies are required to further support its use. Clinical significance: Soft tissue health and harmony are critical for successful implant therapy in the esthetic regions of the dentition. Often, autogenous soft tissue grafts are used to augment peri-implant soft tissues. The Dermal Apron Technique is a method, that in specific situations, obviates the need for autogenous grafting. This reduces treatment time and morbidity associated with procurement of these grafts. The Dermal Apron Technique is used simultaneous with immediate placement and provisionalization and can improve long-term esthetic outcomes for patients. © 2016 Wiley Periodicals, Inc.

  14. Influence of Au ions irradiation damage on helium implanted tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Cao, Xingzhong; Peng, Shixiang; Zhang, Ailin; Xue, Jianming; Wang, Yugang; Zhang, Peng; Wang, Baoyi

    2017-10-01

    The damages of implanted helium ions together with energetic neutrons in tungsten is concerned under the background of nuclear fusion related materials research. Helium is lowly soluble in tungsten and has high binding energy with vacancy. In present work, noble metal Au ions were used to study the synergistic effect of radiation damage and helium implantation. Nano indenter and the Doppler broaden energy spectrum of positron annihilation analysis measurements were used to research the synergy of radiation damage and helium implantation in tungsten. In the helium fluence range of 4.8 × 1015 cm-2-4.8 × 1016 cm-2, vacancies played a role of trappers only at the very beginning of bubble nucleation. The size and density is not determined by vacancies, but the effective capture radius between helium bubbles and scattered helium atoms. Vacancies were occupied by helium bubbles even at the lowest helium fluence, leaving dislocations and helium bubbles co-exist in tungsten materials.

  15. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  16. Rutherford Backscattering Spectrometry studies of 100 keV nitrogen ion implanted polypropylene polymer

    NASA Astrophysics Data System (ADS)

    Chawla, Mahak; Aggarwal, Sanjeev; Sharma, Annu

    2017-09-01

    The effect of nitrogen ion implantation on the structure and composition in polypropylene (PP) polymer has been studied. Implantation was carried out using 100 keV N+ ions at different fluences of 1 × 1015, 1 × 1016 and 1 × 1017 ions cm-2 with beam current density of ∼0.65 μA cm-2. Surface morphological changes in the pre- and post-implanted PP specimens have been studied using Rutherford Backscattering Spectrometry (RBS) and UV-Visible Spectroscopy. The spatial distribution of implantation induced modification in the form of carbonization and dehydrogenation in the near surface region of PP matrix, the projected range, retained dose of implanted nitrogen, the various elements present in the implanted layers and their differential cross-sections have been analyzed using RBS spectra. RUMP simulation yielded an increase in the concentration of carbon near the surface from 33 at.% (virgin) to 42 at.% at fluence of 1 × 1017 N+ cm-2. Further, optical absorption has been found to increase with a shift in the absorption edge from UV towards visible region with increasing fluence. UV-Vis absorption spectra also indicate a drastic decrease in optical energy gap from 4.12 eV (virgin) to 0.25 eV (1 × 1017 N+ cm-2) indicating towards the formation of carbonaceous network in the implanted region. All these changes observed using UV-Visible have been further correlated with the outcomes of the RBS characterization.

  17. Ion implantation enhanced metal-Si-metal photodetectors

    NASA Astrophysics Data System (ADS)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  18. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ye, Hui; Ma, Jingming; Feng, Chun; Cheng, Ying; Zhu, Suwen; Cheng, Beijiu

    2009-02-01

    In the process of the fermentation of steroid C11α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar+) and nitrogen ion (N+). The results showed that the optimal ion implantation was N+ with an optimum dose of 2.08 × 1015 ions/cm2, with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation.

  19. Magnesium ion implantation on a micro/nanostructured titanium surface promotes its bioactivity and osteogenic differentiation function

    PubMed Central

    Wang, Guifang; Li, Jinhua; Zhang, Wenjie; Xu, Lianyi; Pan, Hongya; Wen, Jin; Wu, Qianju; She, Wenjun; Jiao, Ting; Liu, Xuanyong; Jiang, Xinquan

    2014-01-01

    As one of the important ions associated with bone osseointegration, magnesium was incorporated into a micro/nanostructured titanium surface using a magnesium plasma immersion ion-implantation method. Hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 30 minutes (Mg30) and hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 60 minutes (Mg60) were used as test groups. The surface morphology, chemical properties, and amount of magnesium ions released were evaluated by field-emission scanning electron microscopy, energy dispersive X-ray spectroscopy, field-emission transmission electron microscopy, and inductively coupled plasma-optical emission spectrometry. Rat bone marrow mesenchymal stem cells (rBMMSCs) were used to evaluate cell responses, including proliferation, spreading, and osteogenic differentiation on the surface of the material or in their medium extraction. Greater increases in the spreading and proliferation ability of rBMMSCs were observed on the surfaces of magnesium-implanted micro/nanostructures compared with the control plates. Furthermore, the osteocalcin (OCN), osteopontin (OPN), and alkaline phosphatase (ALP) genes were upregulated on both surfaces and in their medium extractions. The enhanced cell responses were correlated with increasing concentrations of magnesium ions, indicating that the osteoblastic differentiation of rBMMSCs was stimulated through the magnesium ion function. The magnesium ion-implanted micro/nanostructured titanium surfaces could enhance the proliferation, spreading, and osteogenic differentiation activity of rBMMSCs, suggesting they have potential application in improving bone-titanium integration. PMID:24940056

  20. Does the Implant Surgical Technique Affect the Primary and/or Secondary Stability of Dental Implants? A Systematic Review

    PubMed Central

    Shadid, Rola Muhammed; Sadaqah, Nasrin Rushdi; Othman, Sahar Abdo

    2014-01-01

    Background. A number of surgical techniques for implant site preparation have been advocated to enhance the implant of primary and secondary stability. However, there is insufficient scientific evidence to support the association between the surgical technique and implant stability. Purpose. This review aimed to investigate the influence of different surgical techniques including the undersized drilling, the osteotome, the piezosurgery, the flapless procedure, and the bone stimulation by low-level laser therapy on the primary and/or secondary stability of dental implants. Materials and methods. A search of PubMed, Cochrane Library, and grey literature was performed. The inclusion criteria comprised observational clinical studies and randomized controlled trials (RCTs) conducted in patients who received dental implants for rehabilitation, studies that evaluated the association between the surgical technique and the implant primary and/or secondary stability. The articles selected were carefully read and classified as low, moderate, and high methodological quality and data of interest were tabulated. Results. Eight clinical studies were included then they were classified as moderate or high methodological quality and control of bias. Conclusions. There is a weak evidence suggesting that any of previously mentioned surgical techniques could influence the primary and/or secondary implant stability. PMID:25126094

  1. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  2. Accuracy of 3 different impression techniques for internal connection angulated implants.

    PubMed

    Tsagkalidis, George; Tortopidis, Dimitrios; Mpikos, Pavlos; Kaisarlis, George; Koidis, Petros

    2015-10-01

    Making implant impressions with different angulations requires a more precise and time-consuming impression technique. The purpose of this in vitro study was to compare the accuracy of nonsplinted, splinted, and snap-fit impression techniques of internal connection implants with different angulations. An experimental device was used to allow a clinical simulation of impression making by means of open and closed tray techniques. Three different impression techniques (nonsplinted, acrylic-resin splinted, and indirect snap-fit) for 6 internal-connected implants at different angulations (0, 15, 25 degrees) were examined using polyether. Impression accuracy was evaluated by measuring the differences in 3-dimensional (3D) position deviations between the implant body/impression coping before the impression procedure and the coping/laboratory analog positioned within the impression, using a coordinate measuring machine. Data were analyzed by 2-way ANOVA. Means were compared with the least significant difference criterion at P<.05. Results showed that at 25 degrees of implant angulation, the highest accuracy was obtained with the splinted technique (mean ±SE: 0.39 ±0.05 mm) and the lowest with the snap-fit technique (0.85 ±0.09 mm); at 15 degrees of angulation, there were no significant differences among splinted (0.22 ±0.04 mm) and nonsplinted technique (0.15 ±0.02 mm) and the lowest accuracy obtained with the snap-fit technique (0.95 ±0.15 mm); and no significant differences were found between nonsplinted and splinted technique at 0 degrees of implant placement. Splinted impression technique exhibited a higher accuracy than the other techniques studied when increased implant angulations at 25 degrees were involved. Copyright © 2015 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  3. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation

    PubMed Central

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F.; Wang, Jian-Ping

    2016-01-01

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 107 erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25–30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 1017/cm2. PMID:27145983

  4. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation.

    PubMed

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F; Wang, Jian-Ping

    2016-05-05

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 10(7) erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25-30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 10(17)/cm(2).

  5. Positron and nanoindentation study of helium implanted high chromium ODS steels

    NASA Astrophysics Data System (ADS)

    Veternikova, Jana Simeg; Fides, Martin; Degmova, Jarmila; Sojak, Stanislav; Petriska, Martin; Slugen, Vladimir

    2017-12-01

    Three oxide dispersion strengthened (ODS) steels with different chromium content (MA 956, MA 957 and ODM 751) were studied as candidate materials for new nuclear reactors in term of their radiation stability. The radiation damage was experimentally simulated by helium ion implantation with energy of ions up to 500 keV. The study was focused on surface and sub-surface structural change due to the ion implantation observed by mostly non-destructive techniques: positron annihilation lifetime spectroscopy and nanoindentation. The applied techniques demonstrated the best radiation stability of the steel ODM 751. Blistering effect occurred due to high implantation dose (mostly in MA 956) was studied in details.

  6. Influence of ion-implanted profiles on the performance of GaAs MESFET's and MMIC amplifiers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlidis, D.; Cazaux, J.L.; Graffeuil, J.

    1988-04-01

    The RF small-signal performance of GaAs MESFET's and MMIC amplifiers as a function of various ion-implanted profiles is theoretically and experimentally investigated. Implantation energy, dose, and recess depth influence are theoretically analyzed with the help of a specially developed device simulator. The performance of MMIC amplifiers processed with various energies, doses, recess depths, and bias conditions is discussed and compared to experimental characteristics. Some criteria are finally proposed for the choice of implantation conditions and process in order to optimize the characteristics of ion-implanted FET's and to realize process-tolerant MMIC amplifiers.

  7. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  8. Soft-Tissue Grafting Techniques Associated With Immediate Implant Placement.

    PubMed

    Bishara, Mark; Kurtzman, Gregori M; Khan, Waji; Choukroun, Joseph; Miron, Richard J

    2018-02-01

    Immediate implant placement often presents challenges in terms of predictably obtaining soft-tissue coverage over the implant site. While delayed implant placement offers the ability for soft tissues to grow and invade the extraction socket making their attachment around implants more predictable, immediate implant placement poses a significant risk of bacterial invasion towards the implant surface as a result of insignificant soft-tissue volume. Soft-tissue grafting techniques have often been proposed for use during immediate implant placement to augment soft-tissue deficiencies, including the use of either palatal connective tissue grafts (CTGs) or collagen-derived scaffolds. However, both of these approaches have significant drawbacks in that CTGs are harvested with high patient morbidity and collagen scaffolds remain avascular and acelluar posing a risk of infection/implant contamination. More recently, platelet-rich fibrin (PRF) has been proposed as an economical and biological means to speed soft-tissue wound healing. In combination with immediate implant placement, PRF offers an easily procurable low-cost regenerative modality that offers an efficient way to improve soft-tissue attachment around implants. Furthermore, the supra-physiological concentration of defense-fighting leukocytes in PRF, combined with a dense fibrin meshwork, is known to prevent early bacterial contamination of implant surfaces, and the biological concentrations of autologous growth factors in PRF is known to increase tissue regeneration. This article discusses soft-tissue grafting techniques associated with immediate implant placement, presents several cases demonstrating the use of PRF in routine immediate implant placement, and further discusses the biological and economic advantages of PRF for the management of soft-tissue grafting during immediate implant placement.

  9. Electrical enhancement of direct methanol fuel cells by metal-plasma ion implantation Pt-Ru/C multilayer catalysts.

    PubMed

    Weng, Ko-Wei; Chen, Yung-Lin; Chen, Ya-Chi; Lin, Tai-Nan

    2009-02-01

    Direct methanol fuel cells (DMFC) have been widely studied owing to their simple cell configuration, high volume energy density, short start-up time, high operational reliability and other favorable characteristics. However, major limitations include high production cost, poisoning of the catalyst and methanol crossover. This study adopts a simple technique for preparing Pt-Ru/C multilayer catalysts, including magnetron sputtering (MS) and metal-plasma ion implantation (MPII). The Pt catalysts were sputtered onto the gas diffusion layer (GDL), followed by the implantation of Ru catalysts using MPII (at an accelerating voltage of 20 kV and an implantation dose of 1 x 10(16) ions/cm2). Pt-Ru is repeatedly processed to prepare Pt-Ru/C multilayer catalysts. The catalyst film structure and microstructure were analyzed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electronic microscopy (SEM), respectively. The cell performance was tested using a potential stat/galvano-stat. The results reveal that the membrane electrode assembly (MEA) of four multilayer structures enhances the cell performance of DMFC. The measured power density is 2.2 mW/cm2 at a methanol concentration of 2 M, with an OCV of 0.493 V.

  10. Mechanical properties of pulsed laser-deposited hydroxyapatite thin films implanted at high energy with N + and Ar + ions. Part II: nano-scratch tests with spherical tipped indenter

    NASA Astrophysics Data System (ADS)

    Pelletier, H.; Nelea, V.; Mille, P.; Muller, D.

    2004-02-01

    In this study we report a method to improve the adherence of hydroxyapatite (HA) thin films, using an ion beam implantation treatment. Crystalline HA films were grown by pulsed laser deposition technique (PLD), using an excimer KrF * laser. The films were deposited at room temperature in vacuum on Ti-5Al-2.5Fe alloy substrates previously coated with a ceramic TiN buffer layer and then annealed in ambient air at (500-600) °C. After deposition the films were implanted with N + and Ar + ions accelerated at high energy (1-1.5 MeV range) at a fixed dose of 10 16 cm -2. The intrinsic mechanical resistance and adherence to the TiN buffer layer of the implanted HA films have been evaluated by nano-scratch tests. We used for measurements a spherical indenter with a tip radius of 5 μm. Different scratch tests have been performed on implanted and unimplanted areas of films to put into evidence the effects of N + and Ar + ion implantation process on the films properties. Results show an enhancement of the dynamic mechanical properties in the implanted zones and influence of the nature of the implanted species. The best results are obtained for films implanted with nitrogen.

  11. Comparison of Dimensional Accuracy between Open-Tray and Closed-Tray Implant Impression Technique in 15° Angled Implants

    PubMed Central

    Balouch, F; Jalalian, E; Nikkheslat, M; Ghavamian, R; Toopchi, Sh; Jallalian, F; Jalalian, S

    2013-01-01

    Statement of Problem: Various impression techniques have different effects on the accuracy of final cast dimensions. Meanwhile; there are some controversies about the best technique. Purpose: This study was performed to compare two kinds of implant impression methods (open tray and closed tray) on 15 degree angled implants. Materials and Method: In this experimental study, a steel model with 8 cm in diameter and 3 cm in height were produced with 3 holes devised inside to stabilize 3 implants. The central implant was straight and the other two implants were 15° angled. The two angled implants had 5 cm distance from each other and 3.5 cm from the central implant. Dental stone, high strength (type IV) was used for the main casts. Impression trays were filled with poly ether, and then the two impression techniques (open tray and closed tray) were compared. To evaluate positions of the implants, each cast was analyzed by CMM device in 3 dimensions (x,y,z). Differences in the measurements obtained from final casts and laboratory model were analyzed using t-Test. Results: The obtained results indicated that closed tray impression technique was significantly different in dimensional accuracy when compared with open tray method. Dimensional changes were 129 ± 37μ and 143.5 ± 43.67μ in closed tray and open tray, while coefficient of variation in closed- tray and open tray were reported to be 27.2% and 30.4%, respectively. Conclusion: Closed impression technique had less dimensional changes in comparison with open tray method, so this study suggests that closed tray impression technique is more accurate. PMID:24724130

  12. Evaluation of ion-implanted-silicon detectors for use in intraoperative positron-sensitive probes.

    PubMed

    Raylman, R R; Wahl, R L

    1996-11-01

    The continuing development of probes for use with beta (positron and electron) emitting radionuclides may result in more complete excision of tracer-avid tumors. Perhaps one of the most promising radiopharmaceuticals for this task is 18F-labeled-Fluoro-2-Deoxy-D-Glucose (FDG). This positron-emitting agent has been demonstrated to be avidly and rapidly absorbed by many human cancers. We have investigated the use of ion-implanted-silicon detectors in intraoperative positron-sensitive surgical probes for use with FDG. These detectors possess very high positron detection efficiency, while the efficiency for 511 keV photon detection is low. The spatial resolution, as well as positron and annihilation photon detection sensitivity, of an ion-implanted-silicon detector used with 18F was measured at several energy thresholds. In addition, the ability of the device to detect the presence of relatively small amounts of FDG during surgery was evaluated by simulating a surgical field in which some tumor was left intact following lesion excision. The performance of the ion-implanted-silicon detector was compared to the operating characteristics of a positron-sensitive surgical probe which utilizes plastic scintillator. In all areas of performance the ion-implanted-silicon detector proved superior to the plastic scintillator-based probe. At an energy threshold of 14 keV positron sensitivity measured for the ion-implanted-silicon detector was 101.3 cps/kBq, photon sensitivity was 7.4 cps/kBq. In addition, spatial resolution was found to be relatively unaffected by the presence of distant sources of annihilation photon flux. Finally, the detector was demonstrated to be able to localize small amounts of FDG in a simulated tumor bed; indicating that this device has promise as a probe to aid in FDG-guided surgery.

  13. Raman Scattering Studies on Ag Nanocluster Composites Formed by Ion Implantation into Silica

    NASA Astrophysics Data System (ADS)

    Ren, Feng; Jiang, Chang Zhong; Fu, De Jun; Fu, Qiang

    2005-12-01

    Highly-pure amorphous silica slides were implanted by 200 keV Ag ions with doses ranged from 1× 1016 to 2× 1017 ions/cm2. Optical absorption spectra show that Ag nanoclusters with various sizes have been formed. Enhancement of surface enhanced Raman scattering signal by a factor up to about 103 was obtained by changing the Ag particle size. The silica was damaged by the implanted Ag ions, and the large compression stress on the silica leads to the shift of Raman peaks. New bands at 1368 and 1586 cm-1, which are attributed to the vibration of Ag-O bond and O2 molecules in silica, are observed in the samples with doses higher than 1× 1017 ions/cm2.

  14. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    PubMed

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  15. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    PubMed Central

    2018-01-01

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive. PMID:29695109

  16. Integration of Ion Implantation with Scanning ProbeAlignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Rangelow, I.W.; Schenkel, T.

    We describe a scanning probe instrument which integrates ion beams with imaging and alignment functions of a piezo resistive scanning probe in high vacuum. Energetic ions (1 to a few hundred keV) are transported through holes in scanning probe tips [1]. Holes and imaging tips are formed by Focused Ion Beam (FIB) drilling and ion beam assisted thin film deposition. Transport of single ions can be monitored through detection of secondary electrons from highly charged dopant ions (e. g., Bi{sup 45+}) enabling single atom device formation. Fig. 1 shows SEM images of a scanning probe tip formed by ion beammore » assisted Pt deposition in a dual beam FIB. Ion beam collimating apertures are drilled through the silicon cantilever with a thickness of 5 {micro}m. Aspect ratio limitations preclude the direct drilling of holes with diameters well below 1 {micro}m, and smaller hole diameters are achieved through local thin film deposition [2]. The hole in Fig. 1 was reduced from 2 {micro}m to a residual opening of about 300 nm. Fig. 2 shows an in situ scanning probe image of an alignment dot pattern taken with the tip from Fig. 1. Transport of energetic ions through the aperture in the scanning probe tip allows formation of arbitrary implant patterns. In the example shown in Fig. 2 (right), a 30 nm thick PMMA resist layer on silicon was exposed to 7 keV Ar{sup 2+} ions with an equivalent dose of 10{sup 14} ions/cm{sup 2} to form the LBL logo. An exciting goal of this approach is the placement of single dopant ions into precise locations for integration of single atom devices, such as donor spin based quantum computers [3, 4]. In Fig. 3, we show a section of a micron size dot area exposed to a low dose (10{sup 11}/cm{sup 2}) of high charge state dopant ions. The Bi{sup 45+} ions (200 keV) were extracted from a low emittance highly charged ions source [5]. The potential energy of B{sup 45+}, i. e., the sum of the binding energies required to remove the electrons, amounts to 36

  17. Optical characteristics of composites obtained by ion implantation of silver ions in polyethylene terephthalate

    NASA Astrophysics Data System (ADS)

    Bumai, Yu. A.; Volobuev, V. S.; Valeev, V. F.; Dolgikh, N. I.; Lukashevich, M. G.; Khaibullin, R. I.; Nuzhdin, V. I.; Odzhaev, V. B.

    2012-11-01

    Metal-polymer composites are obtained by implantation of 30 keV silver ions at doses D = 1•1016-1.5•1017 cm-2 and ion current densities j = 4.0 μA/cm2 in films of polyethylene terephthalate. The spectral dependences of the reflection, transmission, and extinction coefficients for wavelengths of 190-1100 nm are studied. The reflection bands at λ1 = 205 nm and λ2 = 260 nm are found to be enhanced for light incident on the unimplanted side. Surface plasmon resonances on the silver nanoparticles are investigated. The refractive index of the modified layer is calculated and the sizes of the silver nanoparticles are estimated using a two-layer model of this structure together with the optical measurements. Depending on the implantation dose, these are found to vary over 1.3-2.8 and 5-20 nm, respectively.

  18. Hardening of Metallic Materials Using Plasma Immersion Ion Implantation (PIII)

    NASA Astrophysics Data System (ADS)

    Xu, Yufan; Clark, Mike; Flanagan, Ken; Milhone, Jason; Nonn, Paul; Forest, Cary

    2016-10-01

    A new approach of Plasma Immersion Ion Implantation (PIII) has been developed with the Plasma Couette Experiment Upgrade (PCX-U). The new approach efficiently reduces the duty cycle under the same average power for PIII. The experiment uses a Nitrogen plasma at a relatively high density of 1010 1011 cm-3 with ion temperatures of < 2 eV and electron temperature of 5 10 eV. The pulser for this PIII experiment has a maximum negative bias greater than 20kV, with 60Hz frequency and a 8 μs on-time in one working cycle. The samples (Alloy Steel 9310) are analyzed by a Vicker Hardness Tester to study the hardness and X-ray Photoelectron Spectroscopy (XPS) to study implantation density and depth. Different magnetic fields are also applied on samples to reduce the energy loss and secondary emission. Higher efficiency of implantation is expected from this experiment and the results will be presented. Hilldale Undergraduate/Faculty Research Fellowship of University of Wisconsin-Madison; Professor Cary Forest's Kellett Mid-Career Faculty Award.

  19. Postextraction Dental Implant in the Aesthetic Zone, Socket Shield Technique Versus Conventional Protocol.

    PubMed

    Bramanti, Ennio; Norcia, Antonio; Cicciù, Marco; Matacena, Giada; Cervino, Gabriele; Troiano, Giuseppe; Zhurakivska, Khrystyna; Laino, Luigi

    2018-06-01

    The aim of this randomized controlled trial was to evaluate the survival rate, the marginal bone level, and the aesthetic outcome; at 3 years' follow-up, of dental implants placed into a high-esthetic aesthetic zone by comparing 2 techniques of postextraction implant with immediate loading: the socket shied technique and the conventional insertion technique.Several clinical studies suggested that the avulsion of a dental element causes dimensional alterations of both soft and hard tissues at the postextractive site. To increase the aesthetic outcomes, the "socket-shield technique" has been proposed. This method involves maintaining the vestibular root portion and immediate insertion of the dental implant in close proximity to the root.Patients enrolled in this study were randomized to receive a postextraction implant in the aesthetic zone, either with the socket shied technique or with the conventional insertion technique. Implant survival, marginal bone level, and the pink aesthetic score were the outcomes evaluated.Implant survival rate was 100% in both the groups at 3 years. Implants inserted with the socket shield technique showed better values of both marginal bone level and pink aesthetic score (P < 0.05).Although such preliminary results need to be further confirmed, the socket shield technique seems to be a safe surgical technique that allows an implant rehabilitation characterized by better aesthetic outcomes.

  20. Versatile, high-sensitivity faraday cup array for ion implanters

    DOEpatents

    Musket, Ronald G.; Patterson, Robert G.

    2003-01-01

    An improved Faraday cup array for determining the dose of ions delivered to a substrate during ion implantation and for monitoring the uniformity of the dose delivered to the substrate. The improved Faraday cup array incorporates a variable size ion beam aperture by changing only an insertable plate that defines the aperture without changing the position of the Faraday cups which are positioned for the operation of the largest ion beam aperture. The design enables the dose sensitivity range, typically 10.sup.11 -10.sup.18 ions/cm.sup.2 to be extended to below 10.sup.6 ions/cm.sup.2. The insertable plate/aperture arrangement is structurally simple and enables scaling to aperture areas between <1 cm.sup.2 and >750 cm.sup.2, and enables ultra-high vacuum (UHV) applications by incorporation of UHV-compatible materials.

  1. Investigation of radiation hardened SOI wafer fabricated by ion-cut technique

    NASA Astrophysics Data System (ADS)

    Chang, Yongwei; Wei, Xing; Zhu, Lei; Su, Xin; Gao, Nan; Dong, Yemin

    2018-07-01

    Total ionizing dose (TID) effect on Silicon-on-Insulator (SOI) wafers due to inherent buried oxide (BOX) is a significant concern as it leads to the degradation of electrical properties of SOI-based devices and circuits, even failures of the systems associated with them. This paper reports the radiation hardening implementation of SOI wafer fabricated by ion-cut technique integrated with low-energy Si+ implantation. The electrical properties and radiation response of pseudo-MOS transistors are analyzed. The results demonstrate that the hardening process can significantly improve the TID tolerance of SOI wafers by generating Si nanocrystals (Si-NCs) within the BOX. The presence of Si-NCs created through Si+ implantation is evidenced by high-resolution transmission electron microscopy (HR-TEM). Under the pass gate (PG) irradiation bias, the anti-radiation properties of H-gate SOI nMOSFETs suggest that the radiation hardened SOI wafers with optimized Si implantation dose can perform effectively in a radiation environment. The radiation hardening process provides an excellent way to reinforce the TID tolerance of SOI wafers.

  2. Fabrication and high temperature characteristics of ion-implanted GaAs bipolar transistors and ring-oscillators

    NASA Technical Reports Server (NTRS)

    Doerbeck, F. H.; Yuan, H. T.; Mclevige, W. V.

    1981-01-01

    Ion implantation techniques that permit the reproducible fabrication of bipolar GaAs integrated circuits are studied. A 15 stage ring oscillator and discrete transistor were characterized between 25 and 400 C. The current gain of the transistor was found to increase slightly with temperature. The diode leakage currents increase with an activation energy of approximately 1 eV and dominate the transistor leakage current 1 sub CEO above 200 C. Present devices fail catastrophically at about 400 C because of Au-metallization.

  3. A Simplified Technique for Implant-Abutment Level Impression after Soft Tissue Adaptation around Provisional Restoration

    PubMed Central

    Kutkut, Ahmad; Abu-Hammad, Osama; Frazer, Robert

    2016-01-01

    Impression techniques for implant restorations can be implant level or abutment level impressions with open tray or closed tray techniques. Conventional implant-abutment level impression techniques are predictable for maximizing esthetic outcomes. Restoration of the implant traditionally requires the use of the metal or plastic impression copings, analogs, and laboratory components. Simplifying the dental implant restoration by reducing armamentarium through incorporating conventional techniques used daily for crowns and bridges will allow more general dentists to restore implants in their practices. The demonstrated technique is useful when modifications to implant abutments are required to correct the angulation of malpositioned implants. This technique utilizes conventional crown and bridge impression techniques. As an added benefit, it reduces costs by utilizing techniques used daily for crowns and bridges. The aim of this report is to describe a simplified conventional impression technique for custom abutments and modified prefabricated solid abutments for definitive restorations. PMID:29563457

  4. Synthesis of sponge-like hydrophobic NiBi3 surface by 200 keV Ar ion implantation

    NASA Astrophysics Data System (ADS)

    Siva, Vantari; Datta, D. P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-07-01

    Sponge-like nanostructures develop under Ar-ion implantation of a Ni-Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  5. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  6. Friction wear and auger analysis of iron implanted with 1.5-MeV nitrogen ions

    NASA Technical Reports Server (NTRS)

    Ferrante, J.; Jones, W. R., Jr.

    1982-01-01

    The effect of implantation of 1.5-MeV nitrogen ions on the friction and wear characteristics of pure iron sliding against steel was studied in a pin-on disk apparatus. An implantation dose of 5 x 10 to the 17th power ions/sq cm was used. Small reductions in initial and steady-state wear rates were observed for nitrogen-implanted iron riders as compared with unimplanted controls. Auger electron spectroscopy revealed a subsurface Gaussian nitrogen distribution with a maximum concentration of 15 at. % at a depth of 8 x 10 to the -7th m. A similar analysis within the wear scar of an implanted rider after 20 microns of wear yielded only background nitrogen concentration, thus giving no evidence for diffusion of nitrogen beyond the implanted range.

  7. Combined Soft and Hard Tissue Peri-Implant Plastic Surgery Techniques to Enhance Implant Rehabilitation: A Case Report

    PubMed Central

    Baltacıoğlu, Esra; Korkmaz, Fatih Mehmet; Bağış, Nilsun; Aydın, Güven; Yuva, Pınar; Korkmaz, Yavuz Tolga; Bağış, Bora

    2014-01-01

    This case report presents an implant-aided prosthetic treatment in which peri-implant plastic surgery techniques were applied in combination to satisfactorily attain functional aesthetic expectations. Peri-implant plastic surgery enables the successful reconstruction and restoration of the balance between soft and hard tissues and allows the option of implant-aided fixed prosthetic rehabilitation. PMID:25489351

  8. Synthesis of graphene and graphene nanostructures by ion implantation and pulsed laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaotie; Rudawski, Nicholas G.; Appleton, Bill R.

    2016-07-14

    In this paper, we report a systematic study that shows how the numerous processing parameters associated with ion implantation (II) and pulsed laser annealing (PLA) can be manipulated to control the quantity and quality of graphene (G), few-layer graphene (FLG), and other carbon nanostructures selectively synthesized in crystalline SiC (c-SiC). Controlled implantations of Si{sup −} plus C{sup −} and Au{sup +} ions in c-SiC showed that both the thickness of the amorphous layer formed by ion damage and the doping effect of the implanted Au enhance the formation of G and FLG during PLA. The relative contributions of the amorphousmore » and doping effects were studied separately, and thermal simulation calculations were used to estimate surface temperatures and to help understand the phase changes occurring during PLA. In addition to the amorphous layer thickness and catalytic doping effects, other enhancement effects were found to depend on other ion species, the annealing environment, PLA fluence and number of pulses, and even laser frequency. Optimum II and PLA conditions are identified and possible mechanisms for selective synthesis of G, FLG, and carbon nanostructures are discussed.« less

  9. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  10. Thermal annealing behavior of hydrogen and surface topography of H 2 + ion implanted tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiandong; Jiang, Weilin; Zhu, Zihua

    2018-01-25

    Tungsten (W) has been proposed as a plasma-facing material (PFM) in fusion reactors due to its outstanding properties. Degradation of the material properties is expected to occur as a result of hydrogen (H) isotope permeation and trapping in W. In this study, two polycrystalline W plates were implanted with 80 keV H 2 + ions to a fluence of 2E21 H+/m2 at room temperature (RT). Time-of-flight secondary ion mass spectrometry (ToF-SIMS), focused ion beam (FIB) and scanning electron microscopy (SEM) were used for sample characterization. The SIMS data shows that H atoms are distributed well beyond the ion projected range.more » Isochronal annealing appears to suggest two H release stages that might be associated with the reported activation energies. H release at RT was observed between days 10 and 70 following ion implantation, and the level was maintained over the next 60 days. In addition, FIB/SEM results exhibit H2 blister formation near the surface of the as-implanted W. The blister distribution remains unchanged after thermal annealing up to 600 °C.« less

  11. Nitrogen implantation with a scanning electron microscope.

    PubMed

    Becker, S; Raatz, N; Jankuhn, St; John, R; Meijer, J

    2018-01-08

    Established techniques for ion implantation rely on technically advanced and costly machines like particle accelerators that only few research groups possess. We report here about a new and surprisingly simple ion implantation method that is based upon a widespread laboratory instrument: The scanning electron microscope. We show that it can be utilized to ionize atoms and molecules from the restgas by collisions with electrons of the beam and subsequently accelerate and implant them into an insulating sample by the effect of a potential building up at the sample surface. Our method is demonstrated by the implantation of nitrogen ions into diamond and their subsequent conversion to nitrogen vacancy centres which can be easily measured by fluorescence confocal microscopy. To provide evidence that the observed centres are truly generated in the way we describe, we supplied a 98% isotopically enriched 15 N gas to the chamber, whose natural abundance is very low. By employing the method of optically detected magnetic resonance, we were thus able to verify that the investigated centres are actually created from the 15 N isotopes. We also show that this method is compatible with lithography techniques using e-beam resist, as demonstrated by the implantation of lines using PMMA.

  12. Lithium Nitride Synthesized by in situ Lithium Deposition and Ion Implantation for Boron Neutron Capture Therapy

    NASA Astrophysics Data System (ADS)

    Ishitama, Shintaro; Baba, Yuji; Fujii, Ryo; Nakamura, Masaru; Imahori, Yoshio

    Li3N synthesis on Li deposition layer was conducted without H2O and O2 by in situ lithium deposition in high vacuum chamber of 10-6 Pa and ion implantation techniques and the thermo-chemical stability of the Li3N/Li/Cu tri-layered target for Boron Neutron Capture Therapy (BNCT) under laser heating and air exposure was characterized by X-ray photoelectron spectroscopy (XPS). Following conclusions were derived; (1) Li3N/Li/Cu tri-layered target with very low oxide and carbon contamination was synthesized by in situ lithium vacuum deposition and N2+ ion implantation without H2O and O2 additions, (2) The starting temperature of evaporation of Li3N/Li/Cu tri-layered target increased by 120K compared to that of the Li/Cu target and (3) Remarkable oxidation and carbon contamination were observed on the surface of Li3N/Li/Cu after air exposure and these contaminated compositions was not removed by Ar+ heavy sputtering.

  13. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  14. Nanoscale fabrication using single-ion impacts

    NASA Astrophysics Data System (ADS)

    Millar, Victoria; Pakes, Chris I.; Cimmino, Alberto; Brett, David; Jamieson, David N.; Prawer, Steven D.; Yang, Changyi; Rout, Bidhudutta; McKinnon, Rita P.; Dzurak, Andrew S.; Clark, Robert G.

    2001-11-01

    We describe a novel technique for the fabrication of nanoscale structures, based on the development of localized chemical modification caused in a PMMA resist by the implantation of single ions. The implantation of 2 MeV He ions through a thin layer of PMMA into an underlying silicon substrate causes latent damage in the resist. On development of the resist we demonstrate the formation within the PMMA layer of clearly defined etched holes, of typical diameter 30 nm, observed using an atomic force microscope employing a carbon nanotube SPM probe in intermittent-contact mode. This technique has significant potential applications. Used purely to register the passage of an ion, it may be a useful verification of the impact sites in an ion-beam modification process operating at the single-ion level. Furthermore, making use of the hole in the PMMA layer to perform subsequent fabrication steps, it may be applied to the fabrication of self-aligned structures in which surface features are fabricated directly above regions of an underlying substrate that are locally doped by the implanted ion. Our primary interest in single-ion resists relates to the development of a solid-state quantum computer based on an array of 31P atoms (which act as qubits) embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. A single-ion resist would permit an accurate verification of 31P implantation sites. Subsequent metalisation of the latent damage may allow the fabrication of self-aligned metal gates above buried phosphorous atoms.

  15. Ion implantation effects in 'cosmic' dust grains

    NASA Technical Reports Server (NTRS)

    Bibring, J. P.; Langevin, Y.; Maurette, M.; Meunier, R.; Jouffrey, B.; Jouret, C.

    1974-01-01

    Cosmic dust grains, whatever their origin may be, have probably suffered a complex sequence of events including exposure to high doses of low-energy nuclear particles and cycles of turbulent motions. High-voltage electron microscope observations of micron-sized grains either naturally exposed to space environmental parameters on the lunar surface or artificially subjected to space simulated conditions strongly suggest that such events could drastically modify the mineralogical composition of the grains and considerably ease their aggregation during collisions at low speeds. Furthermore, combined mass spectrometer and ionic analyzer studies show that small carbon compounds can be both synthesized during the implantation of a mixture of low-energy D, C, N ions in various solids and released in space by ion sputtering.

  16. Synergistic Effects of Iodine and Silver Ions Co-Implanted in 6H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuhudzai, Remeredzai J.; Malherbe, Johan; Hlatshwayo, T. T.

    2015-10-23

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behavior has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag inmore » the co-implanted samples after annealing at 1500 ºC for 30 hours in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.« less

  17. Evaluation of primary and secondary stability of titanium implants using different surgical techniques.

    PubMed

    Tabassum, Afsheen; Meijer, Gert J; Walboomers, X Frank; Jansen, John A

    2014-04-01

    To investigate the influence of different surgical techniques on the primary and secondary implant stability using trabecular bone of goats as an implantation model. In the iliac crest of eight goats, 48 cylindrical-screw-type implants with a diameter of 4.2 mm (Dyna(®) ; Bergen op Zoom, the Netherlands) were installed, using three different surgical techniques: (i) 5% undersized, using a final drill diameter of 4 mm; (ii) 15% undersized, using a final drill diameter of 3.6 mm; and (iii) 25% undersized, using a final drill diameter of 3.2 mm. Peak insertion torque values were measured by a Digital(®) (MARK-10 Corporation, New York, NY, USA) torque gauge instrument during placement. At 3 weeks after implantation, removal torque was measured. Histomorphometrically, the peri-implant bone volume was measured in three zones; the inner zone (0-500 μm), the middle zone (500-1000 μm) and the outer zone (1000-1500 μm). Evaluation of the obtained data demonstrated no statistically significant difference between different surgical techniques regarding removal torque values. With respect to the percentage peri-implant bone volume (%BV), also no significant difference could be observed between all three applied surgical techniques for both the inner, middle and outer zone. However, irrespective of the surgical technique, it was noticed that the %BV was significantly higher for the inner zone as compared to middle and outer zone (P < 0.05) around the implant. At 3 weeks after implant installation, independent of the used undersized surgical technique, the %BV in the inner zone (0-500 μm) peri-implant area was improved due to both condensation of the surrounding bone as also the translocation of host bone particles along the implant surface. Surprisingly, no mechanical beneficial effect of the 25% undersized surgical technique could be observed as compared to the 5% or 15% undersized surgical technique to improve primary or secondary implant stability. © 2013

  18. The Optical Properties of Ion Implanted Silica

    NASA Technical Reports Server (NTRS)

    Smith, Cydale C.; Ila, D.; Sarkisov, S.; Williams, E. K.; Poker, D. B.; Hensley, D. K.

    1997-01-01

    We will present our investigation on the change in the optical properties of silica, 'suprasil', after keV through MeV implantation of copper, tin, silver and gold and after annealing. Suprasil-1, name brand of silica glass produced by Hereaus Amerisil, which is chemically pure with well known optical properties. Both linear nonlinear optical properties of the implanted silica were investigated before and after thermal annealing. All implants, except for Sn, showed strong optical absorption bands in agreement with Mie's theory. We have also used Z-scan to measure the strength of the third order nonlinear optical properties of the produced thin films, which is composed of the host material and the metallic nanoclusters. For implants with a measurable optical absorption band we used Doyle's theory and the full width half maximum of the absorption band to calculate the predicted size of the formed nanoclusters at various heat treatment temperatures. These results are compared with those obtained from direct observation using transmission electron microscopic techniques.

  19. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  20. The effects on γ-LiAlO2 induced by nuclear energy losses during Ga ions implantation

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Yu, Xiao-Fei; Wang, Tie-Jun; Wang, Xue-Lin

    2017-09-01

    To explore the evolution of γ-LiAlO2 under ion irradiation at low energy, we implanted Ga ions of 30, 80 and 150 keV at fluences of 1 × 1014 and 1 × 1015 ions/cm2 in z-cut γ-LiAlO2 samples, respectively. The implantation resulted in damage regions dominated by nuclear energy losses at depth of 232 Å, 514 Å, and 911 Å beneath the surface, respectively, which was simulated by the Stopping and Range of Ions in Matter program. The irradiated γ-LiAlO2 were characterized with atomic force microscope, Raman spectroscopy, X-ray diffraction and Rutherford backscattering in a channeling mode for morphology evolution, structure information and damage profiles. The interesting and partly abnormal results showed the various behaviors in modification of surface by Ga ions implantation.

  1. Accuracy of Different Implant Impression Techniques: Evaluation of New Tray Design Concept.

    PubMed

    Liu, David Yu; Cader, Fathima Nashmie; Abduo, Jaafar; Palamara, Joseph

    2017-12-29

    To evaluate implant impression accuracy with a new tray design concept in comparison to nonsplinted and splinted impression techniques for a 2-implant situation. A reference bar titanium framework was fabricated to fit on 2 parallel implants. The framework was used to generate a resin master model with 2 implants that fit precisely against the framework. Three impression techniques were evaluated: (1) nonsplinted, (2) splinted, and (3) nonsplinted with modified tray impressions. All the trays were fabricated from light-cured acrylic resin material with openings that corresponded to the implant impression copings. Ten impressions were taken for each technique using poly(vinyl siloxane) impression material. The impressions were poured with type IV dental stone to generate the test casts. A rosette strain gauge was bonded to the middle of the framework. As the framework retaining screws were tightened on each test cast, the developed strains were recorded until the completion of the tightening to 35 Ncm. The generated strains of the rosette strain gauge were used to calculate the maximum principal strain. A statistically significant difference was observed among the different impression techniques. The modified tray design impression technique was associated with the least framework strains, which indicates greater accuracy compared with the other techniques. There was no significant difference between the splinted and the nonsplinted impression techniques. The new tray design concept appeared to produce more accurate implant impressions than the other techniques. Despite the statistical difference among the impression techniques, the clinical significance of this difference is yet to be determined. © 2017 by the American College of Prosthodontists.

  2. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  3. Potential release of in vivo trace metals from metallic medical implants in the human body: from ions to nanoparticles--a systematic analytical review.

    PubMed

    Matusiewicz, Henryk

    2014-06-01

    Metal ion release from metallic materials, e.g. metallic alloys and pure metals, implanted into the human body in dental and orthopedic surgery is becoming a major cause for concern. This review briefly provides an overview of both metallic alloys and pure metals used in implant materials in dental and orthopedic surgery. Additionally, a short section is dedicated to important biomaterials and their corrosive behavior in both real solutions and various types of media that model human biological fluids and tissues. The present review gives an overview of analytical methods, techniques and different approaches applied to the measurement of in vivo trace metals released into body fluids and tissues from patients carrying metal-on-metal prostheses and metal dental implants. Reference levels of ion concentrations in body fluids and tissues that have been determined by a host of studies are compiled, reviewed and presented in this paper. Finally, a collection of published clinical data on in vivo released trace metals from metallic medical implants is included. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Electrical properties of PMMA ion-implanted with low-energy Si+ beam

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Gueorguiev, V. K.; Ivanov, Tz E.; Marinov, Y. G.; Ivanov, V. G.; Faulques, E.

    2010-01-01

    The electrical properties of polymethylmethacrylate (PMMA) after implantation with silicon ions accelerated to an energy of 50 keV are studied under DC electric bias field. The electrical response of the formed material is examined as a function of Si+ fluence in the range 1014 - 1017 cm-2. The carbonaceous subsurface region of the Si+-implanted PMMA displays a significant DC conductivity and a sizable field effect that can be used for electronic applications.

  5. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    NASA Astrophysics Data System (ADS)

    Xu, Juan; Ding, Gang; Li, Jinlu; Yang, Shenhui; Fang, Bisong; Sun, Hongchen; Zhou, Yanmin

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased ( p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  6. Study of Biological Effects of Low Energy Ion Implantation on Tomato and Radish Breeding

    NASA Astrophysics Data System (ADS)

    Liang, Qiuxia; Huang, Qunce; Cao, Gangqiang; Ying, Fangqing; Liu, Yanbo; Huang, Wen

    2008-04-01

    Biological effects of 30 keV low energy nitrogen ion implantation on the seeds of five types of tomato and one type of radish were investigated. Results showed that low energy ions have different effects on different vegetables. The whole dose-response curve of the germination ratio did not take on "the shape of saddle", but was a rising and falling waveform with the increase or decrease in ion implantation. In the vegetable of Solanaceae, two outstanding aberrant plants were selected from M1 of Henan No.4 tomato at a dose of 7 × 1017 nitrogen ions/cm2, which had thin-leaves, long-petal and nipple tip fruit stably inherited to M7. Furthermore the analysis of the isozyme showed that the activity of the mutant tomato seedling was distinct in quantity and color. In Raphanus sativus L., the aberrances were obvious in the mutant of radish 791 at a dose of 5 × 1017 nitrogen ions/cm2, and the weight of succulent root and the volume of growth were over twice the control's. At present, many species for breeding have been identified in the field and only stable species have been selected for the experiment of production. It is evident that the low energy ion implantation technology has clear effects on vegetables' genetic improvement.

  7. Plasma immersion ion implantation modification of surface properties of polymer material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Husein, I.F.; Zhou, Y.; Qin, S.

    1997-12-01

    The use of plasma immersion ion implantation (PIII) as a novel method for the treatment of polymer surfaces is investigated. The effect of PIII treatment on the coefficient of friction, contact angle modification, and surface energy of silicone and EPDM (ethylene-propylene-diene monomer) rubber are investigated as a function of pulse voltage, treatment time, and gas species. Low energy (0--8 keV) and high dose ({approximately}10{sup 17}--10{sup 18} ions/cm{sup 2}) implantation of N{sub 2}, Ar, and CF{sub 4} is performed using an inductively coupled plasma source (ICP) at low pressure (0.2 mTorr). PIII treatment reduces the coefficient of friction ({micro}) of siliconemore » rubber from {mu} = 0.464 to the range {mu} = 0.176--0.274, and {mu} of EPDM rubber decreases from 0.9 to the range {mu} = 0.27--0.416 depending on processing conditions. The contact angle of water and diiodomethylene decreases after implantation and increases at higher doses for both silicone and EPDM rubber.« less

  8. DIN 1.7035 Steel Modification with High Intensity Nitrogen Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Sivin, D. O.; Anan'in, P. S.; Ivanova, A. I.; Uglov, V. V.; Korneva, O. S.

    2018-06-01

    The paper presents research results on the formation of deep ion-modified layers of the grade DIN 1.7035 alloy steel due to a high intensity, repetitively-pulsed nitrogen ion beams with the ion current density of up to 0.5 A/cm2. The formation of a low-energy, high intensity nitrogen ion beam is based on a plasma immersion ion extraction followed by the ballistic focusing in the equipotential drift region. The nitrogen ion implantation in steel specimens is performed at a 1.2 keV energy and 450, 500, 580 and 650°C temperatures during 60 minutes. The morphology, elementary composition and mechanical properties are investigated in deep layers of steel specimens alloyed with nitrogen ions.

  9. The influence of nitrogen ion implantation on the tribological properties of piston rings made of Hardox and Raex steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Pyszniak, K.

    2016-09-01

    The implantation of nitrogen, carbon, and oxygen can be used for enhancing the tribological properties of critical components for internal combustion engines. Hardox and Raex steels have very similar strength parameters as for steel used for piston rings in internal combustion engines. An essential criterion when selecting material for the production of piston rings is a low friction factor and a low wear index. The aim of this study was to determine the extent to which these parameters can be enhanced by nitrogen ion implantation. Samples were implanted with nitrogen ions with 65 keV energy and the fluence of implanted ions set to 1.1017 N + /cm2. Friction and wear measurements were performed on a pin-on disc stand. The results demonstrate that implantation with nitrogen ions significantly reduces the friction factor and wear of Hardox 450 and Raex 400 steels. Implantation can and should be used for enhancing the tribological properties of steel used for friction elements in internal combustion engines, particularly when heat treatment is excluded. Final elements can be subjected to implantation, as the process does not change their dimensions.

  10. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1980-10-06

    consists of a series of sections, each section being an annular insulator (glass) and a shaped metal electrode (polished aluminum ) cemented together. A...depending on the ion species, semiconductor material, attached materials (such as aluminum leads), implantation energy, and dose; but some devices are...concentration of subsurface carbon. Appearing directly beneath the oxide layer, the C concentration first reaches a maximum of about five times the bulk

  11. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  12. Use of low energy hydrogen ion implants in high efficiency crystalline silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Singh, R.

    1985-01-01

    This program is a study of the use of low energy hydrogen ion implantation for high efficiency crystalline silicon solar cells. The first quarterly report focuses on two tasks of this program: (1) an examination of the effects of low energy hydrogen implants on surface recombination speed; and (2) an examination of the effects of hydrogen on silicon regrowth and diffusion in silicon. The first part of the project focussed on the measurement of surface properties of hydrogen implanted silicon. Low energy hydrogen ions when bombarded on the silicon surface will create structural damage at the surface, deactivate dopants and introduce recombination centers. At the same time the electrically active centers such as dangling bonds will be passivated by these hydrogen ions. Thus hydrogen is expected to alter properties such as the surface recombination velocity, dopant profiles on the emitter, etc. In this report the surface recombination velocity of a hydrogen emplanted emitter was measured.

  13. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  14. Ion implantation and diamond-like coatings of aluminum alloys

    NASA Astrophysics Data System (ADS)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  15. Carbonic Acid by Ion Implantation in Water_solarCarbon Dioxide Ice Mixtures

    NASA Astrophysics Data System (ADS)

    Brucato, J. R.; Palumbo, M. E.; Strazzulla, G.

    1997-01-01

    We present the results of experiments performed by keV ion (He and H) bombardment of frozen mixtures of H 2O:CO 2and of pure CO 2ice. Using keV He ions we confirm the already reported measurement of carbonic acid (H 2CO 3) production in an ice mixture of frozen water and carbon dioxide (1:1) after irradiation by 0.7 MeV H ions (Moore, M. H., and R. K. Khanna 1991. Spectrochim. Acta47, 255-262; Moore, M. H., R. K. Khanna, and B. Donn 1991. J. Geophys. Res. E96(2), 17,541-17,545.). Contrary to a previous report (Pirronello, V., W. L. Brown, L. J. Lanzerotti, K. J. Marcantonio, and E. H. Simmons 1982. Astrophys. J.262, 636-640.), formaldehyde (H 2CO), if any, is not a major product. Implantation with hydrogen ions demonstrates that carbonic acid is formed even if the irradiated target is pure CO 2; i.e., the implanted ion is incorporated into the target and forms new bonds. Some possible astrophysical applications on Solar System objects or (pre-solar) interstellar grains are discussed.

  16. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAXmore » data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.« less

  17. A simple way to plan implant positioning: the "S-technique".

    PubMed

    Piano, Sergio

    2011-01-01

    This study presents a technique for improving implant placements. As is widely known, a correct positioning is essential in restoration-driven implants, as well as in tilted implants in order to obtain satisfactory final functional and esthetic results. To this end, some authors have emphasized the importance of using a diagnostic and/or surgical guide to plan the exact implant position. In practice, one of the clinical problems faced is how to check the accuracy of the template prior to initiating the surgical phase. A simple method called the "S-Technique" is proposed in order to evaluate and to change, if necessary, the projected position of the implants by way of metal rods as radiopaque markers. This device is easy to produce and is cost-saving to the clinician and, therefore, to the patient. Furthermore, in specific patients, this method could also decrease the need for computerized tomography scans and/or radiographs, thus reducing health risks for the patient.

  18. Occlusal contact of fixed implant prostheses using functional bite impression technique.

    PubMed

    Suzuki, Yasunori; Shimpo, Hidemasa; Ohkubo, Chikahiro

    2015-02-01

    Functional bite impression (FBI) has been described as a definitive impression made under occlusal force after functional generated path (FGP) recording. This study compared the accuracy of occlusal contact of implant-fixed prostheses using the FBI technique and the conventional impression technique. Twelve subjects, each missing a single premolar or molar, were selected for this study. The conditions of the occlusal contacts were identified by the modified transillumination method. The occlusal contact condition was determined by comparing the rate of change in the occlusal contact area of the implant-fixed prostheses and both adjacent teeth before and after occlusal adjustment. The rate of change in the occlusal contact area using the FBI technique was 96%, and the rate using the conventional technique was 54%. The occlusal contact of implant prostheses using the FBI technique revealed better accuracy than that of the conventional technique. Regarding the FBI technique, a precise and functional prosthesis could be produced by completing the maxillomandibular registration, impression, and FGP at the same time.

  19. MEMS-Based Power Generation Techniques for Implantable Biosensing Applications

    PubMed Central

    Lueke, Jonathan; Moussa, Walied A.

    2011-01-01

    Implantable biosensing is attractive for both medical monitoring and diagnostic applications. It is possible to monitor phenomena such as physical loads on joints or implants, vital signs, or osseointegration in vivo and in real time. Microelectromechanical (MEMS)-based generation techniques can allow for the autonomous operation of implantable biosensors by generating electrical power to replace or supplement existing battery-based power systems. By supplementing existing battery-based power systems for implantable biosensors, the operational lifetime of the sensor is increased. In addition, the potential for a greater amount of available power allows additional components to be added to the biosensing module, such as computational and wireless and components, improving functionality and performance of the biosensor. Photovoltaic, thermovoltaic, micro fuel cell, electrostatic, electromagnetic, and piezoelectric based generation schemes are evaluated in this paper for applicability for implantable biosensing. MEMS-based generation techniques that harvest ambient energy, such as vibration, are much better suited for implantable biosensing applications than fuel-based approaches, producing up to milliwatts of electrical power. High power density MEMS-based approaches, such as piezoelectric and electromagnetic schemes, allow for supplemental and replacement power schemes for biosensing applications to improve device capabilities and performance. In addition, this may allow for the biosensor to be further miniaturized, reducing the need for relatively large batteries with respect to device size. This would cause the implanted biosensor to be less invasive, increasing the quality of care received by the patient. PMID:22319362

  20. MEMS-based power generation techniques for implantable biosensing applications.

    PubMed

    Lueke, Jonathan; Moussa, Walied A

    2011-01-01

    Implantable biosensing is attractive for both medical monitoring and diagnostic applications. It is possible to monitor phenomena such as physical loads on joints or implants, vital signs, or osseointegration in vivo and in real time. Microelectromechanical (MEMS)-based generation techniques can allow for the autonomous operation of implantable biosensors by generating electrical power to replace or supplement existing battery-based power systems. By supplementing existing battery-based power systems for implantable biosensors, the operational lifetime of the sensor is increased. In addition, the potential for a greater amount of available power allows additional components to be added to the biosensing module, such as computational and wireless and components, improving functionality and performance of the biosensor. Photovoltaic, thermovoltaic, micro fuel cell, electrostatic, electromagnetic, and piezoelectric based generation schemes are evaluated in this paper for applicability for implantable biosensing. MEMS-based generation techniques that harvest ambient energy, such as vibration, are much better suited for implantable biosensing applications than fuel-based approaches, producing up to milliwatts of electrical power. High power density MEMS-based approaches, such as piezoelectric and electromagnetic schemes, allow for supplemental and replacement power schemes for biosensing applications to improve device capabilities and performance. In addition, this may allow for the biosensor to be further miniaturized, reducing the need for relatively large batteries with respect to device size. This would cause the implanted biosensor to be less invasive, increasing the quality of care received by the patient.

  1. A comparison of mandibular denture base deformation with different impression techniques for implant overdentures.

    PubMed

    Elsyad, Moustafa Abdou; El-Waseef, Fatma Ahmad; Al-Mahdy, Yasmeen Fathy; Fouad, Mohammed Mohammed

    2013-08-01

    This study aimed to evaluate mandibular denture base deformation along with three impression techniques used for implant-retained overdenture. Ten edentulous patients (five men and five women) received two implants in the canine region of the mandible and three duplicate mandibular overdentures which were constructed with mucostatic, selective pressure, and definitive pressure impression techniques. Ball abutments and respective gold matrices were used to connect the overdentures to the implants. Six linear strain gauges were bonded to the lingual polished surface of each duplicate overdenture at midline and implant areas to measure strain during maximal clenching and gum chewing. The strains recorded at midline were compressive while strains at implant areas were tensile. Clenching recorded significant higher strain when compared with gum chewing for all techniques. The mucostatic technique recorded the highest strain and the definite pressure technique recorded the lowest. There was no significant difference between the strain recorded with mucostatic technique and that registered with selective pressure technique. The highest strain was recorded at the level of ball abutment's top with the mucostatic technique during clenching. Definite pressure impression technique for implant-retained mandibular overdenture is associated with minimal denture deformation during function when compared with mucostatic and selective pressure techniques. Reinforcement of the denture base over the implants may be recommended to increase resistance of fracture when mucostatic or selective pressure impression technique is used. © 2012 John Wiley & Sons A/S.

  2. Peri-implant bone formation and surface characteristics of rough surface zirconia implants manufactured by powder injection molding technique in rabbit tibiae.

    PubMed

    Park, Young-Seok; Chung, Shin-Hye; Shon, Won-Jun

    2013-05-01

    To evaluate osseointegration in rabbit tibiae and to investigate surface characteristics of novel zirconia implants made by powder injection molding (PIM) technique, using molds with and without roughened inner surfaces. A total of 20 rabbits received three types of external hex implants with identical geometry on the tibiae: machined titanium implants, PIM zirconia implants without mold etching, and PIM zirconia implants with mold etching. Surface characteristics of the three types of implant were evaluated. Removal torque tests and histomorphometric analyses were performed. The roughness of PIM zirconia implants was higher than that of machined titanium implants. The PIM zirconia implants exhibited significantly higher bone-implant contact and removal torque values than the machined titanium implants (P < 0.001). The PIM zirconia implants using roughened mold showed significantly higher removal torque values than PIM zirconia implants without using roughened mold (P < 0.001). It is concluded that the osseointegration of PIM zirconia implant is promising and PIM using roughened mold etching technique can produce substantially rough surfaces on zirconia implants. © 2012 John Wiley & Sons A/S.

  3. Oxygen depth profiling by resonant RBS in NiTi after plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Lindner, J. K. N.

    2006-08-01

    NiTi exhibits super-elastic as well as shape-memory properties, which results in a large potential application field in biomedical technology. Using oxygen ion implantation at elevated temperatures, it is possible to improve the biocompatibility. Resonant Rutherford backscattering spectroscopy (RRBS) is used to investigate the oxygen depth profile obtained after oxygen plasma immersion ion implantation (PIII) at 25 kV and 400-600 °C. At all temperatures, a layered structure consisting of TiO2/Ni3Ti/NiTi was found with sharp interfaces while no discernible content of oxygen inside Ni3Ti or nickel in TiO2 was found. These data are compatible with a titanium diffusion from the bulk towards the implanted oxygen.

  4. Improved yields for MOST’s using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brockman, H. E.

    1976-04-01

    Conventionally diffused source and drain polysilicon gate MOST's commonly exhibit one type of fault, namely, that of polysilicon-to-diffusion short circuits. Investigations into the yields of large-area devices fabricated using ion-implanted sources and drains are compared with those of diffused structures. An improved technology for the chemical shaping of the polysilicon gates, which improves the yields for both types of devices, is also described. (AIP)

  5. Origins of low resistivity in Al ion-implanted ZnO bulk single crystals

    NASA Astrophysics Data System (ADS)

    Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2011-06-01

    The origins of low resistivity in Al ion-implanted ZnO bulk single crystals are studied by combining Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA), photoluminescence (PL), and Van der Pauw methods. The Al-ion implantation (peak concentration: 2.6 × 1020cm-3) into ZnO is performed using a multiple-step energy. The resistivity decreases from ˜104 Ω cm for un-implanted ZnO to 1.4 × 10-1 Ω cm for as-implanted, and reaches 6.0 × 10-4 Ω cm for samples annealed at 1000 °C. RBS and NRA measurements for as-implanted ZnO suggest the existence of the lattice displacement of Zn (Zni) and O (Oi), respectively. After annealing at 1000 °C, the Zni related defects remain and the Oi related defects disappear. The origin of the low resistivity in the as-implanted sample is attributed to the Zni (˜30 meV [Look et al., Phys. Rev. Lett. 82, 2552 (1999)]). In contrast, the origin of the low resistivity in the sample annealed at 1000 °C is assigned to both of the Zni related defects and the electrically activated Al donor. A new PL emission appears at around 3.32 eV after annealing at 1000 °C, suggesting electrically activated Al donors.

  6. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    NASA Astrophysics Data System (ADS)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  7. Magnetic phase composition of strontium titanate implanted with iron ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dulov, E.N., E-mail: evgeny.dulov@ksu.ru; Ivoilov, N.G.; Strebkov, O.A.

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The origin of RT-ferromagnetism in iron implanted strontium titanate. Black-Right-Pointing-Pointer Metallic iron nanoclusters form during implantation and define magnetic behaviour. Black-Right-Pointing-Pointer Paramagnetic at room temperature iron-substituted strontium titanate identified. -- Abstract: Thin magnetic films were synthesized by means of implantation of iron ions into single-crystalline (1 0 0) substrates of strontium titanate. Depth-selective conversion electron Moessbauer spectroscopy (DCEMS) indicates that origin of the samples magnetism is {alpha}-Fe nanoparticles. Iron-substituted strontium titanate was also identified but with paramagnetic behaviour at room temperature. Surface magneto-optical Kerr effect (SMOKE) confirms that the films reveal superparamagnetism (the low-fluence sample) or ferromagnetism (themore » high-fluence sample), and demonstrate absence of magnetic in-plane anisotropy. These findings highlight iron implanted strontium titanate as a promising candidate for composite multiferroic material and also for gas sensing applications.« less

  8. Influence of prosthesis design and implantation technique on implant stresses after cementless revision THR

    PubMed Central

    2011-01-01

    Background Femoral offset influences the forces at the hip and the implant stresses after revision THR. For extended bone defects, these forces may cause considerable bending moments within the implant, possibly leading to implant failure. This study investigates the influences of femoral anteversion and offset on stresses in the Wagner SL revision stem implant under varying extents of bone defect conditions. Methods Wagner SL revision stems with standard (34 mm) and increased offset (44 mm) were virtually implanted in a model femur with bone defects of variable extent (Paprosky I to IIIb). Variations in surgical technique were simulated by implanting the stems each at 4° or 14° of anteversion. Muscle and joint contact forces were applied to the reconstruction and implant stresses were determined using finite element analyses. Results Whilst increasing the implant's offset by 10 mm led to increased implant stresses (16.7% in peak tensile stresses), altering anteversion played a lesser role (5%). Generally, larger stresses were observed with reduced bone support: implant stresses increased by as much as 59% for a type IIIb defect. With increased offset, the maximum tensile stress was 225 MPa. Conclusion Although increased stresses were observed within the stem with larger offset and increased anteversion, these findings indicate that restoration of offset, key to restoring joint function, is unlikely to result in excessive implant stresses under routine activities if appropriate fixation can be achieved. PMID:21569522

  9. Three-dimensional accuracy of different impression techniques for dental implants

    PubMed Central

    Nakhaei, Mohammadreza; Madani, Azam S; Moraditalab, Azizollah; Haghi, Hamidreza Rajati

    2015-01-01

    Background: Accurate impression making is an essential prerequisite for achieving a passive fit between the implant and the superstructure. The aim of this in vitro study was to compare the three-dimensional accuracy of open-tray and three closed-tray impression techniques. Materials and Methods: Three acrylic resin mandibular master models with four parallel implants were used: Biohorizons (BIO), Straumann tissue-level (STL), and Straumann bone-level (SBL). Forty-two putty/wash polyvinyl siloxane impressions of the models were made using open-tray and closed-tray techniques. Closed-tray impressions were made using snap-on (STL model), transfer coping (TC) (BIO model) and TC plus plastic cap (TC-Cap) (SBL model). The impressions were poured with type IV stone, and the positional accuracy of the implant analog heads in each dimension (x, y and z axes), and the linear displacement (ΔR) were evaluated using a coordinate measuring machine. Data were analyzed using ANOVA and post-hoc Tukey tests (α = 0.05). Results: The ΔR values of the snap-on technique were significantly lower than those of TC and TC-Cap techniques (P < 0.001). No significant differences were found between closed and open impression techniques for STL in Δx, Δy, Δz and ΔR values (P = 0.444, P = 0.181, P = 0.835 and P = 0.911, respectively). Conclusion: Considering the limitations of this study, the snap-on implant-level impression technique resulted in more three-dimensional accuracy than TC and TC-Cap, but it was similar to the open-tray technique. PMID:26604956

  10. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    For the ion implantation tooling was fabricated with which to hold dendritic web samples. This tooling permits the expeditious boron implantation of the back to form the back surface field (BSF). Baseline BSF web cells were fabricated.

  11. Formation of a periodic diffractive structure based on poly(methyl methacrylate) with ion-implanted silver nanoparticles

    NASA Astrophysics Data System (ADS)

    Galyautdinov, M. F.; Nuzhdin, V. I.; Fattakhov, Ya. V.; Farrakhov, B. F.; Valeev, V. F.; Osin, Yu. N.; Stepanov, A. L.

    2016-02-01

    We propose to form optical diffractive elements on the surface of poly(methyl methacrylate) (PMMA) by implanting the polymer with silver ions ( E = 30 keV; D = 5.0 × 1014 to 1.5 × 1017 ion/cm2; I = 2 μA/cm2) through a nickel grid (mask). Ion implantation leads to the nucleation and growth of silver nanoparticles in unmasked regions of the polymer. The formation of periodic surface microstructures during local sputtering of the polymer by incident ions was monitored using an optical microscope. The diffraction efficiency of obtained gratings is demonstrated under conditions of their probing with semiconductor laser radiation in the visible spectral range.

  12. Characterisation of slab waveguides, fabricated in CaF2 and Er-doped tungsten-tellurite glass by MeV energy N+ ion implantation, using spectroscopic ellipsometry and m-line spectroscopy

    NASA Astrophysics Data System (ADS)

    Bányász, I.; Berneschi, S.; Lohner, T.; Fried, M.; Petrik, P.; Khanh, N. Q.; Zolnai, Z.; Watterich, A.; Bettinelli, M.; Brenci, M.; Nunzi-Conti, G.; Pelli, S.; Righini, G. C.; Speghini, A.

    2010-05-01

    Slab waveguides were fabricated in Er-doped tungsten-tellurite glass and CaF2 crystal samples via ion implantation. Waveguides were fabricated by implantation of MeV energy N+ ions at the Van de Graaff accelerator of the Research Institute for Particle and Nuclear Physics, Budapest, Hungary. Part of the samples was annealed. Implantations were carried out at energies of 1.5 MeV (tungsten-tellurite glass) and 3.5 MeV (CaF2). The implanted doses were between 5 x 1012 and 8 x 1016 ions/cm2. Refractive index profile of the waveguides was measured using SOPRA ES4G and Woollam M-2000DI spectroscopic ellipsometers at the Research Institute for Technical Physics and Materials Science, Budapest. Functionality of the waveguides was tested using a home-made instrument (COMPASSO), based on m-line spectroscopy and prism coupling technique, which was developed at the Materials and Photonics Devices Laboratory (MDF Lab.) of the Institute of Applied Physics in Sesto Fiorentino, Italy. Results of both types of measurements were compared to depth distributions of nuclear damage in the samples, calculated by SRIM 2007 code. Thicknesses of the guiding layer and of the implanted barrier obtained by spectroscopic ellipsometry correspond well to SRIM simulations. Irradiationinduced refractive index modulation saturated around a dose of 8 x 1016 ions/cm2 in tungsten-tellurite glass. Annealing of the implanted waveguides resulted in a reduction of the propagation loss, but also reduced the number of supported guiding modes at the lower doses. We report on the first working waveguides fabricated in an alkali earth halide crystal implanted by MeV energy medium-mass ions.

  13. High carrier activation of Mg ion-implanted GaN by conventional rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Niwa, Takaki; Fujii, Takahiro; Oka, Tohru

    2017-09-01

    A high activation ratio of Mg ion implantation by conventional rapid thermal annealing (RTA) was demonstrated. To obtain the high activation ratio of Mg ion implantation, the dependence of hole concentration on Mg dose was investigated. A maximum hole concentration and a high activation ratio of 2.3% were obtained at a Mg dose of 2.3 × 1014 cm-2 between 9.2 × 1013 and 2.3 × 1015 cm-2. The ratio is, to the best of our knowledge, the highest ever obtained by conventional RTA.

  14. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayedh, H. M.; Svensson, B. G.; Hallén, A.

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced Cmore » atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.« less

  15. Buried superconducting layers comprised of magnesium diboride nanocrystals formed by ion implantation

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; White, C. W.; Budai, J. D.; Lowndes, D. H.; Meldrum, A.

    2002-06-01

    Superconducting layers of MgB2 were formed on Si substrates using techniques that are widely used and accepted in the semiconductor industry. Mg ions were implanted into boron films deposited on Si or Al2O3 substrates. After a thermal processing step, buried superconducting layers comprised of MgB2 nanocrystals were obtained which exhibit the highest Tc reported so far for MgB2 on silicon (Tconsetapproximately33.6 K, DeltaTc=0.5 K, as measured by current transport). These results show that our approach is clearly applicable to the fabrication of superconducting devices that can be operated at much higher temperatures (approximately20 K) than the current Nb technology (approximately6 K) while their integration with silicon structures remains straight-forward.

  16. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    NASA Astrophysics Data System (ADS)

    Nassisi, Vincenzo; Delle Side, Domenico; Turco, Vito; Martina, Luigi

    2018-01-01

    In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS) coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  17. Evaluation of the Accuracy of Conventional and Digital Impression Techniques for Implant Restorations.

    PubMed

    Moura, Renata Vasconcellos; Kojima, Alberto Noriyuki; Saraceni, Cintia Helena Coury; Bassolli, Lucas; Balducci, Ivan; Özcan, Mutlu; Mesquita, Alfredo Mikail Melo

    2018-05-01

    The increased use of CAD systems can generate doubt about the accuracy of digital impressions for angulated implants. The aim of this study was to evaluate the accuracy of different impression techniques, two conventional and one digital, for implants with and without angulation. We used a polyurethane cast that simulates the human maxilla according to ASTM F1839, and 6 tapered implants were installed with external hexagonal connections to simulate tooth positions 17, 15, 12, 23, 25, and 27. Implants 17 and 23 were placed with 15° of mesial angulation and distal angulation, respectively. Mini cone abutments were installed on these implants with a metal strap 1 mm in height. Conventional and digital impression procedures were performed on the maxillary master cast, and the implants were separated into 6 groups based on the technique used and measurement type: G1 - control, G2 - digital impression, G3 - conventional impression with an open tray, G4 - conventional impression with a closed tray, G5 - conventional impression with an open tray and a digital impression, and G6 - conventional impression with a closed tray and a digital impression. A statistical analysis was performed using two-way repeated measures ANOVA to compare the groups, and a Kruskal-Wallis test was conducted to analyze the accuracy of the techniques. No significant difference in the accuracy of the techniques was observed between the groups. Therefore, no differences were found among the conventional impression and the combination of conventional and digital impressions, and the angulation of the implants did not affect the accuracy of the techniques. All of the techniques exhibited trueness and had acceptable precision. The variation of the angle of the implants did not affect the accuracy of the techniques. © 2018 by the American College of Prosthodontists.

  18. Biomechanical Three-Dimensional Finite Element Analysis of Single Implant-Supported Prostheses in the Anterior Maxilla, with Different Surgical Techniques and Implant Types.

    PubMed

    Verri, Fellippo Ramos; Santiago, Joel Ferreira; Almeida, Daniel Augusto; de Souza Batista, Victor Eduardo; Araujo Lemos, Cleidiel Aparecido; Mello, Caroline Cantieri; Pellizzer, Eduardo Piza

    The aim of this study was to use three-dimensional finite element analysis to analyze the stress distribution transferred by single implant-supported prostheses placed in the anterior maxilla using different connections (external hexagon, internal hexagon, or Morse taper), inclinations of the load (0, 30, or 60 degrees), and surgical techniques for placement (monocortical/conventional, bicortical, or bicortical with nasal floor elevation). Nine models representing a bone block of this region were simulated by computer-aided design software (InVesalius, Rhinoceros, SolidWorks). Each model received one implant, which supported a cemented metalloceramic crown. Using FEMAP software, finite elements were discretized while simulating a 178-N load at 0, 30, and 60 degrees relative to the long axis of the implant. The problem was solved in NEi Nastran software, and postprocessing was performed in FEMAP. Von Mises stress and maximum principal stress maps were made. The von Mises stress analysis revealed that stress increased with increasing inclination of the load, from 0 to 30 to 60 degrees. Morse taper implants showed less stress concentration around the cervical and apical areas of the implant. The bicortical technique, associated or not with nasal floor elevation, contributed to decreasing the stress concentration in the apical area of the implant. Maximum principal stress analysis showed that the increase in inclination was proportional to the increase in stress on the bone tissue in the cervical area. Lower stress concentrations in the cortical bone were obtained with Morse taper implants and the bicortical technique compared with other connections and surgical techniques, respectively. Increasing the inclination of the applied force relative to the long axis of the implant tended to overload the peri-implant bone tissue and the internal structure of the implants. The Morse taper connection and bicortical techniques seemed to be more favorable than other connections

  19. Laser characterization of the depth profile of complex refractive index of PMMA implanted with 50 keV silicon ions

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Stoyanov, Hristiyan Y.; Petrova, Elitza; Russev, Stoyan C.; Tsutsumanova, Gichka G.; Hadjichristov, Georgi B.

    2013-03-01

    The depth profile of the complex refractive index of silicon ion (Si+) implanted polymethylmethacrylate (PMMA) is studied, in particular PMMA implanted with Si+ ions accelerated to a relatively low energy of 50 keV and at a fluence of 3.2 × 1015 cm-2. The ion-modified material with nano-clustered structure formed in the near(sub)surface layer of a thickness of about 100 nm is optically characterized by simulation based on reflection ellipsometry measurements at a wavelength of 632.8 nm (He-Ne laser). Being of importance for applications of ion-implanted PMMA in integrated optics, optoelectronics and optical communications, the effect of the index depth profile of Si+-implanted PMMA on the profile of the reflected laser beam due to laser-induced thermo-lensing in reflection is also analyzed upon illumination with a low power cw laser (wavelength 532 nm, optical power 10 - 50 mW).

  20. Formation of donors in germanium–silicon alloys implanted with hydrogen ions with different energies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pokotilo, Yu. M., E-mail: Pokotilo@bsu.by; Petukh, A. N.; Litvinov, V. V.

    2016-08-15

    The distributions of hydrogen-containing donors in Ge{sub 1–x}Si{sub x} (0 ≤ x ≤ 0.06) alloys implanted with hydrogen ions with an energy of 200 and 300 keV and a dose of 1 × 10{sup 15} cm{sup –2} are studied. It is established that, at the higher ion energy, the limiting donor concentration after postimplantation heat treatment (275°C) is attained within ~30 min and, at the lower energy, within ~320 min. In contrast to donors formed near the surface, a portion of hydrogen-containing donors formed upon the implantation of ions with the higher energy possess the property of bistability. The limitingmore » donor concentration is independent of the ion energy, but decreases from 1.3 × 10{sup 16} to 1.5 × 10{sup 15} cm{sup –3}, as the Si impurity content in the alloy is increased from x = 0.008 to x = 0.062. It is inferred that the observed differences arise from the participation of the surface in the donor formation process, since the surface significantly influences defect-formation processes involving radiation-induced defects, whose generation accompanies implantation.« less

  1. Impression of multiple implants using photogrammetry: Description of technique and case presentation

    PubMed Central

    Peñarrocha-Oltra, David; Agustín-Panadero, Rubén; Bagán, Leticia; Giménez, Beatriz

    2014-01-01

    Aim: To describe a technique for registering the positions of multiple dental implants using a system based on photogrammetry. A case is presented in which a prosthetic treatment was performed using this technique. Study Design: Three Euroteknika® dental implants were placed to rehabilitate a 55-year-old male patient with right posterior maxillary edentulism. Three months later, the positions of the implants were registered using a photogrammetry-based stereo-camera (PICcamera®). After processing patient and implant data, special abutments (PICabutment®) were screwed onto each implant. The PICcamera® was then used to capture images of the implant positions, automatically taking 150 images in less than 60 seconds. From this information a file was obtained describing the relative positions – angles and distances – of each implant in vector form. Information regarding the soft tissues was obtained from an alginate impression that was cast in plaster and scanned. A Cr-Co structure was obtained using CAD/CAM, and its passive fit was verified in the patient’s mouth using the Sheffield test and the screw resistance test. Results and Conclusions: Twelve months after loading, peri-implant tissues were healthy and no marginal bone loss was observed. The clinical application of this new system using photogrammetry to record the position of multiple dental implants facilitated the rehabilitation of a patient with posterior maxillary edentulism by means of a prosthesis with optimal fit. The prosthetic process was accurate, fast, simple to apply and comfortable for the patient. Key words:Dental implants, photogrammetry, dental impression technique, CAD/CAM. PMID:24608216

  2. Development and fabrication of patient-specific knee implant using additive manufacturing techniques

    NASA Astrophysics Data System (ADS)

    Zammit, Robert; Rochman, Arif

    2017-10-01

    Total knee replacement is the most effective treatment to relief pain and restore normal function in a diseased knee joint. The aim of this research was to develop a patient-specific knee implant which can be fabricated using additive manufacturing techniques and has reduced wear rates using a highly wear resistant materials. The proposed design was chosen based on implant requirements, such as reduction in wear rates as well as strong fixation. The patient-specific knee implant improves on conventional knee implants by modifying the articulating surfaces and bone-implant interfaces. Moreover, tribological tests of different polymeric wear couples were carried out to determine the optimal materials to use for the articulating surfaces. Finite element analysis was utilized to evaluate the stresses sustained by the proposed design. Finally, the patient-specific knee implant was successfully built using additive manufacturing techniques.

  3. Mechanical properties of ion-beam-textured surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1977-01-01

    An electron-bombardment Hg ion thruster was used as an ion source to texture surfaces of materials used to make orthopedic and/or dental prostheses or implants. The materials textured include 316 stainless steel, titanium-6% aluminum, 4% vanadium, and cobalt-20% chromium, 15% tungsten. To determine the effect of ion texturing on the ultimate strength and yield strength, stainless steel and Co-Cr-W alloy samples were tensile tested to failure. Three types of samples of both materials were tested. One type was ion-textured (the process also heats each sample to 300 C), another type was simply heated to 300 C in an oven, and the third type was untreated. Stress-strain diagrams, 0.2% offset yield strength data, total elongation data, and area reduction data are presented. Fatigue specimens of ion textured and untextured 316 stainless steel and Ti-6% Al-4% V were tested. Included as an ion textured sample is a Ti-6% Al-4% V sample which was ion machined by means of Ni screen mask so as to produce an array of 140 mu m x 140 mu m x 60 mu m deep pits. Scanning electron microscopy was used to characterize the ion textured surfaces.

  4. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    NASA Astrophysics Data System (ADS)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  5. Ahmed glaucoma valve implant: surgical technique and complications.

    PubMed

    Riva, Ivano; Roberti, Gloria; Oddone, Francesco; Konstas, Anastasios Gp; Quaranta, Luciano

    2017-01-01

    Implantation of Ahmed glaucoma valve is an effective surgical technique to reduce intraocular pressure in patients affected with glaucoma. While in the past, the use of this device was reserved to glaucoma refractory to multiple filtration surgical procedures, up-to-date mounting experience has encouraged its use also as a primary surgery for selected cases. Implantation of Ahmed glaucoma valve can be challenging for the surgeon, especially in patients who already underwent previous multiple surgeries. Several tips have to be acquired by the surgeon, and a long learning curve is always needed. Although the valve mechanism embedded in the Ahmed glaucoma valve decreases the risk of postoperative hypotony-related complications, it does not avoid the need of a careful follow-up. Complications related to this type of surgery include early and late postoperative hypotony, excessive capsule fibrosis around the plate, erosion of the tube or plate edge, and very rarely infection. The aim of this review is to describe surgical technique for Ahmed glaucoma valve implantation and to report related complications.

  6. Ahmed glaucoma valve implant: surgical technique and complications

    PubMed Central

    Riva, Ivano; Roberti, Gloria; Oddone, Francesco; Konstas, Anastasios GP; Quaranta, Luciano

    2017-01-01

    Implantation of Ahmed glaucoma valve is an effective surgical technique to reduce intraocular pressure in patients affected with glaucoma. While in the past, the use of this device was reserved to glaucoma refractory to multiple filtration surgical procedures, up-to-date mounting experience has encouraged its use also as a primary surgery for selected cases. Implantation of Ahmed glaucoma valve can be challenging for the surgeon, especially in patients who already underwent previous multiple surgeries. Several tips have to be acquired by the surgeon, and a long learning curve is always needed. Although the valve mechanism embedded in the Ahmed glaucoma valve decreases the risk of postoperative hypotony-related complications, it does not avoid the need of a careful follow-up. Complications related to this type of surgery include early and late postoperative hypotony, excessive capsule fibrosis around the plate, erosion of the tube or plate edge, and very rarely infection. The aim of this review is to describe surgical technique for Ahmed glaucoma valve implantation and to report related complications. PMID:28255226

  7. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    NASA Astrophysics Data System (ADS)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  8. Deairing Techniques for Double-Ended Centrifugal Total Artificial Heart Implantation.

    PubMed

    Karimov, Jamshid H; Horvath, David J; Byram, Nicole; Sunagawa, Gengo; Grady, Patrick; Sinkewich, Martin; Moazami, Nader; Sale, Shiva; Golding, Leonard A R; Fukamachi, Kiyotaka

    2017-06-01

    The unique device architecture of the Cleveland Clinic continuous-flow total artificial heart (CFTAH) requires dedicated and specific air-removal techniques during device implantation in vivo. These procedures comprise special surgical techniques and intraoperative manipulations, as well as engineering design changes and optimizations to the device itself. The current study evaluated the optimal air-removal techniques during the Cleveland Clinic double-ended centrifugal CFTAH in vivo implants (n = 17). Techniques and pump design iterations consisted of developing a priming method for the device and the use of built-in deairing ports in the early cases (n = 5). In the remaining cases (n = 12), deairing ports were not used. Dedicated air-removal ports were not considered an essential design requirement, and such ports may represent an additional risk for pump thrombosis. Careful passive deairing was found to be an effective measure with a centrifugal pump of this design. In this report, the techniques and design changes that were made during this CFTAH development program to enable effective residual air removal and prevention of air embolism during in vivo device implantation are explained. © 2016 International Center for Artificial Organs and Transplantation and Wiley Periodicals, Inc.

  9. Comparison of surface characteristics of retrieved cobalt-chromium femoral heads with and without ion implantation.

    PubMed

    McGrory, Brian J; Ruterbories, James M; Pawar, Vivek D; Thomas, Reginald K; Salehi, Abraham B

    2012-01-01

    Nitrogen ion implantation of CoCr is reported to produce increased surface hardness and a lower friction surface. Femoral heads with and without ion implantation retrieved from 1997 to 2003 were evaluated for surface roughness (average surface roughness [Ra], mean peak height [Rpm], and maximum distance from peak to valley [Rmax]), nanohardness, and the ion-treated layer thickness. The difference in average Rmax (P = .033) and average Rpm (P = .008) was statistically significant, but there was no correlation between the average or maximum roughness parameters (average surface roughness, Rmax, and Rpm) and time in vivo (P > .05). Overall, nanohardness was greater for the low-friction ion-treated heads (P < .001); and it decreased with increasing time in vivo (P = .01). Ion treatment produces an increased surface hardness, but the advantage of this increased hardness appears to dissipate over time in vivo. Copyright © 2012 Elsevier Inc. All rights reserved.

  10. Au3+ ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    NASA Astrophysics Data System (ADS)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P. K.

    2017-06-01

    Effects of 11.00 MeV Au3+ ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10-5 ohm-cm (in pristine) to 7.5 × 10-4 ohm-cm for highest ion beam fluence ≈1015 ions/cm2. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm-1 and ∼1103 cm-1 in pristine sample. The broad band at 530 cm-1 shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in the samples as a result of ion beam irradiation.

  11. Quantitative Evaluation of Ion-implanted Arsenic in Silicon by Instrumental Neutron Activation Analysis

    NASA Astrophysics Data System (ADS)

    Takatsuka, Toshiko; Hirata, Kouichi; Kobayashi, Yoshinori; Kuroiwa, Takayoshi; Miura, Tsutomu; Matsue, Hideaki

    2008-11-01

    Certified reference materials (CRMs) of shallow arsenic implants in silicon are now under development at the National Metrology Institute of Japan (NMIJ). The amount of ion-implanted arsenic atoms is quantified by Instrumental Neutron Activation Analysis (INAA) using research reactor JRR-3 in Japan Atomic Energy Agency (JAEA). It is found that this method can evaluate arsenic amounts of 1015 atoms/cm2 with small uncertainties, and is adaptable to shallower dopants. The estimated uncertainties can satisfy the industrial demands for reference materials to calibrate the implanted dose of arsenic at shallow junctions.

  12. Improving neuromodulation technique for refractory voiding dysfunctions: two-stage implant.

    PubMed

    Janknegt, R A; Weil, E H; Eerdmans, P H

    1997-03-01

    Neuromodulation is a new technique that uses electrical stimulation of the sacral nerves for patients with refractory urinary urge/frequency or urge-incontinence, and some forms of urinary retention. The limiting factor for receiving an implant is often a failure of the percutaneous nerve evaluation (PNE) test. Present publications mention only about a 50% success score for PNE of all patients, although the micturition diaries and urodynamic parameters are similar. We wanted to investigate whether PNE results improved by using a permanent electrode as a PNE test. This would show that improvement of the PNE technique is feasible. In 10 patients where the original PNE had failed to improve the micturition diary parameters more than 50%, a permanent electrode was implanted by operation. It was connected to an external stimulator. In those cases where the patients improved according to their micturition diary by more than 50% during a period of 4 days, the external stimulator was replaced by a permanent subcutaneous neurostimulator. Eight of the 10 patients had a good to very good result (60% to 90% improvement) during the testing period and received their implant 5 to 14 days after the first stage. The good results of the two-stage implant technique we used indicate that the development of better PNE electrodes may lead to an improvement of the testing technique and better selection between nonresponders and technical failures.

  13. Intraoral Digital Impressioning for Dental Implant Restorations Versus Traditional Implant Impression Techniques.

    PubMed

    Wilk, Brian L

    2015-01-01

    Over the course of the past two to three decades, intraoral digital impression systems have gained acceptance due to high accuracy and ease of use as they have been incorporated into the fabrication of dental implant restorations. The use of intraoral digital impressions enables the clinician to produce accurate restorations without the unpleasant aspects of traditional impression materials and techniques. This article discusses the various types of digital impression systems and their accuracy compared to traditional impression techniques. The cost, time, and patient satisfaction components of both techniques will also be reviewed.

  14. Gettering of Residual Impurities by Ion Implantation Damage in Poly-AlN UV Diode Detectors

    NASA Astrophysics Data System (ADS)

    Khan, A. H.; Stacy, T.; Meese, J. M.

    1996-03-01

    UV diode detectors have been fabricated from oriented polycrystalline AlN grown on (111) n-type 3-15Ω-cm Si substrates by CVD using AlCl3 and ammonia with a hydrogen carrier gas at 760-800C, 40-45 torr and gas flow rates of 350, 120, and 120 sccm for hydrogen, ammonia and hydrogen over heated AlCl_3. Half of the AlN film of thickness 1.5-2.0 microns was masked off prior to ion implantation. Samples were ion-implanted at 5 kV with methane, nitrogen and argon to a dose of 5-6 x 10^18 ions/cm^2. The AlN was contacted with sputtered Au while the Si was contacted with evaporated Al. No annealing was performed. Rectification was obtained as a result of radiation damage in the AlN. SIMs analysis showed a reduction of oxygen, hydrogen, chlorine and carbon by several orders of magnitude and to a depth of several microns in the ion implanted samples compared to the masked samples. The quantum efficiency was 16nm uncorrected for reflection from the AlN and thin metal contact.

  15. High Density Ion Implanted Contiguous Disk Bubble Technology.

    DTIC Science & Technology

    1987-10-31

    magnetic garnet films were grown by liquid phase epitaxy ( LPE ) from a Bi 20 3-PbO flux system. Films were grown with a 600C to 700C supercooling at...Matsutera, "Large Magnetic Anisotropy Change Induced By Hydrogen Ion Implantation In Europium Iron Garnet LPE Films ", J. of Magnetism and Magnetic...summarizes the design, development and growth of various bubble garnet films in our facility, to be used in the fabrication of high density bubble storage

  16. PMMA and polystyrene films modification under ion implantation studied by spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Leontyev, A. V.; Kovalev, V. I.; Khomich, A. V.; Komarov, Fadei F.; Grigoryev, V. V.; Kamishan, A. S.

    2004-05-01

    We have applied spectroscopic ellipsometry with binary polarization modulation to study the refractive index n(λ) and extinction coefficient k(λ) spectra of as-deposited and irradiated with nitrogen ions polymethylmethacrylate (PMMA) and polystyrene (PS) films in 300-1030 nm range. The results of performed investigation confirmed the possibility and estimate restrictions of the ion implantation for local change the refractive index of polymeric materials.

  17. Raman spectroscopy of few-layer graphene prepared by C2-C6 cluster ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Z. S.; Zhang, R.; Zhang, Z. D.; Huang, Z. H.; Liu, C. S.; Fu, D. J.; Liu, J. R.

    2013-07-01

    Few-layer graphene has been prepared on 300 nm-thick Ni films by C2-C6 cluster ion implantation at 20 keV/cluster. Raman spectroscopy reveals significant influence of the number of atoms in the cluster, the implantation dose, and thermal treatment on the structure of the graphene layers. In particular, the graphene samples exhibit a sharp G peak at 1584 cm-1 and 2D peaks at 2711-2717 cm-1. The IG/I2D ratios higher than 1.70 and IG/ID ratio as high as 1.95 confirm that graphene sheets with low density of defects have been synthesized with much improved quality by ion implantation with larger clusters of C4-C6.

  18. Examining metallic glass formation in LaCe:Nb by ion implantation

    DOE PAGES

    Sisson, Richard; Reinhart, Cameron; Bridgman, Paul; ...

    2017-01-01

    In order to combine niobium (Nb) with lanthanum (La) and cerium (Ce), Nb ions were deposited within a thin film of these two elements. According to the Hume-Rothery rules, these elements cannot be combined into a traditional crystalline metallic solid. The creation of an amorphous metallic glass consisting of Nb, La, and Ce is then investigated. Amorphous metallic glasses are traditionally made using fast cooling of a solution of molten metals. In this paper, we show the results of an experiment carried out to form a metallic glass by implanting 9 MeV Nb 3+ atoms into a thin film ofmore » La and Ce. Prior to implantation, the ion volume distribution is calculated by Monte Carlo simulation using the SRIM tool suite. As a result, using multiple methods of electron microscopy and material characterization, small quantities of amorphous metallic glass are indeed identified.« less

  19. A novel side branch protection technique in coronary stent implantation: Jailed Corsair technique.

    PubMed

    Numasawa, Yohei; Sakakura, Kenichi; Yamamoto, Kei; Yamamoto, Shingo; Taniguchi, Yousuke; Fujita, Hideo; Momomura, Shin-Ichi

    2017-06-01

    Side branch occlusion, which was one of the common complications in percutaneous coronary interventions, was closely associated with cardiac death and myocardial infarction. Clinical guidelines also support the importance of preservation of physiologic blood flow in SB during PCI to bifurcation lesions. In order to avoid side branch occlusion during stent implantation, we often performed the jailed wire technique, in which a conventional guide wire was inserted to the side branch before stent implantation to the main vessel. However, the jailed wire technique could not always prevent side branch occlusion. In this case report, we described a case of 72-year-old male suffering from angina pectoris. Coronary angiography revealed the diffuse calcified stenosis in the proximal and middle of left anterior descending coronary artery, and the large diagonal branch originated from the middle of the stenosis. To prevent side branch occlusion, we performed a novel side branch protection technique by using the Corsair microcatheter (Asahi Intecc, Nagoya, Japan). In this case report, we illustrated this "Jailed Corsair technique", and discussed the advantage compared to other side branch protection techniques such as the jailed balloon technique. Copyright © 2017 Elsevier Inc. All rights reserved.

  20. Microbial Profiles and Detection Techniques in Peri-Implant Diseases: a Systematic Review

    PubMed Central

    Padial-Molina, Miguel; López-Martínez, Jesús; O’Valle, Francisco

    2016-01-01

    ABSTRACT Objectives To describe the microbial profiles of peri-implant diseases and the main detection methods. Material and Methods A literature search was performed in MEDLINE via PubMed database to identify studies on microbial composition of peri-implant surfaces in humans published in the last 5 years. Studies had to have clear implant status definition for health, peri-implant mucositis and/or peri-implantitis and specifically study microbial composition of the peri-implant sulcus. Results A total of 194 studies were screened and 47 included. Peri-implant sites are reported to be different microbial ecosystems compared to periodontal sites. However, differences between periodontal and peri-implant health and disease are not consistent across all studies, possibly due to the bias introduced by the microbial detection technique. New methods non species-oriented are being used to find ‘unexpected’ microbiota not previously described in these scenarios. Conclusions Microbial profile of peri-implant diseases usually includes classic periodontopathogens. However, correlation between studies is difficult, particularly because of the use of different detection methods. New metagenomic techniques should be promoted for future studies to avoid detection bias. PMID:27833735

  1. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    NASA Astrophysics Data System (ADS)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  2. The formation and optical properties of planar waveguide in laser crystal Nd:YGG by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Jin-Hua; Qin, Xi-Feng; Wang, Feng-Xiang; Jiao, Yang; Guan, Jing; Fu, Gang

    2017-10-01

    As one kind of prominent laser crystal, Nd:Y3Ga5O12 (Nd:YGG) crystal has outstanding performance on laser excitation at multi-wavelength which have shown promising applications in optical communication field. In addition, Nd:YGG crystal has potential applications in medical field due to its ability of emit the laser at 1110 nm. Optical waveguide structure with high quality could improve the efficiency of laser emission. In this work, we fabricated the optical planar waveguide on Nd:YGG crystal by medium mass ion implantation which was convinced an effective method to realize a waveguide structure with superior optical properties. The sample is implanted by C ions at energy of 5.0 MeV with the fluence of 1 × 1015 ions/cm2. We researched the optical propagation properties in the Nd:YGG waveguide by end-face coupling and prism coupling method. The Nd ions fluorescent properties are obtained by a confocal micro-luminescence measurement. The fluorescent properties of Nd ions obtained good reservation after C ion implantation. Our work has reference value for the application of Nd:YGG crystal in the field of optical communication.

  3. All-ion-implanted planar-gate current aperture vertical Ga2O3 MOSFETs with Mg-doped blocking layer

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Goto, Ken; Morikawa, Yoji; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao; Higashiwaki, Masataka

    2018-06-01

    A vertical β-Ga2O3 metal–oxide–semiconductor field-effect transistor featuring a planar-gate architecture is presented. The device was fabricated by an all-ion-implanted process without requiring trench etching or epitaxial regrowth. A Mg-ion-implanted current blocking layer (CBL) provided electrical isolation between the source and the drain except at an aperture opening through which drain current was conducted. Successful transistor action was realized by gating a Si-ion-implanted channel above the CBL. Thermal diffusion of Mg induced a large source–drain leakage current through the CBL, which resulted in compromised off-state device characteristics as well as a reduced peak extrinsic transconductance compared with the results of simulations.

  4. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  5. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  6. Enhancement of interaction of L-929 cells with functionalized graphene via COOH+ ion implantation vs. chemical method

    PubMed Central

    Zhao, Meng-li; Liu, Xiao-qi; Cao, Ye; Li, Xi-fei; Li, De-jun; Sun, Xue-liang; Gu, Han-qing; Wan, Rong-xin

    2016-01-01

    Low hydrophilicity of graphene is one of the major obstacles for biomaterials application. To create some hydrophilic groups on graphene is addressed this issue. Herein, COOH+ ion implantation modified graphene (COOH+/graphene) and COOH functionalized graphene were designed by physical ion implantation and chemical methods, respectively. The structure and surface properties of COOH+/graphene and COOH functionalized graphene were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and contact angle measurement. Compared with graphene, COOH+/graphene and COOH functionalized graphene revealed improvement of cytocompatibility, including in vitro cell viability and morphology. More importantly, COOH+/graphene exhibited better improvement effects than functionalized graphene. For instance, COOH+/graphene with 1 × 1018 ions/cm2 showed the best cell-viability, proliferation and stretching. This study demonstrated that ion implantation can better improve the cytocompatibility of the graphene. PMID:27845420

  7. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    NASA Astrophysics Data System (ADS)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  8. Ion plating technique improves thin film deposition

    NASA Technical Reports Server (NTRS)

    Mattox, D. M.

    1968-01-01

    Ion plating technique keeps the substrate surface clean until the film is deposited, allows extensive diffusion and chemical reaction, and joins insoluble or incompatible materials. The technique involves the deposition of ions on the substrate surface while it is being bombarded with inert gas ions.

  9. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    NASA Astrophysics Data System (ADS)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  10. Improving biocompatibility by surface modification techniques on implantable bioelectronics.

    PubMed

    Lin, Peter; Lin, Chii-Wann; Mansour, Raafat; Gu, Frank

    2013-09-15

    For implantable bioelectronic devices, the interface between the device and the biological environment requires significant attention as it dictates the device performance in vivo. Non-specific protein adsorption onto the device surface is the initial stage of many degradation mechanisms that will ultimately compromise the functionality of the device. In order to preserve the functionality of any implanted bioelectronics overtime, protein adsorption must be controlled. This review paper outlines two major approaches to minimize protein adsorption onto the surface of implantable electronics. The first approach is surface coating, which minimizes close proximity interactions between proteins and device surfaces by immobilizing electrically neutral hydrophilic polymers as surface coating. These coatings reduce protein fouling by steric repulsion and formation of a hydration layer which acts as both a physical and energetic barrier that minimize protein adsorption onto the device. Relevant performances of various conventional hydrophilic coatings are discussed. The second approach is surface patterning using arrays of hydrophobic nanostructures through photolithography techniques. By establishing a large slip length via super hydrophobic surfaces, the amount of proteins adsorbed to the surface of the device can be reduced. The last section discusses emerging surface coating techniques utilizing zwitterionic polymers where ultralow-biofouling surfaces have been demonstrated. These surface modification techniques may significantly improve the long-term functionality of implantable bioelectronics, thus allowing researchers to overcome challenges to diagnose and treat chronic neurological and cardiovascular diseases. Copyright © 2013 Elsevier B.V. All rights reserved.

  11. Doping of two-dimensional MoS2 by high energy ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  12. Passive fit and accuracy of three dental implant impression techniques.

    PubMed

    Al Quran, Firas A; Rashdan, Bashar A; Zomar, AbdelRahman A Abu; Weiner, Saul

    2012-02-01

    To reassess the accuracy of three impression techniques relative to the passive fit of the prosthesis. An edentulous maxillary cast was fabricated in epoxy resin with four dental implants embedded and secured with heat-cured acrylic resin. Three techniques were tested: closed tray, open tray nonsplinted, and open tray splinted. One light-cured custom acrylic tray was fabricated for each impression technique, and transfer copings were attached to the implants. Fifteen impressions for each technique were prepared with medium-bodied consistency polyether. Subsequently, the impressions were poured in type IV die stone. The distances between the implants were measured using a digital micrometer. The statistical analysis of the data was performed with ANOVA and a one-sample t test at a 95% confidence interval. The lowest mean difference in dimensional accuracy was found within the direct (open tray) splinted technique. Also, the one-sample t test showed that the direct splinted technique has the least statistical significant difference from direct nonsplinted and indirect (closed tray) techniques. All discrepancies were less than 100 Μm. Within the limitations of this study, the best accuracy of the definitive prosthesis was achieved when the impression copings were splinted with autopolymerized acrylic resin, sectioned, and rejoined. However, the errors associated with all of these techniques were less than 100 Μm, and based on the current definitions of passive fit, they all would be clinically acceptable.

  13. Effets optiques et structurels de l'implantation ionique dans des couches minces polymeres

    NASA Astrophysics Data System (ADS)

    Cottin, Pierre

    The main goal of this work is to highlight the effect of ion implantation---a widely spread technique to modify chemical, electrical or optical properties of materials---on the third order nonlinear optical properties (chi (3)) of polymers. This study was limited to four polymers (PMMA, PVK, PVA, CA) for which we developed a fabrication process to obtain high optical quality thin films and controlled thickness compatible with ion implantation depth. Moreover, these polymers show different chemical structures and have in common to have very low nonlinear optical properties. Two faces of the problem were studied. First, the chemical structure of these polymers was characterized using ultraviolet and infrared spectroscopy before and after ion implantation and then was compared with which of intrinsic nonlinear optical polymers. These analysis have clearly shown that from one hand, ion implantation leads to a great number of bond breaks but from the other hand, it creates a high concentration of conjugated bonds characteristic of nonlinear optical polymers. Second, the third order nonlinear optical properties of ion implanted polymers were measured by nonlinear waveguide coupling and by third harmonic generation. For the first method, the coupling function was performed by a diffraction grating etched in a glass substrate whose fabrication process was developed in this particular case. In both cases, the used laser wave-length was 1064 nm with pulse duration of 30 ps and 5 ns respectively. The corresponding modelization for each of these techniques was established and numerically implemented. Both techniques have shown an increase of chi(3) for these polymers after ion implantation but however, they can not reach the performance of chemically designed nonlinear optical polymers. The best results were obtained for 50 keV helium implanted PMMA given |chi(3)(-3o; o, o, o)| = 7.2 x 10-21 m2.V-2 which is six time greater than the pristine material.

  14. Process yield improvements with process control terminal for varian serial ion implanters

    NASA Astrophysics Data System (ADS)

    Higashi, Harry; Soni, Ameeta; Martinez, Larry; Week, Ken

    Implant processes in a modern wafer production fab are extremely complex. There can be several types of misprocessing, i.e. wrong dose or species, double implants and missed implants. Process Control Terminals (PCT) for Varian 350Ds installed at Intel fabs were found to substantially reduce the number of misprocessing steps. This paper describes those misprocessing steps and their subsequent reduction with use of PCTs. Reliable and simple process control with serial process ion implanters has been in increasing demand. A well designed process control terminal greatly increases device yield by monitoring all pertinent implanter functions and enabling process engineering personnel to set up process recipes for simple and accurate system operation. By programming user-selectable interlocks, implant errors are reduced and those that occur are logged for further analysis and prevention. A process control terminal should also be compatible with office personal computers for greater flexibility in system use and data analysis. The impact from the capability of a process control terminal is increased productivity, ergo higher device yield.

  15. Histopathological comparative analysis of peri-implant soft tissue response after dental implant placement with flap and flapless surgical technique. Experimental study in pigs.

    PubMed

    Vlahovic, Zoran; Markovic, Aleksa; Golubovic, Mileta; Scepanovic, Miodrag; Kalanovic, Milena; Djinic, Ana

    2015-11-01

    The aim of this study was comparing the effect of flapless vs. flap technique of implant placement on inflammation degree of peri-implant soft tissue, through histopathological analysis. The experiment was conducted on five domestic pigs. Nine weeks after tooth extraction, implants were installed. Each animal received six implants in mandible. According to split-mouth design, randomly one side was used for flapless technique using mini-incision, while on the other side, flap was raised. After 7, 14, 21, 28, and 90 days, the experimental animals were sacrificed. Samples for histopathological analyzes were taken from the buccal side of peri-implant mucosa next to the neck of implants, from three levels. The degree of inflammatory response in the peri-implant soft tissue was estimated through ordinal scores from 0 to 3. In the flap group Score 3 indicating high degree of inflammation was present from day 7 to day 21, in contrast to flapless group where Score 3 was not recorded during the entire follow-up. Three months after implantation, there were no signs of inflammation neither around flap nor around flapless implants. Flapless surgical implantation technique using mini-incision decreases peri-implant soft tissue inflammatory reaction compared with flap surgery. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  16. Ion sheath dynamics in a plasma for plasma-based ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yatsuzuka, M.; Miki, S.; Azuma, K.

    1999-07-01

    Spatial and temporal growth and collapse of ion sheath around an electrode of a negative high-voltage pulse (voltage: {minus}10 kV, pulse duration: 10 {micro}s) have been studied in a plasma for plasma-based ion implantation. A spherical electrode of 1.9 cm in a diameter is immersed in a nitrogen plasma with the plasma density range of 10{sup 9} to 10{sup 10} cm{sup {minus}3}, the electron temperature of 1.4 eV and the gas pressure of 8x10{sup {minus}4} Torr. The transient sheath dynamics was observed by the measurement of electron saturation current to a Langmuir probe, where a depletion of electron saturation currentmore » indicates the arrival time of sheath edge at the probe position. The expanding speed of sheath edge is higher than the ion acoustic speed until the sheath length reaches the steady-state extent determined by Child-Langmuir law. In the region beyond the steady-state extent, the rarefying disturbance produced by sheath expansion continues to propagate into the plasma at the ion acoustic peed. After the pulse voltage is returned to zero (more exactly, the floating potential), the electron current begins to recover. When the pulse fall time is shorter than the plasma transit time, the electron saturation current overshoots the steady-state saturation current at once, resulting in an excess of plasma density which propagates like a tidal wave into the plasma at the ion acoustic speed.« less

  17. Bias in bonding behavior among boron, carbon, and nitrogen atoms in ion implanted a-BN, a-BC, and diamond like carbon films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genisel, Mustafa Fatih; Uddin, Md. Nizam; Say, Zafer

    2011-10-01

    In this study, we implanted N{sup +} and N{sub 2}{sup +} ions into sputter deposited amorphous boron carbide (a-BC) and diamond like carbon (DLC) thin films in an effort to understand the chemical bonding involved and investigate possible phase separation routes in boron carbon nitride (BCN) films. In addition, we investigated the effect of implanted C{sup +} ions in sputter deposited amorphous boron nitride (a-BN) films. Implanted ion energies for all ion species were set at 40 KeV. Implanted films were then analyzed using x-ray photoelectron spectroscopy (XPS). The changes in the chemical composition and bonding chemistry due to ion-implantationmore » were examined at different depths of the films using sequential ion-beam etching and high resolution XPS analysis cycles. A comparative analysis has been made with the results from sputter deposited BCN films suggesting that implanted nitrogen and carbon atoms behaved very similar to nitrogen and carbon atoms in sputter deposited BCN films. We found that implanted nitrogen atoms would prefer bonding to carbon atoms in the films only if there is no boron atom in the vicinity or after all available boron atoms have been saturated with nitrogen. Implanted carbon atoms also preferred to either bond with available boron atoms or, more likely bonded with other implanted carbon atoms. These results were also supported by ab-initio density functional theory calculations which indicated that carbon-carbon bonds were energetically preferable to carbon-boron and carbon-nitrogen bonds.« less

  18. Effect of structural transformation of C+-ion implanted PMMA into quasi-continuous carbonaceous layer on its optical and electrical properties

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat

    2018-02-01

    The samples of Polymethylmethacrylate (PMMA) have been implanted with 500 keV C+-ions at different ion fluences ranging from 9.3 × 1013 to 8.4 × 1014 ions/cm2. The structural modifications are examined by Fourier Transform Infrared and Raman spectral studies. For the investigation of optical, electrical and surface morphological properties of implanted samples UV-Visible spectrometer, four probe apparatus and optical microscope have been employed. The FTIR spectra confirmed the cleavage of chemicals bonds as a consequence of polymer chain scission, whereas, Raman studies revealed the transformation of PMMA structure into quasi-continuous amorphous carbon with increasing ion fluences. A continuous reduction has been observed in the optical band gap of PMMA from 3.16 to 1.42 eV. Moreover, the refractive index, extinction coefficient and electrical conductivity of implanted PMMA are found to be an increasing function of the ion fluence. The micrographic images revealed the signatures of ion-induced defects like cracking, dehydrogenation, stress and swelling on the surface of PMMA. These implanted samples have a potential to be used in the field of optical communications and thin plastic flexible electronics.

  19. Photoluminescence of magnesium-associated color centers in LiF crystals implanted with magnesium ions

    NASA Astrophysics Data System (ADS)

    Nebogin, S. A.; Ivanov, N. A.; Bryukvina, L. I.; V. Shipitsin, N.; E. Rzhechitskii, A.; Papernyi, V. L.

    2018-05-01

    In the present paper, the effect of magnesium nanoparticles implanted in a LiF crystal on the optical properties of color centers is studied. The transmittance spectra and AFM images demonstrate effective formation of the color centers and magnesium nanoparticles in an implanted layer of ∼ 60-100 nm in thickness. Under thermal annealing, a periodical structure is formed on the surface of the crystal and in the implanted layer due to self-organization of the magnesium nanoparticles. Upon excitation by argon laser with a wavelength of 488 nm at 5 K, in a LiF crystal, implanted with magnesium ions as well as in heavily γ-irradiated LiF: Mg crystals, luminescence of the color centers at λmax = 640 nm with a zero-phonon line at 601.5 nm is observed. The interaction of magnesium nanoparticles and luminescing color centers in a layer implanted with magnesium ions has been revealed. It is shown that the luminescence intensity of the implanted layer at a wavelength of 640 nm is by more than two thousand times higher than that of a heavily γ-irradiated LiF: Mg crystal. The broadening of the zero-phonon line at 601.5 nm in the spectrum of the implanted layer indicates the interaction of the emitting quantum system with local field of the surface plasmons of magnesium nanoparticles. The focus of this work is to further optimize the processing parameters in a way to result in luminescence great enhancement of color centers by magnesium nanoparticles in LiF.

  20. Effects of implant system, impression technique, and impression material on accuracy of the working cast.

    PubMed

    Wegner, Kerstin; Weskott, Katharina; Zenginel, Martha; Rehmann, Peter; Wöstmann, Bernd

    2013-01-01

    This in vitro study aimed to identify the effects of the implant system, impression technique, and impression material on the transfer accuracy of implant impressions. The null hypothesis tested was that, in vitro and within the parameters of the experiment, the spatial relationship of a working cast to the placement of implants is not related to (1) the implant system, (2) the impression technique, or (3) the impression material. A steel maxilla was used as a reference model. Six implants of two different implant systems (Standard Plus, Straumann; Semados, Bego) were fixed in the reference model. The target variables were: three-dimensional (3D) shift in all directions, implant axis direction, and rotation. The target variables were assessed using a 3D coordinate measuring machine, and the respective deviations of the plaster models from the nominal values of the reference model were calculated. Two different impression techniques (reposition/pickup) and four impression materials (Aquasil Ultra, Flexitime, Impregum Penta, P2 Magnum 360) were investigated. In all, 80 implant impressions for each implant system were taken. Statistical analysis was performed using multivariate analysis of variance. The implant system significantly influenced the transfer accuracy for most spatial dimensions, including the overall 3D shift and implant axis direction. There was no significant difference between the two implant systems with regard to rotation. Multivariate analysis of variance showed a significant effect on transfer accuracy only for the implant system. Within the limits of the present study, it can be concluded that the transfer accuracy of the intraoral implant position on the working cast is far more dependent on the implant system than on the selection of a specific impression technique or material.

  1. P-type single-crystalline ZnO films obtained by (Na,N) dual implantation through dynamic annealing process

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2018-02-01

    Single-crystalline ZnO films were grown by plasma-assisted molecular beam epitaxy technique on c-plane sapphire substrates. The films have been implanted with fixed fluence of 130 keV Na and 90 keV N ions at 460 °C. It is observed that dually-implanted single crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 1.24 × 1016-1.34 × 1017 cm-3, hole mobilities between 0.65 and 8.37 cm2 V-1 s-1, and resistivities in the range of 53.3-80.7 Ω cm by Hall-effect measurements. There are no other secondary phase appearing, with (0 0 2) (c-plane) orientation after ion implantation as identified by the X-ray diffraction pattern. It is obtained that Na and N ions were successfully implanted and activated as acceptors measured by XPS and SIMS results. Also compared to other similar studies, lower amount of Na and N ions make p-type characteristics excellent as others deposited by traditional techniques. It is concluded that Na and N ion implantation and dynamic annealing are essential in forming p-type single-crystalline ZnO films.

  2. Optical and Structural Properties of Ion-implanted InGaZnO Thin Films Studied with Spectroscopic Ellipsometry and Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Park, Jun Woo; Jeong, Pil Seong; Choi, Suk-Ho; Lee, Hosun; Kong, Bo Hyun; Koun Cho, Hyung

    2009-11-01

    Amorphous InGaZnO (IGZO) thin films were grown using RF sputtering deposition at room temperature and their corresponding dielectric functions were measured. In order to reduce defects and increase carrier concentrations, we examined the effect of forming gas annealing and ion implantation. The band gap energy increased with increasing forming gas annealing temperature. We implanted the IGZO thin films with F- ions in order to decrease oxygen vacancies. For comparison, we also implanted InO- ions. Transmission electron microscopy showed that the amorphous phase undergoes transformation to a nanocrystalline phase due to annealing. We also observed InGaZnO4 nanocrystals having an In-(Ga/Zn) superlattice structure. As the annealing temperature increased, the optical gap energy increased due to crystallization. After annealing, we observed an oxygen-vacancy-related 1.9 eV peak for both unimplanted and InO-implanted samples. However, F- ion implantation substantially reduced the amplitude of the 1.9 eV peak, which disappeared completely at a F fluence of 5×1015 cm-2. We observed other defect-related peaks at 3.6 and 4.2 eV after annealing, which also disappeared after F implantation.

  3. Etching and structure changes in PMMA coating under argon plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Kondyurin, Alexey; Bilek, Marcela

    2011-06-01

    A thin (120 nm) polymethylmethacrylate coating was treated by plasma immersion ion implantation with Ar using pulsed bias at 20 kV. Ellipsometry and FTIR spectroscopy and gel-fraction formation were used to detect the structure transformations as a function of ion fluence. The kinetics of etching, variations in refractive index and extinction coefficient in 400-1000 nm of wavelength, concentration changes in carbonyl, ether, methyl and methylene groups all as a function of ion fluence were analyzed. A critical ion fluence of 10 15 ions/cm 2 was observed to be a border between competing depolymerization and carbonization processes. Chemical reactions responsible for reorganization of the PMMA chemical structure under ion beam treatment are proposed.

  4. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  5. Passivation of uranium towards air corrosion by N 2+ and C + ion implantation

    NASA Astrophysics Data System (ADS)

    Arkush, R.; Mintz, M. H.; Shamir, N.

    2000-10-01

    The passivation of uranium surfaces against air corrosion, by ion implantation processes was studied, using surface analysis methods. Implanting 45 keV N +2 and C + ions produces thin modified surface layers with gradual gradients of the corresponding compounds (i.e., nitrides and carbides, respectively), which avoid the formation of discontinuous interfaces typical to coatings. Such gradual interfaces impart excellent mechanical stability and adhesion to the modified layers, in spite of the large misfit between the metal substrate and the implantation on induced compounds. It turns out that these layers provide an almost absolute protection against air corrosion. A rapid initial stage of oxidation of the modified surface layers takes place, forming very thin protective oxidation zones (1-4 nm thick), which practically stop further air oxidation for years. The mechanism of the initial oxidation stage of the modified layers seems to vary with the type of surface (i.e., either nitrides or carbides). However, in any case the protection ability of the formed oxidation products is excellent, probably due to the close match between these compounds and the underlying nitrides or carbides.

  6. Surface modification by carbon ion implantation for the application of ni-based amorphous alloys as bipolar plate in proton exchange membrane fuel cells

    NASA Astrophysics Data System (ADS)

    Kim, Min-Uk; Kim, Do-Hyang; Han, Seung-hee; Fleury, Eric; Seok, Hyun-Kwang; Cha, Pil-Ryung; Kim, Yu-Chan

    2011-04-01

    Ni-based amorphous alloys with surface modification by carbon ion implantation are proposed as an alternative bipolar plate material for polymer electrolyte membrane fuel cells (PEMFCs). Both Ni60Nb20Ti10Zr10 alloys with and without carbon ion implantation have corrosion resistance as good as graphite as well as much lower contact resistance than 316L stainless steel in the PEMFC environment. The formation of conductive surface carbide due to carbon ion implantation results in a decrease in the contact resistance to a level comparable to that of graphite. This combination of excellent properties indicates that carbon ion implanted Ni-based amorphous alloys can be potential candidate materials for bipolar plates in PEMFCs.

  7. Structural and Optical Behaviour of Ar+ Implanted Polycarbonate

    NASA Astrophysics Data System (ADS)

    Shekhawat, Nidhi; Aggarwal, Sanjeev; Sharma, Annu; Deshpande, S. K.; Nair, K. G. M.

    2011-07-01

    Effects of 130 keV Ar+ ion implantation on the structural and optical properties of polycarbonate specimens have been studied using Raman, UV-Visible spectroscopy and glancing angle X-ray diffraction techniques. Formation of disordered carbonaceous network in the implanted layers has been observed using Raman and UV-Visible spectroscopy. A sharp decline in band gap values (4.1 eV to 0.63 eV) with increase in implantation dose has been observed. This decrease in optical band gap has been correlated with the formation of disordered structures in the implanted layers of polycarbonate.

  8. Alternative Distraction Osteogenesis Technique After Implant Placement for Alveolar Ridge Augmentation of the Maxilla.

    PubMed

    Nogueira, Renato Luiz Maia; Osterne, Rafael Lima Verde; Abreu, Ricardo Teixeira; Araújo, Phelype Maia

    2017-07-01

    An alternative technique to reconstruct atrophic alveolar vertical bone after implant placement is presented. The technique consists of distraction osteogenesis or direct surgical repositioning of an implant-and-bone block segment after segmental osteotomies that can be used in esthetic or unesthetic cases. Initially, casts indicating the implant position are obtained and the future ideal prosthetic position is determined to guide the model surgery. After the model surgery, a new provisional prosthesis is fabricated, and an occlusal splint, which is used as a surgical guide and a device for distraction osteogenesis, is custom fabricated. Then, the surgery is performed. For mobilization of the implant-and-bone block segment, 2 vertical osteotomies are performed and then joined by a horizontal osteotomy. The implant-and-bone block segment is moved to the planned position. If a small movement is planned, then the implant-and-bone segment is stabilized; for larger movements, the implant-and-bone segment can be gradually moved to the final position by distraction osteogenesis. This technique has good predictability of the final position of the implant-and-bone segment and relatively fast esthetic rehabilitation. It can be considered for dental implants in regions of vertical bone atrophy. Copyright © 2017 American Association of Oral and Maxillofacial Surgeons. Published by Elsevier Inc. All rights reserved.

  9. Removal of ion-implanted photoresists on GaAs using two organic solvents in sequence

    NASA Astrophysics Data System (ADS)

    Oh, Eunseok; Na, Jihoon; Lee, Seunghyo; Lim, Sangwoo

    2016-07-01

    Organic solvents can effectively remove photoresists on III-V channels without damage or etching of the channel material during the process. In this study, a two-step sequential photoresist removal process using two different organic solvents was developed to remove implanted ArF and KrF photoresists at room temperature. The effects of organic solvents with either low molar volumes or high affinities for photoresists were evaluated to find a proper combination that can effectively remove high-dose implanted photoresists without damaging GaAs surfaces. The performance of formamide, acetonitrile, nitromethane, and monoethanolamine for the removal of ion-implanted ArF and KrF photoresists were compared using a two-step sequential photoresist removal process followed by treatment in dimethyl sulfoxide (DMSO). Among the various combinations, the acetonitrile + DMSO two-step sequence exhibited the best removal of photoresists that underwent ion implantation at doses of 5 × 1013-5 × 1015 atoms/cm2 on both flat and trench-structured GaAs surfaces. The ability of the two-step process using organic solvents to remove the photoresists can be explained by considering the affinities of solvents for a polymer and its permeability through the photoresist.

  10. Effect of exposure environment on surface decomposition of SiC-silver ion implantation diffusion couples

    DOE PAGES

    Gerczak, Tyler J.; Zheng, Guiqui; Field, Kevin G.; ...

    2014-10-05

    SiC is a promising material for nuclear applications and is a critical component in the construction of tristructural isotropic (TRISO) fuel. A primary issue with TRISO fuel operation is the observed release of 110m Ag from intact fuel particles. The release of Ag has prompted research efforts to directly measure the transport mechanism of Ag in bulk SiC. Recent research efforts have focused primarily on Ag ion implantation designs. The effect of the thermal exposure system on the ion implantation surface has been investigated. Results indicate the utilization of a mated sample geometry and the establishment of a static thermalmore » exposure environment is critical to maintaining an intact surface for diffusion analysis. In conclusion, the nature of the implantation surface and its potential role in Ag diffusion analysis are discussed.« less

  11. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    NASA Astrophysics Data System (ADS)

    Salvadori, M. C.; Teixeira, F. S.; Sgubin, L. G.; Cattani, M.; Brown, I. G.

    2014-08-01

    There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in the insulating matrix. These nanocomposites have been characterized by measuring the resistivity of the composite layer as a function of the implantation dose. The experimental results are compared with a model based on percolation theory, in which electron transport through the composite is explained by conduction through a random resistor network formed by the metallic nanoparticles. Excellent agreement is found between the experimental results and the predictions of the theory. We conclude in that the conductivity process is due only to percolation (when the conducting elements are in geometric contact) and that the contribution from tunneling conduction is negligible.

  12. The Reduction of TED in Ion Implanted Silicon

    NASA Astrophysics Data System (ADS)

    Jain, Amitabh

    2008-11-01

    The leading challenge in the continued scaling of junctions made by ion implantation and annealing is the control of the undesired transient enhanced diffusion (TED) effect. Spike annealing has been used as a means to reduce this effect and has proven successful in previous nodes. The peak temperature in this process is typically 1050 °C and the time spent within 50 °C of the peak is of the order of 1.5 seconds. As technology advances along the future scaling roadmap, further reduction or elimination of the enhanced diffusion effect is necessary. We have shown that raising the peak temperature to 1175 °C or more and reduction of the anneal time at peak temperature to less than a millisecond is effective in eliminating enhanced diffusion. We show that it is possible to employ a sequence of millisecond anneal followed by spike anneal to obtain profiles that do not exhibit gradient degradation at the junction and have junction depth and sheet resistance appropriate to the needs of future technology nodes. We have implemented millisecond annealing using a carbon dioxide laser to support high-volume manufacturing of 65 nm microprocessors and system-on-chip products. We further show how the use of molecular ion implantation to produce amorphousness followed by laser annealing to produce solid phase epitaxial regrowth results in junctions that meet the shallow depth and abruptness requirements of the 32 nm node.

  13. Perineal template techniques for interstitial implantation of gynecological cancers using the Paris system of dosimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leung, S.

    1990-09-01

    Since 1984, perineal template/needle techniques for interstitial implantation of gynecologic cancer-cervix, vagina, vulva-have been developed at the Peter MacCallum Cancer Institute. The Paris System of dosimetry has been used resulting in greater dose homogeneity, fewer needles and radioactive sources and considerable simplification and ease of implantation compared with comparable techniques developed in the United States. Principles and techniques of implantation are described in detail.

  14. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ciurea, Magdalena Lidia, E-mail: ciurea@infim.ro; Lazanu, Sorina, E-mail: ciurea@infim.ro

    2014-10-06

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increasemore » of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.« less

  15. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    NASA Astrophysics Data System (ADS)

    Ciurea, Magdalena Lidia; Lazanu, Sorina

    2014-10-01

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increase of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.

  16. Effect of different sterilization modes on the surface morphology, ion release, and bone reaction of retrieved micro-implants.

    PubMed

    El-Wassefy, Noha; El-Fallal, Abeer; Taha, Mahasen

    2015-01-01

    To compare as-received and sterilized micro-implants in order to assess the prospects of reusing them. Forty micro-implants from a single manufacturing lot were used in the study. Thirty were retrieved from patients after successful service in their mouth and with no signs of failure. The retrieved micro-implants were divided into three groups, according to method of sterilization: autoclave, gamma radiation, or ultraviolet radiation. All groups were subjected to scanning electron microscope analysis for surface morphology assessment. The specimens were immersed in a standard simulated body-fluid solution kept at 37°C in an incubator; the solution was then withdrawn at 24 hours and 30 days to evaluate aluminum and vanadium ion release by atomic absorption spectrophotometer in parts per billion. The micro-implants were then surgically implanted into the tibia of rabbits for a 1-month healing period, and the bone-implant blocks were processed for routine histologic examination. This study revealed that sterilized micro-implants had altered surface topography, different ion release values, and different histologic cell reactions than the as-received micro-implants. Within the limitations of this study, it can be concluded that retrieved self-drilling micro-implants have tip sharpness variations that require correction before insertion by bone drilling. The autoclave-sterilized micro-implants showed better histologic results than micro-implants sterilized by gamma or ultraviolet rays.

  17. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  18. Structural and optical properties of DC magnetron sputtered ZnO films on glass substrate and their modification by Ag ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, R.; Afzal, Naveed; Amjad, U.; Jabbar, S.; Hussain, T.; Hussnain, A.

    2017-07-01

    This work is focused on investigating the effects of deposition time and Ag ions implantation on structural and optical properties of ZnO film. The ZnO film was prepared on glass substrate by pulsed DC magnetron sputtering of pure Zn target in reactive oxygen environment for 2 h, 3 h, 4 h and 5 h respectively. X-ray diffraction results revealed polycrystalline ZnO film whose crystallinity was improved with increase of the deposition time. The morphological features indicated agglomeration of smaller grains into larger ones by increasing the deposition time. The UV-vis spectroscopy analysis depicted a small decrease in the band gap of ZnO from 3.36 eV to 3.27 eV with increase of deposition time. The Ag ions implantation in ZnO films deposited for 5 h on glass was carried out by using Pelletron Accelerator at different ions fluences ranging from 1  ×  1011 ions cm-2 to 2  ×  1012 ions cm-2. XRD patterns of Ag ions implanted ZnO did not show significant change in crystallite size by increasing ions fluence from 1  ×  1011 ions cm-2 to 5  ×  1011 ions cm-2. However, with further increase of the ions fluence, the crystallite size was decreased. The band gap of Ag ions implanted ZnO indicated anomalous variations with increase of the ions fluence.

  19. In vivo assessments of bioabsorbable AZ91 magnesium implants coated with nanostructured fluoridated hydroxyapatite by MAO/EPD technique for biomedical applications.

    PubMed

    Razavi, Mehdi; Fathi, Mohammadhossein; Savabi, Omid; Vashaee, Daryoosh; Tayebi, Lobat

    2015-03-01

    Although magnesium (Mg) is a unique biodegradable metal which possesses mechanical property similar to that of the natural bone and can be an attractive material to be used as orthopedic implants, its quick corrosion rate restricts its actual clinical applications. To control its rapid degradation, we have modified the surface of magnesium implant using fluoridated hydroxyapatite (FHA: Ca10(PO4)6OH2-xFx) through the combined micro-arc oxidation (MAO) and electrophoretic deposition (EPD) techniques, which was presented in our previous paper. In this article, the biocompatibility examinations were conducted on the coated AZ91 magnesium alloy by implanting it into the greater trochanter area of rabbits. The results of the in vivo animal test revealed a significant enhancement in the biocompatibility of FHA/MAO coated implant compared to the uncoated one. By applying the FHA/MAO coating on the AZ91 implant, the amount of weight loss and magnesium ion release in blood plasma decreased. According to the histological results, the formation of the new bone increased and the inflammation decreased around the implant. In addition, the implantation of the uncoated AZ91 alloy accompanied by the release of hydrogen gas around the implant; this release was suppressed by applying the coated implant. Our study exemplifies that the surface coating of magnesium implant using a bioactive ceramic such as fluoridated hydroxyapatite may improve the biocompatibility of the implant to make it suitable as a commercialized biomedical product. Published by Elsevier B.V.

  20. Heat generation during implant placement in low-density bone: effect of surgical technique, insertion torque and implant macro design.

    PubMed

    Marković, Aleksa; Mišić, Tijana; Miličić, Biljana; Calvo-Guirado, Jose Luis; Aleksić, Zoran; Ðinić, Ana

    2013-07-01

    The study aimed to investigate the effect of surgical technique, implant macrodesign and insertion torque on bone temperature changes during implant placement. In the in vitro study, 144 self-tapping (blueSKY(®) 4 × 10 mm; Bredent) and 144 non-self-tapping (Standard implant(®) 4.1 × 10 mm; Straumann) were placed in osteotomies prepared in pig ribs by lateral bone condensing or bone drilling techniques. The maximum insertion torque values of 30, 35 and 40 Ncm were used. Real-time bone temperature measurement during implant placement was performed by three thermocouples positioned vertically, in tripod configuration around every osteotomy, at a distance of 5 mm from it and at depths of 1, 5 and 10 mm. Data were analysed using Kruskal-Wallis, Mann-Whitney U-tests and Regression analysis. Significant predictor of bone temperature at the osteotomy depth of 1 mm was insertion torque (P = 0.003) and at the depth of 10-mm implant macrodesign (P = 0.029), while no significant predictor at depth of 5 mm was identified (P > 0.05). Higher insertion torque values as well as non-self-tapping implant macrodesign were related to higher temperatures. Implant placement in sites prepared by bone drilling induced significantly higher temperature increase (P = 0.021) compared with bone condensing sites at the depth of 5 mm, while no significant difference was recorded at other depths. Compared with 30 Ncm, insertion torque values of 35 and 40 Ncm produced significantly higher temperature increase (P = 0.005; P = 0.003, respectively) at the depth of 1 mm. There was no significant difference in temperature change induced by 35 and 40 Ncm, neither by implant macrodesign at all investigated depths (P > 0.05). Placement of self-tapping implants with low insertion torque into sites prepared by lateral bone condensing technique might be advantageous in terms of thermal effect on bone. © 2012 John Wiley & Sons A/S.

  1. Adaptation of ion beam technology to microfabrication of solid state devices and transducers

    NASA Technical Reports Server (NTRS)

    Topich, J. A.

    1978-01-01

    A number of areas were investigated to determine the potential uses of ion beam techniques in the construction of solid state devices and transducers and the packaging of implantable electronics for biomedical applications. The five areas investigated during the past year were: (1) diode-like devices fabricated on textured silicon; (2) a photolithographic technique for patterning ion beam sputtered PVC (polyvinyl chloride); (3) use of sputtered Teflon as a protective coating for implantable pressure sensors; (4) the sputtering of Macor to seal implantable hybrid circuits; and (5) the use of sputtered Teflon to immobilize enzymes.

  2. Two-stage implant placement technique for the management of irradiated jaws: An animal study.

    PubMed

    Aboushelib, Moustafa N; Arnaout, Mohamed A; Elsafi, Mohamed H; Kassem, Youssef M

    2017-10-01

    Radiotherapy results in diminished bone remodeling capacity and an elevated risk of osteoradionecrosis, which can negatively influence the survival rate of dental implants. Patients receiving radiotherapy are advised not to receive dental implants during or soon after completing their radiotherapy. The purpose of this animal study was to investigate a 2-stage implant placement technique designed to diminish applied trauma on irradiated bone. Two groups of white New Zealand rabbits received radiotherapy in ascending doses (2, 4, 8 Gy), while a nonirradiated group served as control. Three weeks after completion of the last radiotherapy session, one of the irradiated groups and the control group received titanium dental implants bilaterally in the femur head. For the second irradiated group, an osteotomy was performed, and the surgical wound was left to heal for 2 weeks before implant placement. All animals were sacrificed 4 weeks after implant placement, and histomorphometric analysis was used to study bone-implant contact (n=14, α=.05). Statistical analysis revealed significantly higher (F=159, P<.001) bone-implant contact in the 2-stage (40.2 ±1.9) implant placement technique than in the immediately placed implants (21.2 ±2.3) in irradiated bone. Both of the groups had a significantly lower bone-to-implant contact ratio than the non-irradiated control (64.2 ±3.8). Within the limitations of this animal study, the 2-stage implant placement technique could be used to reduce trauma in irradiated bone and to improve wound healing around dental implants. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  3. Growth of rutile TiO2 nanorods in Ti and Cu ion sequentially implanted SiO2 and the involved mechanisms

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Liu, Xiaoyu; Wang, Xiaohu; Dai, Haitao; Liu, Changlong

    2018-01-01

    TiO2 in nanoscale exhibits unique physicochemical and optoelectronic properties and has attracted much more interest of the researchers. In this work, TiO2 nanostructures are synthesized in amorphous SiO2 slices by implanting Ti ions, or sequentially implanting Ti and Cu ions combined with annealing at high temperature. The morphology, structure, spatial distribution and optical properties of the formed nanostructures have been investigated in detail. Our results clearly show that the thermal growth of TiO2 nanostructures in SiO2 substrate is significantly enhanced by presence of post Cu ion implantation, which depends strongly on the applied Cu ion fluence, as well as the annealing atmosphere. Due to the formation of Cu2O in the substrate, rutile TiO2 nanorods of large size have been well fabricated in the Ti and Cu sequentially implanted SiO2 after annealing in N2 atmosphere, in which Cu2O plays a role as a catalyst. Moreover, the sample with well-fabricated TiO2 nanorods exhibits a narrowed band gap, an enhanced optical absorption in visible region, and catalase-/peroxidase-like catalytic characteristics. Our findings provide an effective route to fabricate functional TiO2 nanorods in SiO2 via ion implantation.

  4. On-chip very low junction temperature GaN-based light emitting diodes by selective ion implantation

    NASA Astrophysics Data System (ADS)

    Cheng, Yun-Wei; Chen, Hung-Hsien; Ke, Min-Yung; Chen, Cheng-Pin; Huang, JianJang

    2008-08-01

    We propose an on-wafer heat relaxation technology by selectively ion-implanted in part of the p-type GaN to decrease the junction temperature in the LED structure. The Si dopant implantation energy and concentration are characterized to exhibit peak carrier density 1×1018 cm-3 at the depth of 137.6 nm after activation in nitrogen ambient at 750 °C for 30 minutes. The implantation schedule is designed to neutralize the selected region or to create a reverse p-n diode in the p-GaN layer, which acts as the cold zone for heat dissipation. The cold zone with lower effective carrier concentration and thus higher resistance is able to divert the current path. Therefore, the electrical power consumption through the cold zone was reduced, resulting in less optical power emission from the quantum well under the cold zone. Using the diode forward voltage method to extract junction temperature, when the injection current increases from 10 to 60 mA, the junction temperature of the ion-implanted LED increases from 34.3 °C to 42.3 °C, while that of the conventional one rises from 30.3 °C to 63.6 °C. At 100 mA, the output power of the ion-implanted device is 6.09 % higher than that of the conventional device. The slight increase of optical power is due to the increase of current density outside the cold zone region of the implanted device and reduced junction temperature. The result indicates that our approach improves thermal dissipation and meanwhile maintains the linearity of L-I curves.

  5. Tailoring the structural and magnetic properties of masked CoPt thin films using ion implantation

    NASA Astrophysics Data System (ADS)

    Kumar, Durgesh; Gupta, Surbhi; Jin, Tianli; Nongjai, R.; Asokan, K.; Piramanayagam, S. N.

    2018-05-01

    The effects of ion implantations through a mask on the structural and magnetic properties of Co80Pt20 films were investigated. The mask was patterned using the self-assembly of diblock copolymers. For implantation, high (40 keV for 14N+ and 100 keV for 40Ar+) and low (7.5 keV for 14N+ and 4.5 keV for 40Ar+) energy 14N+ and 40Ar+ ions were used to modify the structural and magnetic properties of these films. X-ray diffraction and TRIM simulations were performed for understanding the structural changes due to ion implantations. These results revealed the intermixing of Co atoms in lower layers and lattice expansion in Co80Pt20 magnetic and Ru layers. A lateral straggling of Co caused an increase in the exchange coupling in the masked region. Depletion of Co atoms in Co80Pt20 layer caused a decrease in the anisotropy constant, which were further confirmed by the alternating gradient force magnetometer and magnetic force microscopy results. The magnetic force microscopy images showed an increase in domain width and domain wall width confirming the above-mentioned effects.

  6. In Vitro Investigation of the Effect of Oral Bacteria in the Surface Oxidation of Dental Implants.

    PubMed

    Sridhar, Sathyanarayanan; Wilson, Thomas G; Palmer, Kelli L; Valderrama, Pilar; Mathew, Mathew T; Prasad, Shalini; Jacobs, Michael; Gindri, Izabelle M; Rodrigues, Danieli C

    2015-10-01

    Bacteria are major contributors to the rising number of dental implant failures. Inflammation secondary to bacterial colonization and bacterial biofilm is a major etiological factor associated with early and late implant failure (peri-implantitis). Even though there is a strong association between bacteria and bacterial biofilm and failure of dental implants, their effect on the surface of implants is yet not clear. To develop and establish an in vitro testing methodology to investigate the effect of early planktonic bacterial colonization on the surface of dental implants for a period of 60 days. Commercial dental implants were immersed in bacterial (Streptococcus mutans in brain-heart infusion broth) and control (broth only) media. Immersion testing was performed for a period of 60 days. During testing, optical density and pH of immersion media were monitored. The implant surface was surveyed with different microscopy techniques post-immersion. Metal ion release in solution was detected with an electrochemical impedance spectroscopy sensor platform called metal ion electrochemical biosensor (MIEB). Bacteria grew in the implant-containing medium and provided a sustained acidic environment. Implants immersed in bacterial culture displayed various corrosion features, including surface discoloration, deformation of rough and smooth interfaces, pitting attack, and severe surface rusting. The surface features were confirmed by microscopic techniques, and metal particle generation was detected by the MIEB. Implant surface oxidation occurred in bacteria-containing medium even at early stages of immersion (2 days). The incremental corrosion resulted in dissolution of metal ions and debris into the testing solution. Dissolution of metal ions and particles in the oral environment can trigger or contribute to the development of peri-implantitis at later stages. © 2015 Wiley Periodicals, Inc.

  7. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    NASA Astrophysics Data System (ADS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  8. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    NASA Technical Reports Server (NTRS)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  9. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressuremore » of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.« less

  10. Ion beam sputter etching of orthopedic implanted alloy MP35N and resulting effects on fatigue

    NASA Technical Reports Server (NTRS)

    Wintucky, E. G.; Christopher, M.; Bahnuik, E.; Wang, S.

    1981-01-01

    The effects of two types of argon ion sputter etched surface structures on the tensile stress fatigue properties of orthopedic implant alloy MP35N were investigated. One surface structure was a natural texture resulting from direct bombardment by 1 keV argon ions. The other structure was a pattern of square holes milled into the surface by a 1 keV argon ion beam through a Ni screen mask. The etched surfaces were subjected to tensile stress only in fatigue tests designed to simulate the cyclic load conditions experienced by the stems of artificial hip joint implants. Both types of sputter etched surface structures were found to reduce the fatigue strength below that of smooth surface MP35N.

  11. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Chesnokov, Yu. M.

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpestmore » boundaries at a lower energy of implantable ions.« less

  12. Nanostructural evolution and behavior of H and Li in ion-implanted γ-LiAlO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Weilin; Zhang, Jiandong; Edwards, Danny J.

    In-situ He+ ion irradiation is performed under a helium ion microscope to study nanostructural evolution in polycrystalline gamma-LiAlO2 pellets. Various locations within a grain, across grain boundaries and at a cavity are selected. The results exhibit He bubble formation, grain-boundary cracking, nanoparticle agglomeration, increasing surface brightness with dose, and material loss from the surface. Similar brightening effects at grain boundaries are also observed under a scanning electron microscope. Li diffusion and loss from polycrystalline gamma-LiAlO2 is faster than its monocrystalline counterpart during H2+ ion implantation at elevated temperatures. There is also more significant H diffusion and release from polycrystalline pelletsmore » during thermal annealing of 300 K implanted samples. Grain boundaries and cavities could provide a faster pathway for H and Li diffusion. H release is slightly faster from the 573 K implanted monocrystalline gamma-LiAlO2 during annealing at 773 K. Metal hydrides could be formed preferentially along the grain boundaries to immobilize hydrogen.« less

  13. Impression of multiple implants using photogrammetry: description of technique and case presentation.

    PubMed

    Peñarrocha-Oltra, David; Agustín-Panadero, Rubén; Bagán, Leticia; Giménez, Beatriz; Peñarrocha, María

    2014-07-01

    To describe a technique for registering the positions of multiple dental implants using a system based on photogrammetry. A case is presented in which a prosthetic treatment was performed using this technique. Three Euroteknika® dental implants were placed to rehabilitate a 55-year-old male patient with right posterior maxillary edentulism. Three months later, the positions of the implants were registered using a photogrammetry-based stereo-camera (PICcamera®). After processing patient and implant data, special abutments (PICabutment®) were screwed onto each implant. The PICcamera® was then used to capture images of the implant positions, automatically taking 150 images in less than 60 seconds. From this information a file was obtained describing the relative positions - angles and distances - of each implant in vector form. Information regarding the soft tissues was obtained from an alginate impression that was cast in plaster and scanned. A Cr-Co structure was obtained using CAD/CAM, and its passive fit was verified in the patient's mouth using the Sheffield test and the screw resistance test. Twelve months after loading, peri-implant tissues were healthy and no marginal bone loss was observed. The clinical application of this new system using photogrammetry to record the position of multiple dental implants facilitated the rehabilitation of a patient with posterior maxillary edentulism by means of a prosthesis with optimal fit. The prosthetic process was accurate, fast, simple to apply and comfortable for the patient.

  14. Synthesis of Ag metallic nanoparticles by 120 keV Ag- ion implantation in TiO2 matrix

    NASA Astrophysics Data System (ADS)

    Sharma, Himanshu; Singhal, Rahul

    2017-12-01

    TiO2 thin film synthesized by the RF sputtering method has been implanted by 120 keV Ag- ion with different doses (3 × 1014, 1 × 1015, 3 × 1015, 1 × 1016 and 3 × 1016 ions/cm2). Further, these were characterized by Rutherford back Scattering, XRD, X-ray photoelectron spectroscopy (XPS), UV-visible and fluorescence spectroscopy. Here we reported that after implantation, localized surface Plasmon resonance has been observed for the fluence 3 × 1016 ions/cm2, which was due to the formation of silver nanoparticles. Ag is in metallic form in the matrix of TiO2, which is very interestingly as oxidation of Ag was reported after implantation. Also, we have observed the interaction between nanoparticles of Ag and TiO2, which results in an increasing intensity in lower charge states (Ti3+) of Ti. This interaction is supported by XPS and fluorescence spectroscopy, which can help improve photo catalysis and antibacterial properties.

  15. Phase transformations induced by spherical indentation in ion-implanted amorphous silicon

    NASA Astrophysics Data System (ADS)

    Haberl, B.; Bradby, J. E.; Ruffell, S.; Williams, J. S.; Munroe, P.

    2006-07-01

    The deformation behavior of ion-implanted (unrelaxed) and annealed ion-implanted (relaxed) amorphous silicon (a-Si) under spherical indentation at room temperature has been investigated. It has been found that the mode of deformation depends critically on both the preparation of the amorphous film and the scale of the mechanical deformation. Ex situ measurements, such as Raman microspectroscopy and cross-sectional transmission electron microscopy, as well as in situ electrical measurements reveal the occurrence of phase transformations in all relaxed a-Si films. The preferred deformation mode of unrelaxed a-Si is plastic flow, only under certain high load conditions can this state of a-Si be forced to transform. In situ electrical measurements have revealed more detail of the transformation process during both loading and unloading. We have used ELASTICA simulations to obtain estimates of the depth of the metallic phase as a function of load, and good agreement is found with the experiment. On unloading, a clear change in electrical conductivity is observed to correlate with a "pop-out" event on load versus penetration curves.

  16. High-dose boron and silver ion implantation into PMMA probed by slow positrons: Effects of carbonization and formation of metal nanoparticles

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T.; Iida, K.; Nagashima, Y.; Kuczumow, A.; Šauša, O.; Nuzhdin, V.; Valeev, V.; Stepanov, A. L.

    2017-01-01

    The Doppler broadening slow positron beam spectroscopy (SPBS) data for the previously observed effect of carbonization in high-dose (>1016 ion/cm2) 40 keV boron-ion-implanted polymethylmethacrylate (B:PMMA) and another one obtained for the effect of formation of metal nanoparticles in high-dose 30 keV silver-ion-implanted polymer (Ag:PMMA) are compared. Following to the Doppler broadening SPBS results, a difference in the high-dose ion-irradiation-induced processes in B:PMMA and Ag:PMMA is detected.

  17. Energetic metallic ion implantation in polymers via cost-effective laser-driven ion source

    NASA Astrophysics Data System (ADS)

    Tahir, Muhammad Bilal; Rafique, M. Shahid; Ahmed, Rabia; Rafique, M.; Iqbal, Tahir; Hasan, Ali

    2017-07-01

    This research work reports the ions emission from the plasma generated by Nd:YAG laser having wavelength 1.064 μm, power 1.1 MW, pulse energy 10 mJ and intensity 1011 W/cm2 irradiated at 70° with respect to the target normal to the ions. These ions were accelerated through a home-made extraction assembly by means of a high voltage DC power supply. The energy of these ions were measured using Thomson parabola technique which utilizes Solid State Nuclear Track Detector (CR-39) and confirmed by Faraday cup as well that exploits a well-known technique known as time of flight. Interestingly, a significant increase in energy (from 490 to 730 keV) was observed with a discrete increase in acceleration potential from 0 to 18 kV. Polyethylene terephthalate (PET) and polypropylene were exposed to this recently developed ion source facility, to authenticate the reliability of this facility. The surface of the polymer is affected when energy of the irradiated ion is increased, which is evident from the optical micrographs. An increase in electrical conductivity was also observed with the increase in ion energy.

  18. Effects of incident energy and angle on carbon cluster ions implantation on silicon substrate: a molecular dynamics study

    NASA Astrophysics Data System (ADS)

    Wei, Ye; Sang, Shengbo; Zhou, Bing; Deng, Xiao; Chai, Jing; Ji, Jianlong; Ge, Yang; Huo, Yuanliang; Zhang, Wendong

    2017-09-01

    Carbon cluster ion implantation is an important technique in fabricating functional devices at micro/nanoscale. In this work, a numerical model is constructed for implantation and implemented with a cutting-edge molecular dynamics method. A series of simulations with varying incident energies and incident angles is performed for incidence on silicon substrate and correlated effects are compared in detail. Meanwhile, the behavior of the cluster during implantation is also examined under elevated temperatures. By mapping the nanoscopic morphology with variable parameters, numerical formalism is proposed to explain the different impacts on phrase transition and surface pattern formation. Particularly, implantation efficiency (IE) is computed and further used to evaluate the performance of the overall process. The calculated results could be properly adopted as the theoretical basis for designing nano-structures and adjusting devices’ properties. Project supported by the National Natural Science Foundation of China (Nos. 51622507, 61471255, 61474079, 61403273, 51502193, 51205273), the Natural Science Foundation of Shanxi (Nos. 201601D021057, 201603D421035), the Youth Foundation Project of Shanxi Province (Nos. 2015021097), the Doctoral Fund of MOE of China (No. 20131402110013), the National High Technology Research and Development Program of China (No. 2015AA042601), and the Specialized Project in Public Welfare from The Ministry of Water Resources of China (Nos. 1261530110110).

  19. A laboratory investigation of the accuracy of the repositioning impression coping technique at the implant level for single-tooth implants.

    PubMed

    Daoudi, M Firas; Setchell, Derrick J; Searson, Lloyd J

    2003-03-01

    This study investigated the accuracy of the repositioning impression technique at the implant level using vinyl polysiloxane impression material. Three groups each of ten senior dentists, postgraduate students and technicians were asked to use this technique to record the position of an implant in a master model. The Reflex Microscope was used to measure variations between the resulting casts and the master model. Significant difference between the casts and the master model in the X and Y-axes (p < 0.01) was recorded. Alarming inclinational and rotational errors for the implant analogue position were measured with all groups of operators. Similar distortion in the Z-axis was recorded.

  20. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part I. Surface modification and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V; Riesz, F

    2007-03-01

    Material surfaces play critical role in biology and medicine since most biological reactions occur on surfaces and interfaces. There are many examples showing that the surface properties of the materials control and are directly involved in biological reactions and processes in-vitro like blood compatibility, protein absorption, cell development, etc. The rules that govern the diversity of biological surface phenomenon are fundamental physical laws. Stainless steel doped with Cr, Ni and Mo is widely used material in medicine and dentistry due to its excellent corrosion resistance and mechanical properties. The interest in this material has stimulated extensive studies on improving its bone-bonding properties. This paper describes the surface modification of Cr-Ni stainless steel (AISI 316) by a whole surface sequential implantation of Ca and P ions (the basic ions of hydroxyapatite). Three groups of stainless steel samples are prepared: (i) ion-implanted, (ii) ion-implanted and thermally treated at 600( composite function)C in air for 1 h and (iii) initials. The surface chemistry and topography before and after the surface modification are characterized by X-ray photoelectron spectroscopy, Auger electron spectroscopy, magic mirror method, atomic force microscopy and contact angle measurements.

  1. Au Colloids Formed by Ion Implantation in Muscovite Mica Studied by Vibrational and Electronic Spectroscopes and Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    Tung, Y. S.; Henderson, D. O.; Mu, R.; Ueda, A.; Collins, W. E.; White, C. W.; Zuhr, R. A.; Zhu, Jane G.

    1997-01-01

    Au was implanted into the (001) surface of Muscovite mica at an energy of 1.1 MeV and at doses of 1, 3, 6, and 10 x 10(exp 16) ions/cu cm. Optical spectra of the as-implanted samples revealed a peak at 2.28 eV (545 nm) which is attributed to the surface plasmon absorption of Au colloids. The infrared reflectance measurements show a decreasing reflectivity with increasing ion dose in the Si-O stretching region (900-1200 /cm). A new peak observed at 967 /cm increases with the ion dose and is assigned to an Si-O dangling bond. Atomic force microscopy images of freshly cleaved samples implanted with 6 and 10 x 10(exp 16) ions/sq cm indicated metal colloids with diameters between 0.9- 1.5 nm. AFM images of the annealed samples showed irregularly shaped structures with a topology that results from the fusion of smaller colloids.

  2. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part II. Biomimetic layer growth and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V

    2007-03-01

    The interest in stainless steel as a material widely used in medicine and dentistry has stimulated extensive studies on improving its bone-bonding properties. AISI 316 stainless steel is modified by a sequential ion implantation of Ca and P ions (the basic ions of hydroxyapatite), and by Ca and P implantation and subsequent thermal treatment in air (600( composite function)C, 1 h). This paper investigates the ability of the as-modified surfaces to induce hydroxyapatite deposition by using a biomimetic approach, i.e. immersion in a supersaturated aqueous solution resembling the human blood plasma (the so-called simulated body fluid). We describe our experimental procedure and results, and discuss the physico-chemical properties of the deposed hydroxyapatite on the modified stainless steel surfaces. It is shown that the implantation of a selected combination of ions followed by the applied methodology of the sample soaking in the simulated body fluid yield the growth of hydroxyapatite layers with composition and structure resembling those of the bone apatite. The grown layers are found suitable for studying the process of mineral formation in nature (biomineralization).

  3. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    NASA Astrophysics Data System (ADS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  4. Three-Dimensional Implant Positioning with a Piezosurgery Implant Site Preparation Technique and an Intraoral Surgical Navigation System: Case Report.

    PubMed

    Pellegrino, Gerardo; Taraschi, Valerio; Vercellotti, Tomaso; Ben-Nissan, Besim; Marchetti, Claudio

    This case report describes new implant site preparation techniques joining the benefits of using an intraoral navigation system to optimize three-dimensional implant site positioning in combination with an ultrasonic osteotomy. A report of five patients is presented, and the implant positions as planned in the navigation software with the postoperative scan image were compared. The preliminary results are useful, although further clinical studies with larger populations are needed to confirm these findings.

  5. Slow positron beam study of hydrogen ion implanted ZnO thin films

    NASA Astrophysics Data System (ADS)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-08-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×1015 and 1×1016 ions cm-2. Zn vacancy and OH bonding (VZn+OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process.

  6. Structural and electrical properties of Se-hyperdoped Si via ion implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Liu, Fang; Prucnal, S.; Yuan, Ye; Heller, R.; Berencén, Y.; Böttger, R.; Rebohle, L.; Skorupa, W.; Helm, M.; Zhou, S.

    2018-06-01

    We report on the hyperdoping of silicon with selenium obtained by ion implantation followed by flash lamp annealing. It is shown that the degree of crystalline lattice recovery of the implanted layers and the Se substitutional fraction depend on the pulse duration and energy density of the flash. While the annealing at low energy densities leads to an incomplete recrystallization, annealing at high energy densities results in a decrease of the substitutional fraction of impurities. The electrical properties of the implanted layers are well-correlated with the structural properties resulting from different annealing processing.

  7. Temperature dependences of the photoluminescence intensities of centers in silicon implanted with erbium and oxygen ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobolev, N. A., E-mail: nick@sobolev.ioffe.rssi.ru; Shtel’makh, K. F.; Kalyadin, A. E.

    2015-12-15

    Low-temperature photoluminescence in n-Cz-Si after the implantation of erbium ions at an elevated temperature and subsequent implantation of oxygen ions at room temperature is studied. So-called X and W centers formed from self-interstitial silicon atoms, H and P centers containing oxygen atoms, and Er centers containing Er{sup 3+} ions are observed in the photoluminescence spectra. The energies of enhancing and quenching of photoluminescence for these centers are determined. These energies are determined for the first time for X and H centers. In the case of P and Er centers, the values of the energies practically coincide with previously published data.more » For W centers, the energies of the enhancing and quenching of photoluminescence depend on the conditions of the formation of these centers.« less

  8. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  9. Implant alignment in total elbow arthroplasty: conventional vs. navigated techniques

    NASA Astrophysics Data System (ADS)

    McDonald, Colin P.; Johnson, James A.; King, Graham J. W.; Peters, Terry M.

    2009-02-01

    Incorrect selection of the native flexion-extension axis during implant alignment in elbow replacement surgery is likely a significant contributor to failure of the prosthesis. Computer and image-assisted surgery is emerging as a useful surgical tool in terms of improving the accuracy of orthopaedic procedures. This study evaluated the accuracy of implant alignment using an image-based navigation technique compared against a conventional non-navigated approach. Implant alignment error was 0.8 +/- 0.3 mm in translation and 1.1 +/- 0.4° in rotation for the navigated alignment, compared with 3.1 +/- 1.3 mm and 5.0 +/- 3.8° for the non-navigated alignment. Five (5) of the 11 non-navigated alignments were malaligned greater than 5° while none of the navigated alignments were placed with an error of greater than 2.0°. It is likely that improved implant positioning will lead to reduced implant loading and wear, resulting in fewer implantrelated complications and revision surgeries.

  10. Influence of different materials and techniques to transfer molding in multiple implants.

    PubMed

    Faria, Júlio C B; Cruz, Fernando L G; Silva-Concílio, Laís R; Neves, Ana C C

    2012-01-01

    The aim of this study was to compare different materials and techniques used in transfer molding of multiple implants, by evaluating the space between implants and superstructure. Four external hexagon implants were fixed in a master template and the same on a superstructure. Transfer molding of implants were done using the direct and indirect techniques, with transfers united or not, using the union chemically activated acrylic resin (QA) and other groups polymerized acrylic resin (FT), and sectioned and not split. The casts were made with polyether and models divided into 8 groups (n = 5). The space between the superstructure and the master implants was measured with a microscope and the data was analyzed statistically by Student's t test (p < 0.05). For the material of union there was no significant difference, except when the groups were compared with the resin Duralay QA (G4) and the resin Duolay FT (G8) and groups using resins Duolay QA (G5) and Duolay FT (G7) for the union of the transfers. When comparing the groups who had the union between the transfers and sectioned again united with those in which the union was not severed there was no statistically significant difference. QA resin was superior to the FT with respect to the union of transfers. Techniques with united transfers or not were similar.

  11. Enhanced cytocompatibility and reduced genotoxicity of polydimethylsiloxane modified by plasma immersion ion implantation.

    PubMed

    Tong, Liping; Zhou, Wenhua; Zhao, Yuetao; Yu, Xuefeng; Wang, Huaiyu; Chu, Paul K

    2016-12-01

    Polydimethylsiloxane(PDMS) is a common industrial polymer with advantages such as ease of fabrication, tunable hardness, and other desirable properties, but the basic (-OSi(CH 3 ) 2 -) n structure in PDMS is inherently hydrophobic thereby hampering application to biomedical engineering. In this study, plasma immersion ion implantation (PIII) is conducted on PDMS to improve the biological properties. PIII forms wrinkled "herringbone" patterns and abundant O-containing functional groups on PDMS to alter the surface hydrophilicity. The biocompatibility of the modified PDMS is assessed with Chinese hamster ovarian cells and compared to that of the untreated PDMS. Our results reveal that the PDMS samples after undergoing PIII have better cytocompatibility and lower genotoxicity. PIII which is a non-line-of-sight technique extends the application of PDMS to the biomedical field. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Improvement of Vitamin K2 Production by Escherichia sp. with Nitrogen Ion Beam Implantation Induction

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Wang, Li; Zheng, Zhiming; Wang, Peng; Zhao, Genhai; Liu, Hui; Gong, Guohong; Wu, Hefang; Liu, Hongxia; Tan, Mu; Li, Zhemin

    2015-02-01

    Low-energy ion implantation as a novel mutagen has been increasingly applied in the microbial mutagenesis for its higher mutation frequency and wider mutation spectra. In this work, N+ ion beam implantation was used to enhance Escherichia sp. in vitamin K2 yield. Optimization of process parameters under submerged fermentation was carried out to improve the vitamin K2 yield of mutant FM5-632. The results indicate that an excellent mutant FM5-632 with a yield of 123.2±1.6 μg/L, that is four times that of the original strain, was achieved by eight successive implantations under the conditions of 15 keV and 60×2.6×1013 ions/cm2. A further optimization increased the yield of the mutant by 39.7%, i.e. 172.1±1.2 μg/L which occurred in the mutant cultivated in the optimal fermentation culture medium composed of (per liter): 15.31 g glycerol, 10 g peptone, 2.89 g yeast extract, 5 g K2HPO4, 1 g NaCl, 0.5 g MgSO4·7H2O and 0.04 g cedar wood oil, incubated at 33 °C, pH 7.0 and 180 rpm for 120 h.

  13. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  14. Real World Experience With Ion Implant Fault Detection at Freescale Semiconductor

    NASA Astrophysics Data System (ADS)

    Sing, David C.; Breeden, Terry; Fakhreddine, Hassan; Gladwin, Steven; Locke, Jason; McHugh, Jim; Rendon, Michael

    2006-11-01

    The Freescale automatic fault detection and classification (FDC) system has logged data from over 3.5 million implants in the past two years. The Freescale FDC system is a low cost system which collects summary implant statistics at the conclusion of each implant run. The data is collected by either downloading implant data log files from the implant tool workstation, or by exporting summary implant statistics through the tool's automation interface. Compared to the traditional FDC systems which gather trace data from sensors on the tool as the implant proceeds, the Freescale FDC system cannot prevent scrap when a fault initially occurs, since the data is collected after the implant concludes. However, the system can prevent catastrophic scrap events due to faults which are not detected for days or weeks, leading to the loss of hundreds or thousands of wafers. At the Freescale ATMC facility, the practical applications of the FD system fall into two categories: PM trigger rules which monitor tool signals such as ion gauges and charge control signals, and scrap prevention rules which are designed to detect specific failure modes that have been correlated to yield loss and scrap. PM trigger rules are designed to detect shifts in tool signals which indicate normal aging of tool systems. For example, charging parameters gradually shift as flood gun assemblies age, and when charge control rules start to fail a flood gun PM is performed. Scrap prevention rules are deployed to detect events such as particle bursts and excessive beam noise, events which have been correlated to yield loss. The FDC system does have tool log-down capability, and scrap prevention rules often use this capability to automatically log the tool into a maintenance state while simultaneously paging the sustaining technician for data review and disposition of the affected product.

  15. The Accuracy of Four Impression-making Techniques in Angulated Implants Based on Vertical Gap

    PubMed Central

    Saboury, Abolfazl; Neshandar Asli, Hamid; Dalili Kajan, Zahra

    2017-01-01

    Statement of the Problem: Precision of the impression taken from implant positions significantly determines accurate fit of implant-supported prostheses. An imprecise impression may produce prosthesis misfit. Purpose: This study aimed to evaluate the accuracy of four impression-making techniques for angulated implants by stereomicroscope through measuring the vertical marginal gaps between the cemented metal framework and the implant analog. Materials and Method: A definitive cast with two 15° mesially angulated implants served as the standard reference for making all the impressions and later for accuracy evaluation. Four groups of five samples were evaluated: (1) closed-tray snap-fit transfer, (2) open-tray nonsplinted impression coping, (3) metal splinted impression coping, and (4) fabricated acrylic resin transfer cap. A gold-palladium framework was fabricated over the angulated implant abutments, the fit of which was used as reference. The gaps between the metal framework and the implant analogs were measured in sample groups. Corresponding means for each technique and the definitive cast were compared by using ANOVA and post hoc tests. Results: The mean marginal gap was 38.16±0µm in definitive cast, 89±19.74µm in group 1, 78.66±20.63µm in group 2, 54.16±24.29µm in group 3, and 55.83±18.30µm in group 4. ANOVA revealed significant differences between the definitive cast and groups 1 and 2, but not with groups 3 and 4 (p< 0.05). Conclusion: Vertical gap measurements showed that metal splinted impression coping and fabricated acrylic resin transfer cap techniques produced quite more accurate impressions than closed-tray snap-fit transfer and open-tray nonsplinted impression coping techniques do. The fabricated acrylic resin transfer cap technique seems to be a reliable impression-making method. PMID:29201973

  16. Effect of Fe-ion implantation doping on structural and optical properties of CdS thin films

    NASA Astrophysics Data System (ADS)

    Chandramohan, S.; Kanjilal, A.; Sarangi, S. N.; Majumder, S.; Sathyamoorthy, R.; Som, T.

    2010-06-01

    We report on effects of Fe implantation doping-induced changes in structural, optical, morphological, and vibrational properties of cadmium sulfide thin films. Films were implanted with 90 keV Fe+ ions at room temperature for a wide range of fluences from 0.1×1016 to 3.6×1016 ions cm-2 (corresponding to 0.38-12.03 at.% of Fe). Glancing angle X-ray diffraction analysis revealed that the implanted Fe atoms tend to supersaturate by occupying the substitutional cationic sites rather than forming metallic clusters or secondary phase precipitates. In addition, Fe doping does not lead to any structural phase transformation although it induces structural disorder and lattice contraction. Optical absorption studies show a reduction in the optical band gap from 2.39 to 2.17 eV with increasing Fe concentration. This is attributed to disorder-induced band tailing in semiconductors and ion-beam-induced grain growth. The strain associated with a lattice contraction is deduced from micro-Raman scattering measurements and is found that size and shape fluctuations of grains, at higher fluences, give rise to inhomogeneity in strain.

  17. Effect of Ti Substrate Ion Implantation on the Physical Properties of Anodic TiO2 Nanotubes

    NASA Astrophysics Data System (ADS)

    Jedi-Soltanabadi, Zahra; Ghoranneviss, Mahmood; Ghorannevis, Zohreh; Akbari, Hossein

    2018-03-01

    The influence of nitrogen-ion implantation on the titanium (Ti) surface is studied. The nontreated Ti and the Ti treated with ion implantation were anodized in an ethylene-glycol-based electrolyte solution containing 0.3 wt% ammonium fluoride (NH4F) and 3 vol% deionized (DI) water at a potential of 60 V for 1 h at room temperature. The current density during the growth of the TiO2 nanotubes was monitored in-situ. The surface roughnesses of the Ti substrates before and after the ion implantation were investigated with atomic force microscopy (AFM). The surface roughness was lower for the treated Ti substrate. The morphology of the anodic TiO2 nanotubes was studied by using field-emission scanning electron microscopy (FESEM). Clearly, the titanium nanotubes grown on the treated substrate were longer. In addition, some ribs were observed on their walls. The optical band gap of the anodic TiO2 nanotubes was characterized by using a diffuse reflection spectral (DRS) analysis. The anodic TiO2 nanotubes grown on the treated Ti substrate revealed a band gap energy of approximately 3.02 eV.

  18. Surface, electrical and mechanical modifications of PMMA after implantation with laser produced iron plasma ions

    NASA Astrophysics Data System (ADS)

    Ahmed, Qazi Salman; Bashir, Shazia; Jalil, Sohail Abdul; Shabbir, Muhammad Kaif; Mahmood, Khaliq; Akram, Mahreen; Khalid, Ayesha; Yaseen, Nazish; Arshad, Atiqa

    2016-07-01

    Laser Produced Plasma (LPP) was employed as an ion source for the modifications in surface, electrical and mechanical properties of poly methyl (methacrylate) PMMA. For this purpose Nd:YAG laser (532 nm, 6 ns, 10 Hz) at a fluence of 12.7 J/cm2 was employed to generate Fe plasma. The fluence and energy measurements of laser produced Fe plasma ions were carried out by employing Thomson Parabola Technique in the presence of magnetic field strength of 0.5 T, using CR-39 as Solid State Nuclear Track Detector (SSNTD). It has been observed that ion fluence ejecting from ablated plasma was maximum at an angle of 5° with respect to the normal to the Fe target surface. PMMA substrates were irradiated with Fe ions of constant energy of 0.85 MeV at various ion fluences ranging from 3.8 × 106 ions/cm2 to 1.8 × 108 ions/cm2 controlled by varying laser pulses from 3000 to 7000. Optical microscope and Scanning Electron Microscope (SEM) were utilized for the analysis of surface features of irradiated PMMA. Results depicted the formation of chain scission, crosslinking, dendrites and star like structures. To explore the electrical behavior, four probe method was employed. The electrical conductivity of ion irradiated PMMA was increased with increasing ion fluence. The surface hardness was measured by shore D hardness tester and results showed the monotonous increment in surface hardness with increasing ion fluence. The increasing trend of surface hardness and electrical conductivity with increasing Fe ion fluence has been well correlated with the surface morphology of ion implanted PMMA. The temperature rise of PMMA surface due to Fe ion irradiation is evaluated analytically and comes out to be in the range of 1.72 × 104 to 1.82 × 104 K. The values of total Linear Energy Transfer (LET) or stopping power of 0.8 MeV Fe ions in PMMA is 61.8 eV/Å and their range is 1.34 μm evaluated by SRIM simulation.

  19. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    NASA Astrophysics Data System (ADS)

    Ahmad, M.; Naddaf, M.

    2011-11-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  20. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.

    2005-05-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances andmore » surface mechanical properties and possible mechanisms are suggested.« less

  1. N doped ZnO and ZnO nanorods based p-n homojunction fabricated by ion implantation

    NASA Astrophysics Data System (ADS)

    Chakraborty, Mohua; Thangavel, R.; Asokan, K.

    2018-05-01

    Nitrogen (N) doped and undoped Zinc Oxide (ZnO) nanorod p-n homojunctions were fabricated by ion implantation method. The structural and optical characterizations showed that the N atoms doped into the ZnO crystal lattice. The UV-Vis absorption spectra revealed shift in optical absorption edge towards higher wavelength with ion implantation on ZnO, which attributed N acceptor levels above the valence band. The current-voltage (I-V) measurements exhibit a typical semiconductor rectification characteristic indicating the electrical conductivity of the N-doped ZnO nanorod have p-type conductivity. Moreover, a high photocurrent response has been observed with these p-n homojunctions.

  2. Rehabilitation with 4 zygomatic implants with a new surgical protocol using ultrasonic technique.

    PubMed

    Mozzati, Marco; Mortellaro, Carmen; Arata, Valentina; Gallesio, Giorgia; Previgliano, Valter

    2015-05-01

    When the residual bone crest cannot allow the placement of standard implants, the treatment for complete arch rehabilitation of severely atrophic maxillae can be performed with 4 zygomatic implants (ZIs) and immediate function with predictable results in terms of aesthetics, function, and comfort for the patient. However, even if ZIs' rehabilitations showed a good success rate, this surgery is difficult and need a skillful operator. Complications in this kind of rehabilitation are not uncommon; the main difficulties can be related to the reduced surgical visibility and instrument control in a critical anatomic area. All the surgical protocols described in the literature used drilling techniques. Furthermore, the use of ultrasonic instruments in implant surgery compared with drilling instruments have shown advantages in many aspects of surgical procedures, tissues management, enhancement of control, surgical visualization, and healing. The aim of this study was to report on the preliminary experience using ultrasound technique for ZIs surgery in terms of safety and technical improvement. Ten consecutive patients with severely atrophic maxilla have been treated with 4 ZIs and immediate complete arch acrylic resin provisional prostheses. The patients were followed up from 30 to 32 months evaluating implant success, prosthetic success, and patient satisfaction with a questionnaire. No implants were lost during the study period, with a 100% implant and prosthetic success rate. Within the limitations of this preliminary study, these data indicate that ultrasonic implant site preparation for ZIs can be a good alternative to the drilling technique and an improvement for the surgeon.

  3. Assessing for Cardiotoxicity from Metal-on-Metal Hip Implants with Advanced Multimodality Imaging Techniques.

    PubMed

    Berber, Reshid; Abdel-Gadir, Amna; Rosmini, Stefania; Captur, Gabriella; Nordin, Sabrina; Culotta, Veronica; Palla, Luigi; Kellman, Peter; Lloyd, Guy W; Skinner, John A; Moon, James C; Manisty, Charlotte; Hart, Alister J

    2017-11-01

    High failure rates of metal-on-metal (MoM) hip implants prompted regulatory authorities to issue worldwide safety alerts. Circulating cobalt from these implants causes rare but fatal autopsy-diagnosed cardiotoxicity. There is concern that milder cardiotoxicity may be common and underrecognized. Although blood metal ion levels are easily measured and can be used to track local toxicity, there are no noninvasive tests for organ deposition. We sought to detect correlation between blood metal ions and a comprehensive panel of established markers of early cardiotoxicity. Ninety patients were recruited into this prospective single-center blinded study. Patients were divided into 3 age and sex-matched groups according to implant type and whole-blood metal ion levels. Group-A patients had a ceramic-on-ceramic [CoC] bearing; Group B, an MoM bearing and low blood metal ion levels; and Group C, an MoM bearing and high blood metal-ion levels. All patients underwent detailed cardiovascular phenotyping using cardiac magnetic resonance imaging (CMR) with T2*, T1, and extracellular volume mapping; echocardiography; and cardiac blood biomarker sampling. T2* is a novel CMR biomarker of tissue metal loading. Blood cobalt levels differed significantly among groups A, B, and C (mean and standard deviation [SD], 0.17 ± 0.08, 2.47 ± 1.81, and 30.0 ± 29.1 ppb, respectively) and between group A and groups B and C combined. No significant between-group differences were found in the left atrial or ventricle size, ejection fraction (on CMR or echocardiography), T1 or T2* values, extracellular volume, B-type natriuretic peptide level, or troponin level, and all values were within normal ranges. There was no relationship between cobalt levels and ejection fraction (R = 0.022, 95% confidence interval [CI] = -0.185 to 0.229) or T2* values (R = 0.108, 95% CI = -0.105 to 0.312). Using the best available technologies, we did not find that high (but not extreme) blood cobalt and chromium levels

  4. Radiation damage in Tb-implanted CaF 2 observed by channeling and luminescence measurements

    NASA Astrophysics Data System (ADS)

    Aono, K.; Kumagai, M.; Iwaki, M.; Aoyagi, Y.; Namba, S.

    1993-06-01

    The effects of 100 keV Tb ion implantation in CaF 2 single crystals have been investigated using Rutherford backscattering/channeling technique and luminescence spectra during ion implantation, depending on ion doses. Terbium ions were implanted into (111)-cut CaF 2 single crystals in random directions with doses ranging from 1 × 10 13 to 1 × 10 17 Tb +/cm 2 at -100°C, 25°C and 100°C. The luminescence signals were measured by 100 keV Ar ion beam irradiation at room temperature to Tb-implanted specimens in order to detect the ionic state of Tb. Two broad emission peaks (near 380 and 545 nm) in visible regions were observed, originating from Tb 3+ in CaF 2. The same luminescence was also observed even during Tb implantation to CaF 2. The luminescence near 380 nm is identified as an emission of 5D 3→ 7F 6 and that near 545 nm is 5D 4→ 7F 5. The emission peak intensities depend on ion dose. Channeling measurements suggest that most of the Tb atoms occupy substitutional lattice sites. Intensities of luminescence and Tb depth profiles depend on the target temperature. In conclusion, implanted Tb atoms occupy Ca lattice sites and emit green luminescence light.

  5. Experimental Analysis of Temperature Differences During Implant Site Preparation: Continuous Drilling Technique Versus Intermittent Drilling Technique.

    PubMed

    Di Fiore, Adolfo; Sivolella, Stefano; Stocco, Elena; Favero, Vittorio; Stellini, Edoardo

    2018-02-01

    Implant site preparation through drilling procedures may cause bone thermonecrosis. The aim of this in vitro study was to evaluate, using a thermal probe, overheating at implant sites during osteotomies through 2 different drilling methods (continuous drilling technique versus intermittent drilling technique) using irrigation at different temperatures. Five implant sites 13 mm in length were performed on 16 blocks (fresh bovine ribs), for a total of 80 implant sites. The PT-100 thermal probe was positioned 5 mm from each site. Two physiological refrigerant solutions were used: one at 23.7°C and one at 6.0°C. Four experimental groups were considered: group A (continuous drilling with physiological solution at 23.7°C), group B (intermittent drilling with physiological solution at 23.7°C), group C (continuous drilling with physiological solution at 6.0°C), and group D (intermittent drilling with physiological solution at 6.0°C). The Wilcoxon rank-sum test (2-tailed) was used to compare groups. While there was no difference between group A and group B (W = 86; P = .45), statistically significant differences were observed between experimental groups A and C (W = 0; P =.0001), B and D (W = 45; P =.0005), and C and D (W = 41; P = .003). Implant site preparation did not affect the overheating of the bone. Statistically significant differences were found with the refrigerant solutions. Using both irrigating solutions, bone temperature did not exceed 47°C.

  6. Origin of magnetic properties in carbon implanted ZnO nanowires.

    PubMed

    Wang, Y F; Shao, Y C; Hsieh, S H; Chang, Y K; Yeh, P H; Hsueh, H C; Chiou, J W; Wang, H T; Ray, S C; Tsai, H M; Pao, C W; Chen, C H; Lin, H J; Lee, J F; Wu, C T; Wu, J J; Chang, Y M; Asokan, K; Chae, K H; Ohigashi, T; Takagi, Y; Yokoyama, T; Kosugi, N; Pong, W F

    2018-05-17

    Various synchrotron radiation-based spectroscopic and microscopic techniques are used to elucidate the room-temperature ferromagnetism of carbon-doped ZnO-nanowires (ZnO-C:NW) via a mild C + ion implantation method. The photoluminescence and magnetic hysteresis loops reveal that the implantation of C reduces the number of intrinsic surface defects and increases the saturated magnetization of ZnO-NW. The interstitial implanted C ions constitute the majority of defects in ZnO-C:NW as confirmed by the X-ray absorption spectroscopic studies. The X-ray magnetic circular dichroism spectra of O and C K-edge respectively indicate there is a reduction in the number of unpaired/dangling O 2p bonds in the surface region of ZnO-C:NW and the C 2p-derived states of the implanted C ions strongly affect the net spin polarization in the surface and bulk regions of ZnO-C:NW. Furthermore, these findings corroborate well with the first-principles calculations of C-implanted ZnO in surface and bulk regions, which highlight the stability of implanted C for the suppression and enhancement of the ferromagnetism of the ZnO-C:NW in the surface region and bulk phase, respectively.

  7. Development of the implant surgical technique and assessment rating system

    PubMed Central

    Park, Jung-Chul; Hwang, Ji-Wan; Lee, Jung-Seok; Jung, Ui-Won; Choi, Seong-Ho; Cho, Kyoo-Sung; Chai, Jung-Kiu

    2012-01-01

    Purpose There has been no attempt to establish an objective implant surgical evaluation protocol to assess residents' surgical competence and improve their surgical outcomes. The present study presents a newly developed assessment and rating system and simulation model that can assist the teaching staffs to evaluate the surgical events and surgical skills of residents objectively. Methods Articles published in peer-reviewed English journals were selected using several scientific databases and subsequently reviewed regarding surgical competence and assessment tools. Particularly, medical journals reporting rating and evaluation protocols for various types of medical surgeries were thoroughly analyzed. Based on these studies, an implant surgical technique assessment and rating system (iSTAR) has been developed. Also, a specialized dental typodont was developed for the valid and reliable assessment of surgery. Results The iSTAR consists of two parts including surgical information and task-specific checklists. Specialized simulation model was subsequently produced and can be used in combination with iSTAR. Conclusions The assessment and rating system provided may serve as a reference guide for teaching staffs to evaluate the residents' implant surgical techniques. PMID:22413071

  8. Surface topographical and structural analysis of Ag+-implanted polymethylmethacrylate

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Naab, Fabian; Toader, Ovidiu; Sagheer, Riffat; Bashir, Shazia; Zia, Rehana; Siraj, Khurram; Iqbal, Saman

    2016-08-01

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag+ ions at different ion fluences ranging from 1 × 1014 to 5 × 1015 ions/cm2 using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV-Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag+-implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 1014 ions/cm2. Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  9. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  10. Applications of ions produced by low intensity repetitive laser pulses for implantation into semiconductor materials

    NASA Astrophysics Data System (ADS)

    Wołowski, J.; Badziak, J.; Czarnecka, A.; Parys, P.; Pisarek, M.; Rosinski, M.; Turan, R.; Yerci, S.

    This work reports experiment concerning specific applications of implantation of laser-produced ions for production of semiconductor nanocrystals. The investigation was carried out in the IPPLM within the EC STREP `SEMINANO' project. A repetitive pulse laser system of parameters: energy up to 0.8 J in a 3.5 ns-pulse, wavelength of 1.06 μ m, repetition rate of up to 10 Hz, has been employed in these investigations. The characterisation of laser-produced ions was performed with the use of `time-of-flight' ion diagnostics simultaneously with other diagnostic methods in dependence on laser pulse parameters, illumination geometry and target material. The properties of laser-implanted and modified SiO2 layers on sample surface were characterised with the use of different methods (XPS + ASD, Raman spectroscopy, PL spectroscopy) at the Middle East Technological University in Ankara and at the Warsaw University of Technology. The production of the Ge nanocrystallites has been demonstrated for annealed samples prepared in different experimental conditions.

  11. Study of the effects of focused high-energy boron ion implantation in diamond

    NASA Astrophysics Data System (ADS)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  12. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    NASA Astrophysics Data System (ADS)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  13. Structural properties of buried conducting layers formed by very low energy ion implantation of gold into polymer

    NASA Astrophysics Data System (ADS)

    Teixeira, F. S.; Salvadori, M. C.; Cattani, M.; Brown, I. G.

    2009-09-01

    We have investigated the fundamental structural properties of conducting thin films formed by implanting gold ions into polymethylmethacrylate (PMMA) polymer at 49 eV using a repetitively pulsed cathodic arc plasma gun. Transmission electron microscopy images of these composites show that the implanted ions form gold clusters of diameter ˜2-12 nm distributed throughout a shallow, buried layer of average thickness 7 nm, and small angle x-ray scattering (SAXS) reveals the structural properties of the PMMA-gold buried layer. The SAXS data have been interpreted using a theoretical model that accounts for peculiarities of disordered systems.

  14. Hydrogen ion-driven permeation in carbonaceous films

    NASA Astrophysics Data System (ADS)

    Anderl, R. A.; Holland, D. F.; Longhurst, G. R.

    1989-04-01

    This paper presents the results of investigations into the permeation properties of amorphous carbonaceous, a-C: H, films produced by plasmachemical deposition techniques. Carbonaceous films on iron substrates with thickness ranging from 60 nm to 110 nm were subjected to high fluence implantations with mass analyzed D +3 ions with energies ranging from 600 eV to 3000 eV and fluxes ranging from 5 × 10 14D/ cm2 s to 5 × 10 15D/ cm2 s, respectively. Deuterium re-emission upstream, deuterium permeation downstream and secondary ions sputtered from the implantation surface were measured as a function of implantation fluence for specimens at 420 K. The present studies indicate that the a-C : H film permeability is directly related to the time, hence the fluence, required to achieve isotopic replacement and saturation of the deuterium ion beam atoms stopped in the implant region. Once the deuterium saturation level is achieved in the layer, a significant fraction of the implanting ions can result in permeation. For the present experiment, this permeation factor was much higher than that for uncoated iron specimens subjected to similar beam conditions. Carbon sputter yields of 0.008-0.01 C/D were determined in this work for 1000-eV to 400-eV deuterium ions incident on a-C : H films.

  15. The use of an ion-beam source to alter the surface morphology of biological implant materials

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1978-01-01

    An electron bombardment, ion thruster was used as a neutralized-ion beam sputtering source to texture the surfaces of biological implant materials. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane were obtained.

  16. Depth profiling of high energy nitrogen ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals

    NASA Astrophysics Data System (ADS)

    Erić, M.; Petrović, S.; Kokkoris, M.; Lagoyannis, A.; Paneta, V.; Harissopulos, S.; Telečki, I.

    2012-03-01

    This work reports on the experimentally obtained depth profiles of 4 MeV 14N2+ ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals. The ion fluence was 1017 particles/cm2. The nitrogen depth profiling has been performed using the Nuclear Reaction Analysis (NRA) method, via the study of 14N(d,α0)12C and 14N(d,α1)12C nuclear reactions, and with the implementation of SRIM 2010 and SIMNRA computer simulation codes. For the randomly oriented silicon crystal, change of the density of silicon matrix and the nitrogen "bubble" formation have been proposed as the explanation for the difference between the experimental and simulated nitrogen depth profiles. During the implantation, the RBS/C spectra were measured on the nitrogen implanted and on the virgin crystal spots. These spectra provide information on the amorphization of the silicon crystals induced by the ion implantation.

  17. Advanced process control and novel test methods for PVD silicon and elastomeric silicone coatings utilized on ion implant disks, heatsinks and selected platens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Springer, J.; Allen, B.; Wriggins, W.

    Coatings play multiple key roles in the proper functioning of mature and current ion implanters. Batch and serial implanters require strategic control of elemental and particulate contamination which often includes scrutiny of the silicon surface coatings encountering direct beam contact. Elastomeric Silicone Coatings must accommodate wafer loading and unloading as well as direct backside contact during implant plus must maintain rigid elemental and particulate specifications. The semiconductor industry has had a significant and continuous effort to obtain ultra-pure silicon coatings with sustained process performance and long life. Low particles and reduced elemental levels for silicon coatings are a major requirementmore » for process engineers, OEM manufacturers, and second source suppliers. Relevant data will be presented. Some emphasis and detail will be placed on the structure and characteristics of a relatively new PVD Silicon Coating process that is very dense and homogeneous. Wear rate under typical ion beam test conditions will be discussed. The PVD Silicon Coating that will be presented here is used on disk shields, wafer handling fingers/fences, exclusion zones of heat sinks, beam dumps and other beamline components. Older, legacy implanters can now provide extended process capability using this new generation PVD silicon - even on implanter systems that were shipped long before the advent of silicon coating for contamination control. Low particles and reduced elemental levels are critical performance criteria for the silicone elastomers used on disk heatsinks and serial implanter platens. Novel evaluation techniques and custom engineered tools are used to investigate the surface interaction characteristics of multiple Elastomeric Silicone Coatings currently in use by the industry - specifically, friction and perpendicular stiction. These parameters are presented as methods to investigate the critical wafer load and unload function. Unique tools and

  18. Advanced process control and novel test methods for PVD silicon and elastomeric silicone coatings utilized on ion implant disks, heatsinks and selected platens

    NASA Astrophysics Data System (ADS)

    Springer, J.; Allen, B.; Wriggins, W.; Kuzbyt, R.; Sinclair, R.

    2012-11-01

    Coatings play multiple key roles in the proper functioning of mature and current ion implanters. Batch and serial implanters require strategic control of elemental and particulate contamination which often includes scrutiny of the silicon surface coatings encountering direct beam contact. Elastomeric Silicone Coatings must accommodate wafer loading and unloading as well as direct backside contact during implant plus must maintain rigid elemental and particulate specifications. The semiconductor industry has had a significant and continuous effort to obtain ultra-pure silicon coatings with sustained process performance and long life. Low particles and reduced elemental levels for silicon coatings are a major requirement for process engineers, OEM manufacturers, and second source suppliers. Relevant data will be presented. Some emphasis and detail will be placed on the structure and characteristics of a relatively new PVD Silicon Coating process that is very dense and homogeneous. Wear rate under typical ion beam test conditions will be discussed. The PVD Silicon Coating that will be presented here is used on disk shields, wafer handling fingers/fences, exclusion zones of heat sinks, beam dumps and other beamline components. Older, legacy implanters can now provide extended process capability using this new generation PVD silicon - even on implanter systems that were shipped long before the advent of silicon coating for contamination control. Low particles and reduced elemental levels are critical performance criteria for the silicone elastomers used on disk heatsinks and serial implanter platens. Novel evaluation techniques and custom engineered tools are used to investigate the surface interaction characteristics of multiple Elastomeric Silicone Coatings currently in use by the industry - specifically, friction and perpendicular stiction. These parameters are presented as methods to investigate the critical wafer load and unload function. Unique tools and test

  19. Temperature-dependent phosphorous dopant activation in ZnO thin film deposited using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    High band gap (3.34 eV) and large exciton binding energy (60 meV) at room temperature facilitates ZnO as a useful candidate for optoelectronics devices. Presence of zinc interstitial and oxygen vacancies results in n-type ZnO film. Phosphorus implantation was carried out using plasma immersion ion implantation technique (2kV, 900W) for constant duration (50 s) on RF sputtered ZnO thin films (Sample A). For dopant activation, sample A was subjected to Rapid Thermal Annealing (RTA) at 700, 800, 900 and 1000°C for 10 s in Oxygen ambient (Sample B, C, D, E). Low temperature (18 K) photoluminescence measurement demonstrated strong donor bound exciton peak for sample A. Dominant donor to acceptor pair peak (DAP) was observed for sample D at around 3.22 eV with linewidth of 131.3 meV. High resolution x-ray diffraction measurement demonstrated (001) and (002) peaks for sample A. (002) peak with high intensity was observed from all annealed samples. Incorporation of phosphorus in ZnO films leads to peak shift towards higher 2θ angle indicate tensile strain in implanted samples. Scanning electron microscopy images reveals improvement in grain size distribution along with reduction of implantation related defects. Raman spectra measured A1(LO) peak at around 576 cm-1 for sample A. Low intensity E2 (high) peak was observed for sample D indicating formation of (PZn+2VZn) complexes. From room temperature Hall measurement, sample D measured 1.17 x 1018 cm -3 carrier concentration with low resistivity of 0.464 Ω.

  20. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation.

    PubMed

    Lei, Ze-Yuan; Liu, Ting; Li, Wei-Juan; Shi, Xiao-Hua; Fan, Dong-Li

    Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C)-ion implantation. Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR) and patterned C-ion-implanted silicone rubber (PC-SR). Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR). The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less collagen deposition around implants made from PC-SR. Although the surface chemical properties, dermal fibroblast cell growth, and cell adhesion were not changed by microgroove pattern modification, a more orderly cell arrangement was obtained, leading to enhanced

  1. New advanced surface modification technique: titanium oxide ceramic surface implants: long-term clinical results

    NASA Astrophysics Data System (ADS)

    Szabo, Gyorgy; Kovacs, Lajos; Barabas, Jozsef; Nemeth, Zsolt; Maironna, Carlo

    2001-11-01

    The purpose of this paper is to discuss the background to advanced surface modification technologies and to present a new technique, involving the formation of a titanium oxide ceramic coating, with relatively long-term results of its clinical utilization. Three general techniques are used to modify surfaces: the addition or removal of material and the change of material already present. Surface properties can also be changed without the addition or removal of material, through the laser or electron beam thermal treatment. The new technique outlined in this paper relates to the production of a corrosion-resistant 2000-2500 A thick, ceramic oxide layer with a coherent crystalline structure on the surface of titanium implants. The layer is grown electrochemically from the bulk of the metal and is modified by heat treatment. Such oxide ceramic-coated implants have a number of advantageous properties relative to implants covered with various other coatings: a higher external hardness, a greater force of adherence between the titanium and the oxide ceramic coating, a virtually perfect insulation between the organism and the metal (no possibility of metal allergy), etc. The coated implants were subjected to various physical, chemical, electronmicroscopic, etc. tests for a qualitative characterization. Finally, these implants (plates, screws for maxillofacial osteosynthesis and dental root implants) were applied in surgical practice for a period of 10 years. Tests and the experience acquired demonstrated the good properties of the titanium oxide ceramic-coated implants.

  2. Corrosion behavior of surface films on boron-implanted high purity iron and stainless steels

    NASA Technical Reports Server (NTRS)

    Kim, H. J.; Carter, W. B.; Hochman, R. F.; Meletis, E. I.

    1985-01-01

    Boron (dose, 2 x 10 to the 17th ions/sq cm) was implanted into high purity iron, AISI 316 austenitic stainless steel, and AISI 440C martensitic stainless steel, at 40 keV. The film structure of implanted samples was examined and characterized by contrast and diffraction analyses utilizing transmission electron microscopy. The effect of B(+) ion implantation on the corrosion behavior was studied using the potentiodynamic polarization technique. Tests were performed in deaerated 1 N H2SO4 and 0.1 M NaCl solutions. Scanning electron microscopy was used to examine the morphology of the corroded surfaces after testing.

  3. The effects of surgical preparation techniques and implant macro-geometry on primary stability: An in vitro study

    PubMed Central

    Severino, Marco; Rastelli, Claudio; Bernardi, Sara; Caruso, Silvia; Galli, Massimo; Lamazza, Luca; Di Paolo, Carlo

    2017-01-01

    Background The attainment of a good primary stability is a necessary condition to ensure the success of osseointegration in implantology. In type IV cancellous bone, however, it is possible that a reduced primary stability can lead to an increased rate of failure. The aim of this study was therefore to determine, with the help of the resonance frequency (Osstell mentor), which technique of implant site preparation (piezo surgery, conventional, under-preparation, bone compaction, osteodistraction) and macro-geometry is able to improve implant stability in type IV cancellous bone. Material and Methods 10 pig ribs were prepared with a surgical pre-drilled guide, calibrated for a correct implant positioning. On each rib, 5 implant sites (one for each technique) were prepared. Successively, 50 conical implants (Tekka Global D) were inserted and measured with the resonance frequency to evaluate the primary stability. Data collected were analyzed by analysis of variance (ANOVA) to test whether the Implant Stability Quotient (ISQ) values of the five techniques were significantly different. Results The results showed that no significant differences among the ISQ values of the five techniques used were found. Also, no significant differences in the macro-geometry of the two types of compared implants were observed. However, the macro-geometry of Tekka implants, characterized by a double condensing thread, seems to provide greater ISQ values than those of single thread implants when using the same technique. Conclusions In light of these preliminary data, it is conceivable that in cases of reduced stability, such as those occurring with a type IV bone, all means ameliorating the primary stability and accelerating the osseointegration can be utilized. Key words:Implant primary stability, resonance frequency analysis, implant site preparation. PMID:28160577

  4. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching

    NASA Astrophysics Data System (ADS)

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-01

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  5. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching.

    PubMed

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-19

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  6. The effects of surgical preparation techniques and implant macro-geometry on primary stability: An in vitro study.

    PubMed

    Falisi, G; Severino, M; Rastelli, C; Bernardi, S; Caruso, S; Galli, M; Lamazza, L; Di Paolo, C

    2017-03-01

    The attainment of a good primary stability is a necessary condition to ensure the success of osseointegration in implantology. In type IV cancellous bone, however, it is possible that a reduced primary stability can lead to an increased rate of failure. The aim of this study was therefore to determine, with the help of the resonance frequency (Osstell mentor), which technique of implant site preparation (piezo surgery, conventional, under-preparation, bone compaction, osteodistraction) and macro-geometry is able to improve implant stability in type IV cancellous bone. 10 pig ribs were prepared with a surgical pre-drilled guide, calibrated for a correct implant positioning. On each rib, 5 implant sites (one for each technique) were prepared. Successively, 50 conical implants (Tekka Global D) were inserted and measured with the resonance frequency to evaluate the primary stability. Data collected were analyzed by analysis of variance (ANOVA) to test whether the Implant Stability Quotient (ISQ) values of the five techniques were significantly different. The results showed that no significant differences among the ISQ values of the five techniques used were found. Also, no significant differences in the macro-geometry of the two types of compared implants were observed. However, the macro-geometry of Tekka implants, characterized by a double condensing thread, seems to provide greater ISQ values than those of single thread implants when using the same technique. In light of these preliminary data, it is conceivable that in cases of reduced stability, such as those occurring with a type IV bone, all means ameliorating the primary stability and accelerating the osseointegration can be utilized.

  7. Bubble morphology in U3Si2 implanted by high-energy Xe ions at 300 °C

    NASA Astrophysics Data System (ADS)

    Miao, Yinbin; Harp, Jason; Mo, Kun; Zhu, Shaofei; Yao, Tiankai; Lian, Jie; Yacout, Abdellatif M.

    2017-11-01

    The microstructure modifications of a high-energy Xe implanted U3Si2, a promising accident tolerant fuel candidate, were characterized and are reported upon. The U3Si2 pellet was irradiated at Argonne Tandem Linac Accelerator System (ATLAS) by an 84 MeV Xe ion beam at 300 °C. The irradiated specimen was then investigated using a series of transmission electron microscopy (TEM) techniques. A dense distribution of bubbles were observed near the range of the 84 MeV Xe ions. Xe gas was also found to accumulate at multiple types of sinks, such as dislocations and grain boundaries. Bubbles aggregated at those sinks are slightly larger than intragranular bubbles in lattice. At 300 °C, the gaseous swelling strain is limited as all the bubbles are below 10 nm, implying the promising fission gas behavior of U3Si2 under normal operating conditions in light water reactors (LWRs).

  8. Soft tissue remodeling technique as a non-invasive alternative to second implant surgery.

    PubMed

    Vela, Xavier; Méndez, Víctor; Rodríguez, Xavier; Segalà, Maribel; Gil, Jaime A

    2012-01-01

    It is currently accepted that success in implant-supported restorations is based not only on osseointegration, but also on achieving the esthetic outcome of natural teeth and healthy soft tissues. The socalled "pink esthetic" has become the main challenge with implant-supported rehabilitations in the anterior area. This is especially difficult in the cases with two adjacent implants. Two components affect the final periimplant gingiva: a correct bone support, and a sufficient quantity and quality of soft tissues. Several papers have emphasized the need to regenerate and preserve the bone after extractions, or after the exposure of the implants to the oral environment. The classical implantation protocol entails entering the working area several times and always involves the surgical manipulation of peri-implant tissues. Careful surgical handling of the soft tissues when exposing the implants and placing the healing abutments (second surgery) helps the clinician to obtain the best possible results, but even so there is a loss of volume of the tissues as they become weaker and more rigid after each procedure. The present study proposes a new protocol that includes the connective tissue graft placement and the soft tissues remodeling technique, which is based on the use of the ovoid pontics. This technique may help to minimize the logical scar reaction after the second surgery and to improve the final emergence profile.

  9. Dynamic defect annealing in wurtzite MgZnO implanted with Ar ions

    NASA Astrophysics Data System (ADS)

    Azarov, A. Yu.; Wendler, E.; Du, X. L.; Kuznetsov, A. Yu.; Svensson, B. G.

    2015-09-01

    Successful implementation of ion beams for modification of ternary ZnO-based oxides requires understanding and control of radiation-induced defects. Here, we study structural disorder in wurtzite ZnO and MgxZn1-xO (x ⩽ 0.3) samples implanted at room and 15 K temperatures with Ar ions in a wide fluence range (5 × 1012-3 × 1016 cm-2). The samples were characterized by Rutherford backscattering/channeling spectrometry performed in-situ without changing the sample temperature. The results show that all the samples exhibit high radiation resistance and cannot be rendered amorphous even for high ion fluences. Increasing the Mg content leads to some damage enhancement near the surface region; however, irrespective of the Mg content, the fluence dependence of bulk damage in the samples displays the so-called IV-stage evolution with a reverse temperature effect for high ion fluences.

  10. Deep brain stimulation with a pre-existing cochlear implant: Surgical technique and outcome.

    PubMed

    Eddelman, Daniel; Wewel, Joshua; Wiet, R Mark; Metman, Leo V; Sani, Sepehr

    2017-01-01

    Patients with previously implanted cranial devices pose a special challenge in deep brain stimulation (DBS) surgery. We report the implantation of bilateral DBS leads in a patient with a cochlear implant. Technical nuances and long-term interdevice functionality are presented. A 70-year-old patient with advancing Parkinson's disease and a previously placed cochlear implant for sensorineural hearing loss was referred for placement of bilateral DBS in the subthalamic nucleus (STN). Prior to DBS, the patient underwent surgical removal of the subgaleal cochlear magnet, followed by stereotactic MRI, frame placement, stereotactic computed tomography (CT), and merging of imaging studies. This technique allowed for successful computational merging, MRI-guided targeting, and lead implantation with acceptable accuracy. Formal testing and programming of both the devices were successful without electrical interference. Successful DBS implantation with high resolution MRI-guided targeting is technically feasible in patients with previously implanted cochlear implants by following proper precautions.

  11. Ag implantation-induced modification of Ni-Ti shape memory alloy thin films

    NASA Astrophysics Data System (ADS)

    Kumar, V.; Singhal, R.; Vishnoi, R.; Banerjee, M. K.; Sharma, M. C.; Asokan, K.; Kumar, M.

    2017-08-01

    Nanocrystalline thin films of Ni-Ti shape memory alloy are deposited on an Si substrate by the DC-magnetron co-sputtering technique and 120 keV Ag ions are implanted at different fluences. The thickness and composition of the pristine films are determined by Rutherford Backscattering Spectrometry (RBS). X-Ray diffraction (XRD), atomic force microscopy (AFM) and four-point probe resistivity methods have been used to study the structural, morphological and electrical transport properties. XRD analysis has revealed the existence of martensitic and austenite phases in the pristine film and also evidenced the structural changes in Ag-implanted Ni-Ti films at different fluences. AFM studies have revealed that surface roughness and grain size of Ni-Ti films have decreased with an increase in ion fluence. The modifications in the mechanical behaviour of implanted Ni-Ti films w.r.t pristine film is determined by using a Nano-indentation tester at room temperature. Higher hardness and the ratio of higher hardness (H) to elastic modulus (Er) are observed for the film implanted at an optimized fluence of 9 × 1015 ions/cm2. This improvement in mechanical behaviour could be understood in terms of grain refinement and dislocation induced by the Ag ion implantation in the Ni-Ti thin films.

  12. Final incision size after cataract surgery with toric intraocular lens implantation using 2 techniques.

    PubMed

    Guarnieri, Adriano; Moreno-Montañés, Javier; Sabater, Alfonso L; Gosende-Chico, Inmaculada; Bonet-Farriol, Elvira

    2013-11-01

    To analyze the changes in incision sizes after implantation of a toric intraocular lens (IOL) using 2 methods. Department of Ophthalmology, Clínica Universidad de Navarra, Pamplona, Spain. Prospective case series. Coaxial phacoemulsification and IOL implantation through a 2.2 mm clear corneal incision using a cartridge injector were performed. Wound-assisted or cartridge-insertion techniques were used to implant the IOLs. The results were analyzed according to IOL spherical and cylindrical powers. Corneal hysteresis (CH) and the corneal resistance factor (CRF) were measured and evaluated based on the changes in incision size. Incision size increased in 30 (41.7%) of 72 eyes in the wound-assisted group and 71 (98.6%) of 72 eyes in the cartridge-insertion group. The mean incision size after IOL implantation was 2.27 mm ± 0.06 (SD) and 2.37 ± 0.05 mm, respectively (P<.01). The final incision size and IOL spherical power in the wound-assisted technique group (P=.02) and the cartridge-insertion technique group (P=.03) were correlated significantly; IOL toricity was not (P=.19 and P=.28, respectively). The CH and CRF values were not correlated with the final incision size. The final incision size and the changes in incision size after IOL implantation were greater with the cartridge-insertion technique than with the wound-assisted technique. The increase was related to IOL spherical power in both groups but not to IOL toricity. Corneal biomechanical properties were not correlated with the final incision size. Copyright © 2013 ASCRS and ESCRS. Published by Elsevier Inc. All rights reserved.

  13. Comparison of implant cast accuracy of multiple implant impression technique with different splinting materials: An in vitro study

    PubMed Central

    Selvaraj, Sunantha; Dorairaj, Jayachandran; Mohan, Jayashree; Simon, Paul

    2016-01-01

    Introduction: An accurate and passive fit of implant framework prosthesis, as well as the successful surgical operation is suggested as one of the critical requirements for long-term implant success. Objective: The purpose of this in vitro study was to evaluate the accuracy of the master cast using open tray impression technique with conventional and novel splinting materials. Methodology: A mandibular reference model with four ADIN implants was done. Ten custom trays were fabricated using the light curable resin sheets. Medium body polyether impression material was used. These trays were randomly divided between the two groups, with five trays in each group. Impression techniques were divided into two groups namely: Group A: Direct impression technique with open tray impression copings splinted with autopolymerizing acrylic resin (GC pattern resin). Group B: Direct impression technique with open tray impression copings splinted with Pro-temp TM 4 (bis-GMA) syringable temporization material. Thus, final impressions were made. Total of 10 master casts were fabricated. Evaluation of casts using Dynascope-Vision Engineering, TESA microhite two- dimension and coordinate measuring machine were used. Results: Statistical comparisons were made using ANOVA test and post-hoc test. Same amount of deviation values obtained with resin splinted and bis-GMA splinted impression copings. Conclusion: The master cast obtained by both the splinting material exhibits no difference from the reference model. So bis-GMA can be used, which is easy to handle, less time consuming, less technique sensitive, rigid, and readily available material in clinics. PMID:27141167

  14. Influence of impression technique and material on the accuracy of multiple implant impressions.

    PubMed

    Wöstmann, Bernd; Rehmann, Peter; Balkenhol, Markus

    2008-01-01

    This study aimed to analyze the influence of impression technique (pick-up versus reposition) and material on the accuracy of the working cast. Sixty impressions were made with 3 materials from a master cast with 4 XiVE implants. The changes in the implant axis direction, rotation, and 3-dimensional shift were assessed. The pick-up technique showed significantly (P < .05, U test) lower values for axis direction and 3D shift but higher values for rotation than the reposition technique. The differences between the materials were not significant (P > .05, H test). It can be concluded that the impression technique-in contrast to the impression material-has a significant influence on transfer accuracy.

  15. Improving Sustainability of Ion Implant Modules

    NASA Astrophysics Data System (ADS)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  16. Effect of argon ion implantation on the electrical and dielectric properties of CR-39

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Goyal, Meetika

    2016-05-23

    The objective of the present work is to study the effect of 130 keV Ar{sup +} ions on the electrical and dielectric properties of CR-39 samples at various doses 5×10{sup 14}, 1×10{sup 15} and 1×10{sup 16} Ar{sup +} cm{sup −2}. Current-Voltage (I-V characteristics) measurements have been used to study the electrical properties of virgin and Ar{sup +} implanted CR-39 specimens. The current has been found to be increased with increasing voltage as well as with increasing ion dose. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. The dielectric constant has been found tomore » be decreasing whereas dielectric loss factor increases with increasing ion fluence. These kind of behavior observed in the implanted specimens indicate towards the formation of carbonaceous clusters due to the cross linking, chemical bond cleavage, formation of free radicals. The changes observed in the dielectric behavior have been further correlated with the structural changes observed through I-V characteristics.« less

  17. Soft tissue management for dental implants: what are the most effective techniques? A Cochrane systematic review.

    PubMed

    Esposito, Marco; Maghaireh, Hassan; Grusovin, Maria Gabriella; Ziounas, Ioannis; Worthington, Helen V

    2012-01-01

    This review is based on a Cochrane systematic review entitled 'Interventions for replacing missing teeth: management of soft tissues for dental implants' published in The Cochrane Library (see http:// www.cochrane.org/ for information). Cochrane systematic reviews are regularly updated to include new research, and in response to comments and criticisms from readers. If you wish to comment on this review, please send your comments to the Cochrane website or to Marco Esposito. The Cochrane Library should be consulted for the most recent version of the review. The results of a Cochrane review can be interpreted differently, depending on people's perspectives and circumstances. Please consider the conclusions presented carefully. They are the opinions of the review authors, and are not necessarily shared by the Cochrane Collaboration. To evaluate whether flapless procedures are beneficial for patients and which is the ideal flap design, whether soft tissue correction/augmentation techniques are beneficial for patients and which are the best techniques, whether techniques to increase the peri-implant keratinised mucosa are beneficial for patients and which are the best techniques, and which are the best suturing techniques/ materials. The Cochrane Oral Health Group's Trials Register, CENTRAL, MEDLINE and EMBASE were searched up to the 9th of June 2011 for randomised controlled trials (RCTs) of rootform osseointegrated dental implants, with a follow-up of at least 6 months after function, comparing various techniques to handle soft tissues in relation to dental implants. Primary outcome measures were prosthetic failures, implant failures and biological complications. Screening of eligible studies, assessment of the methodological quality of the trials and data extraction were conducted at least in duplicate and independently by two or more review authors. The statistical unit was the patient and not the prosthesis, the procedure or the implant. RESULTS were expressed

  18. Determination of Ni Release in NiTi SMA with Surface Modification by Nitrogen Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    de Camargo, Eliene Nogueira; Oliveira Lobo, Anderson; Silva, Maria Margareth Da; Ueda, Mario; Garcia, Edivaldo Egea; Pichon, Luc; Reuther, Helfried; Otubo, Jorge

    2011-07-01

    NiTi SMA is a promising material in the biomedical area due to its mechanical properties and biocompatibility. However, the nickel in the alloy may cause allergic and toxic reactions and thus limiting its applications. It was evaluated the influence of surface modification in NiTi SMA by nitrogen plasma immersion ion implantation (varying temperatures, and exposure time as follows: <250 °C/2 h, 290 °C/2 h, and 560 °C/1 h) in the amount of nickel released using immersion test in simulated body fluid. The depth of the nitrogen implanted layer increased as the implantation temperature increased resulting in the decrease of nickel release. The sample implanted in high implantation temperature presented 35% of nickel release reduction compared to reference sample.

  19. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    NASA Astrophysics Data System (ADS)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  20. Vacancy defect and defect cluster energetics in ion-implanted ZnO

    NASA Astrophysics Data System (ADS)

    Dong, Yufeng; Tuomisto, F.; Svensson, B. G.; Kuznetsov, A. Yu.; Brillson, Leonard J.

    2010-02-01

    We have used depth-resolved cathodoluminescence, positron annihilation, and surface photovoltage spectroscopies to determine the energy levels of Zn vacancies and vacancy clusters in bulk ZnO crystals. Doppler broadening-measured transformation of Zn vacancies to vacancy clusters with annealing shifts defect energies significantly lower in the ZnO band gap. Zn and corresponding O vacancy-related depth distributions provide a consistent explanation of depth-dependent resistivity and carrier-concentration changes induced by ion implantation.

  1. Fit Analysis of Different Framework Fabrication Techniques for Implant-Supported Partial Prostheses.

    PubMed

    Spazzin, Aloísio Oro; Bacchi, Atais; Trevisani, Alexandre; Farina, Ana Paula; Dos Santos, Mateus Bertolini

    2016-01-01

    This study evaluated the vertical misfit of implant-supported frameworks made using different techniques to obtain passive fit. Thirty three-unit fixed partial dentures were fabricated in cobalt-chromium alloy (n = 10) using three fabrication methods: one-piece casting, framework cemented on prepared abutments, and laser welding. The vertical misfit between the frameworks and the abutments was evaluated with an optical microscope using the single-screw test. Data were analyzed using one-way analysis of variance and Tukey test (α = .05). The one-piece casted frameworks presented significantly higher vertical misfit values than those found for framework cemented on prepared abutments and laser welding techniques (P < .001 and P < .003, respectively). Laser welding and framework cemented on prepared abutments are effective techniques to improve the adaptation of three-unit implant-supported prostheses. These techniques presented similar fit.

  2. Instant loading with intraoral welding technique and PRAMA implants: a new prosthetic approach.

    PubMed

    Celletti, R; Fanali, S; Laici, C U; Santori, C; Pignatelli, P; Sinjari, B

    2017-01-01

    When splinting multiple implants passive fit of the framework should be achieved to avoid excessive force distribution on the implants. Recently, a protocol was suggested for immediate loading of multiple implants by welding a titanium bar to implant abutments directly in the oral cavity so as to create a customized, precise and passive metal-reinforced provisional restoration. The intraoral welding technique subsequently proves to be a successful option in the full-arch immediate restorations of the mandible and maxilla. The aim of this article is to present a case report in which a new prosthetic approach, using trans-mucosal implants, is described. Dental implants are instantly loaded with a provisional prosthesis supported by an intraoral welded titanium framework to obtain a precise passive fit of the immediate loaded prosthesis.

  3. Imaging technique for the complete edentulous patient treated conventionally or with mini implant overdenture

    PubMed Central

    Meleşcanu Imre, M; Preoteasa, E; Țâncu, AM; Preoteasa, CT

    2013-01-01

    Rationale. The imaging methods are more and more used in the clinical process of modern dentistry. Once the implant based treatment alternatives are nowadays seen as being the standard of care in edentulous patients, these techniques must be integrated in the complete denture treatment. Aim. The study presents some evaluation techniques for the edentulous patient treated by conventional dentures or mini dental implants (mini SKY Bredent) overdentures, using the profile teleradiography. These offer data useful for an optimal positioning of the artificial teeth and the mini dental implants, favoring to obtain an esthetic and functional treatment outcome. We proposed also a method to conceive a simple surgical guide that allows the prosthetically driven implants placement. Material and method. Clinical case reports were made, highlighting the importance of cephalometric evaluation on lateral teleradiographs in complete edentulous patients. A clinical case that gradually reports the surgical guide preparation (Bredent silicon radio opaque), in order to place the mini dental implants in the best prosthetic and anatomic conditions, was presented. Conclusions. The profile teleradiograph is a useful tool for the practitioner. It allows establishing the optimal site for implant placement, in a good relation with the overdenture. The conventional denture can be easily and relatively costless transformed in a surgical guide used during implant placement. PMID:23599828

  4. Various Techniques to Increase Keratinized Tissue for Implant Supported Overdentures: Retrospective Case Series

    PubMed Central

    Cayarga, Rodrigo; Suzuki, Takanori; Kaufman, Zev

    2015-01-01

    Purpose. The purpose of this retrospective case series is to describe and compare different surgical techniques that can be utilized to augment the keratinized soft tissue around implant-supported overdentures. Materials and Methods. The data set was extracted as deidentified information from the routine treatment of patients at the Ashman Department of Periodontology and Implant Dentistry at New York University College of Dentistry. Eight edentulous patients were selected to be included in this study. Patients were treated for lack of keratinized tissue prior to implant placement, during the second stage surgery, and after delivery of the final prosthesis. Results. All 8 patients in this study were wearing a complete maxillary and/or mandibular denture for at least a year before the time of the surgery. One of the following surgical techniques was utilized to increase the amount of keratinized tissue: apically positioned flap (APF), pedicle graft (PG), connective tissue graft (CTG), or free gingival graft (FGG). Conclusions. The amount of keratinized tissue should be taken into consideration when planning for implant-supported overdentures. The apical repositioning flap is an effective approach to increase the width of keratinized tissue prior to the implant placement. PMID:26124833

  5. Effects of positive ion implantation into antireflection coating of silicon solar cells

    NASA Technical Reports Server (NTRS)

    Middleton, A. E.; Harpster, J. W.; Collis, W. J.; Kim, C. K.

    1971-01-01

    The state of technological development of Si solar cells for highest obtained efficiency and radiation resistance is summarized. The various theoretical analyses of Si solar cells are reviewed. It is shown that factors controlling blue response are carrier diffusion length, surface recombination, impurity concentration profile in surface region, high level of surface impurity concentration (degeneracy), reflection coefficient of oxide, and absorption coefficient of Si. The theory of ion implantation of charge into the oxide antireflection coating is developed and side effects are discussed. The experimental investigations were directed at determining whether the blue response of Si solar cells could be improved by phosphorus ion charges introduced into the oxide antireflection coating.

  6. Bone tissue reactions to biomimetic ion-substituted apatite surfaces on titanium implants.

    PubMed

    Ballo, Ahmed M; Xia, Wei; Palmquist, Anders; Lindahl, Carl; Emanuelsson, Lena; Lausmaa, Jukka; Engqvist, Håkan; Thomsen, Peter

    2012-07-07

    The aim of this study was to evaluate the bone tissue response to strontium- and silicon-substituted apatite (Sr-HA and Si-HA) modified titanium (Ti) implants. Sr-HA, Si-HA and HA were grown on thermally oxidized Ti implants by a biomimetic process. Oxidized implants were used as controls. Surface properties, i.e. chemical composition, surface thickness, morphology/pore characteristics, crystal structure and roughness, were characterized with various analytical techniques. The implants were inserted in rat tibiae and block biopsies were prepared for histology, histomorphometry and scanning electron microscopy analysis. Histologically, new bone formed on all implant surfaces. The bone was deposited directly onto the Sr-HA and Si-HA implants without any intervening soft tissue. The statistical analysis showed significant higher amount of bone-implant contact (BIC) for the Si-doped HA modification (P = 0.030), whereas significant higher bone area (BA) for the Sr-doped HA modification (P = 0.034), when compared with the non-doped HA modification. The differences were most pronounced at the early time point. The healing time had a significant impact for both BA and BIC (P < 0.001). The present results show that biomimetically prepared Si-HA and Sr-HA on Ti implants provided bioactivity and promoted early bone formation.

  7. Formation of mono-layered gold nanoparticles in shallow depth of SiO 2 thin film by low-energy negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Tsuji, H.; Arai, N.; Ueno, K.; Matsumoto, T.; Gotoh, N.; Adachi, K.; Kotaki, H.; Gotoh, Y.; Ishikawa, J.

    2006-01-01

    Mono-layered gold nanoparticles just below the surface of silicon oxide film have been formed by a gold negative-ion implantation at a very low-energy, where the deviation of implanted atoms was sufficiently narrow comparing to the size of nanoparticles. Gold negative ions were implanted into SiO2 thin films on Si substrate at energies of 35, 15 and 1 keV. The samples were annealed in Ar flow for 1 h at 900 or 1000 °C. Cross-sectional TEM observation for the implantation at 1 keV showed existence of Au nanoparticles aligned in the same depth of 5 nm from the surface. The nanoparticles had almost same diameter of 7 nm. The nanoparticles were found to be gold single crystal from a high-resolution TEM image.

  8. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williams, R.; Rubensson, J.E.; Eisebitt, S.

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantationmore » and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.« less

  9. Corrosion behavior of ion implanted nickel-titanium orthodontic wire in fluoride mouth rinse solutions.

    PubMed

    Iijima, Masahiro; Yuasa, Toshihiro; Endo, Kazuhiko; Muguruma, Takeshi; Ohno, Hiroki; Mizoguchi, Itaru

    2010-01-01

    This study investigated the corrosion properties of ion implanted nickel-titanium wire (Neo Sentalloy Ionguard) in artificial saliva and fluoride mouth rinse solutions (Butler F Mouthrinse, Ora-Bliss). Non ion implanted nickel-titanium wire (Neo Sentalloy) was used as control. The anodic corrosion behavior was examined by potentiodynamic polarization measurement. The surfaces of the specimens were examined with SEM. The elemental depth profiles were characterized by XPS. Neo Sentalloy Ionguard in artificial saliva and Butler F Mouthrinse (500 ppm) had a lower current density than Neo Sentalloy. In addition, breakdown potential of Neo Sentalloy Ionguard in Ora-Bliss (900 ppm) was much higher than that of Neo Sentalloy although both wires had similar corrosion potential in Ora-Bliss (450 and 900 ppm). The XPS results for Neo Sentalloy Ionguard suggested that the layers consisted of TiO(2) and TiN were present on the surface and the layers may improve the corrosion properties.

  10. Photoluminescence from Au ion-implanted nanoporous single-crystal 12CaO•7Al2O3

    NASA Astrophysics Data System (ADS)

    Miyakawa, Masashi; Kamioka, Hayato; Hirano, Masahiro; Kamiya, Toshio; Sushko, Peter V.; Shluger, Alexander L.; Matsunami, Noriaki; Hosono, Hideo

    2006-05-01

    Implantation of Au+ ions into a single crystalline 12CaO•7Al2O3 (C12A7) was performed at high temperatures with fluences from 1×1014 to 3×1016cm-2 . This material is composed of positively charged sub-nanometer-sized cages compensated by extra-framework negatively charged species. The depth profile of concentrations of Au species was analyzed using Rutherford backscattering spectrometry. The measured optical spectra and ab initio embedded cluster calculations show that the implanted Au species are stabilized in the form of negative Au- ions below the fluences of ˜1×1016cm-2 (Au volume concentration of ˜2×1021cm-3 ). These ions are trapped in the cages and exhibit photoluminescence (PL) bands peaking at 3.05 and 2.34eV at temperatures below 150K . At fluences exceeding ˜3×1016cm-2 , the implanted Au atoms form nano-sized clusters. This is manifested in quenching of the PL bands and creation of an optical absorption band at 2.43eV due to the surface plasmon of free carriers in the cluster. The PL bands are attributed to the charge transfer transitions (Au0+e-→Au-) due to recombination of photo-excited electrons (e-) , transiently transferred by ultraviolet excitation into a nearby cages, with Au0 atoms.

  11. Effect of Ar Ion Beam Implantation on Morphological and Physiological Characteristics of Liquorice (Glycyrrhiza uralensis Fisch) Under Short-Term Artificial Drought Conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Xiangsheng; Wu, Lijun; Yu, Lixiang; Wei, Shenglin; Liu, Jingnan; Yu, Zengliang

    2007-04-01

    Ar+ ion beam with low energy of 30 keV was implanted into liquorice (Glycyrrhiza uralensis Fisch) seeds at the doses of 0, 600, 900 and 1200 × (2.6 × 1013) ions/cm2, respectively. The seeds were sowed in pots and after one month the plants were subjected to different drought conditions for two months. Then the plants' morphological and physiological characteristics, anti-oxidation enzymes and levels of endogenous hormones were investigated. The results showed that ion implantation at a proper dose can greatly enhance the liquorice seedlings' resistance against drought stress.

  12. Bubble morphology in U 3Si 2 implanted by high-energy Xe ions at 300 °C

    DOE PAGES

    Miao, Yinbin; Harp, Jason; Mo, Kun; ...

    2017-08-02

    The microstructure modifications of a high-energy Xe implanted U 3Si 2, a promising accident tolerant fuel candidate, were characterized and are reported upon. The U 3Si 2 pellet was irradiated at Argonne Tandem Linac Accelerator System (ATLAS) by an 84 MeV Xe ion beam at 300 °C. The irradiated specimen was then investigated using a series of transmission electron microscopy (TEM) techniques. A dense distribution of bubbles were observed near the range of the 84 MeV Xe ions. Xe gas was also found to accumulate at multiple types of sinks, such as dislocations and grain boundaries. Bubbles aggregated at thosemore » sinks are slightly larger than intragranular bubbles in lattice. At 300 °C, the gaseous swelling strain is limited as all the bubbles are below 10 nm, implying the promising fission gas behavior of U 3Si 2 under normal operating conditions in light water reactors (LWRs).« less

  13. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    NASA Astrophysics Data System (ADS)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  14. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    NASA Astrophysics Data System (ADS)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  15. Proliferation and differentiation of osteoblastic cells on titanium modified by ammonia plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Liu, Fei; Li, Bin; Sun, Junying; Li, Hongwei; Wang, Bing; Zhang, Shailin

    2012-03-01

    We report here a new method of titanium surface modification through ammonia (NH3) plasma immersion ion implantation (PIII) technique and its effect on the cellular behaviors of MC3T3-E1 osteoblastic cells. The NH3 PIII-treated titanium substrates (NH3-Ti) were characterized by X-ray photoelectron (XPS), which showed that NH3-Ti had a nitrogen-rich surface. However, there was no significant difference between the surface morphology of NH3-Ti and unmodified Ti. When MC3T3-E1 cells were cultured on NH3-Ti substrates, it was found that cell proliferation was accelerated at 4 and 7 days of culture. Meanwhile, cell differentiation was evaluated using type I collagen (COL I), osteocalcin (OC) and bone sialoprotein (BSP) as differentiation markers. It was found that expression of COL I and OC genes was up-regulated on NH3-Ti substrates. However, no significant difference was found in BSP gene expression between NH3-Ti and unmodified Ti substrates. Therefore, findings from this study indicate that surface modification of titanium through NH3 PIII favors osteoblastic proliferation and differentiation and as a result, it may be used to improve the biocompatibility of Ti implants in vivo.

  16. Electronic and Vibrational Spectra of InP Quantum Dots Formed by Sequential Ion Implantation

    NASA Technical Reports Server (NTRS)

    Hall, C.; Mu, R.; Tung, Y. S.; Ueda, A.; Henderson, D. O.; White, C. W.

    1997-01-01

    We have performed sequential ion implantation of indium and phosphorus into silica combined with controlled thermal annealing to fabricate InP quantum dots in a dielectric host. Electronic and vibrational spectra were measured for the as-implanted and annealed samples. The annealed samples show a peak in the infrared spectra near 320/cm which is attributed to a surface phonon mode and is in good agreement with the value calculated from Frolich's theory of surface phonon polaritons. The electronic spectra show the development of a band near 390 nm that is attributed to quantum confined InP.

  17. “In vitro” Implantation Technique Based on 3D Printed Prosthetic Prototypes

    NASA Astrophysics Data System (ADS)

    Tarnita, D.; Boborelu, C.; Geonea, I.; Malciu, R.; Grigorie, L.; Tarnita, D. N.

    2018-06-01

    In this paper, Rapid Prototyping ZCorp 310 system, based on high-performance composite powder and on resin-high strength infiltration system and three-dimensional printing as a manufacturing method are used to obtain physical prototypes of orthopaedic implants and prototypes of complex functional prosthetic systems directly from the 3D CAD data. These prototypes are useful for in vitro experimental tests and measurements to optimize and obtain final physical prototypes. Using a new elbow prosthesis model prototype obtained by 3D printing, the surgical technique of implantation is established. Surgical implantation was performed on male corpse elbow joint.

  18. Biofilm formation on titanium implants counteracted by grafting gallium and silver ions.

    PubMed

    Cochis, Andrea; Azzimonti, Barbara; Della Valle, Cinzia; Chiesa, Roberto; Arciola, Carla Renata; Rimondini, Lia

    2015-03-01

    Biofilm-associated infections remain the leading cause of implant failure. Thanks to its established biocompatibility and biomechanical properties, titanium has become one of the most widely used materials for bone implants. Engineered surface modifications of titanium able to thwart biofilm formation while endowing a safe anchorage to eukaryotic cells are being progressively developed. Here surfaces of disks of commercial grade 2 titanium for bone implant were grafted with gallium and silver ions by anodic spark deposition. Scanning electron microscopy of the surface morphology and energy dispersive X-ray spectroscopy were used for characterization. Gallium-grafted titanium was evaluated in comparison with silver-grafted titanium for both in vivo and in vitro antibiofilm properties and for in vitro compatibility with human primary gingival fibroblasts. Surface-modified materials showed: (i) homogeneous porous morphology, with pores of micrometric size; (ii) absence of cytotoxic effects; (iii) ability to support in vitro the adhesion and spreading of gingival fibroblasts; and (iv) antibiofilm properties. Although both silver and gallium exhibited in vitro strong antibacterial properties, in vivo gallium was significantly more effective than silver in reducing number and viability of biofilm bacteria colonies. Gallium-based treatments represent promising titanium antibiofilm coatings to develop new bone implantable devices for oral, maxillofacial, and orthopedic applications. © 2014 Wiley Periodicals, Inc.

  19. Implant Impression Techniques for the Edentulous Jaw: A Summary of Three Studies.

    PubMed

    Stimmelmayr, Michael; Beuer, Florian; Edelhoff, Daniel; Güth, Jan-Frederik

    2016-02-01

    Precise implant-supported restorations require accurate impressions. Transfer, pick-up, and splinted pick-up are commonly used techniques. Several in vitro studies have compared these impression techniques; however, all studies used mechanical evaluation methods. The purpose of this study was to compare the discrepancies of these impression techniques digitally in vitro and in vivo. Four dental implants were inserted in ten polymer mandibular models bilaterally in the regions of the first molars and canines. Three different impressions were made of each model and the models (original and stone casts) were scanned and digitized. Clinically, four implants were inserted in ten edentulous jaws; transfer and splinted pick-up impressions were made. With inspection software, discrepancies between the different impressions were calculated. The mean discrepancies in the in vitro study of the original polymer model to stone casts were 124 ± 34 μm for the transfer type, 116 ± 46 μm for the pick-up type, and 80 ± 25 μm for the splinted pick-up type, resulting in a mean discrepancy between the transfer and splinted pick-up type of 44 μm (124 - 80 μm). Clinically, the mean discrepancy between these two impression techniques was 280 μm. The differing results between the transfer and splinted pick-up techniques of in vitro and in vivo data showed the need for clinical data; however, splinted pick-up impressions seemed to produce the most precise results. © 2015 by the American College of Prosthodontists.

  20. Ion beam induced defects in solids studied by optical techniques

    NASA Astrophysics Data System (ADS)

    Comins, J. D.; Amolo, G. O.; Derry, T. E.; Connell, S. H.; Erasmus, R. M.; Witcomb, M. J.

    2009-08-01

    Optical methods can provide important insights into the mechanisms and consequences of ion beam interactions with solids. This is illustrated by four distinctly different systems. X- and Y-cut LiNbO 3 crystals implanted with 8 MeV Au 3+ ions with a fluence of 1 × 10 17 ions/cm 2 result in gold nanoparticle formation during high temperature annealing. Optical extinction curves simulated by the Mie theory provide the average nanoparticle sizes. TEM studies are in reasonable agreement and confirm a near-spherical nanoparticle shape but with surface facets. Large temperature differences in the nanoparticle creation in the X- and Y-cut crystals are explained by recrystallisation of the initially amorphised regions so as to recreate the prior crystal structure and to result in anisotropic diffusion of the implanted gold. Defect formation in alkali halides using ion beam irradiation has provided new information. Radiation-hard CsI crystals bombarded with 1 MeV protons at 300 K successfully produce F-type centres and V-centres having the I3- structure as identified by optical absorption and Raman studies. The results are discussed in relation to the formation of interstitial iodine aggregates of various types in alkali iodides. Depth profiling of I3- and I5- aggregates created in RbI bombarded with 13.6 MeV/A argon ions at 300 K is discussed. The recrystallisation of an amorphous silicon layer created in crystalline silicon bombarded with 100 keV carbon ions with a fluence of 5 × 10 17 ions/cm 2 during subsequent high temperature annealing is studied by Raman and Brillouin light scattering. Irradiation of tin-doped indium oxide (ITO) films with 1 MeV protons with fluences from 1 × 10 15 to 250 × 10 15 ions/cm -2 induces visible darkening over a broad spectral region that shows three stages of development. This is attributed to the formation of defect clusters by a model of defect growth and also high fluence optical absorption studies. X-ray diffraction studies show