Sample records for large-area atomic layer

  1. Synthesis of Large-area Crystalline MoTe2 Atomic layer from Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Zhou, Lin; Zubair, Ahmad; Xu, Kai; Kong, Jing; Dresselhaus, Mildred

    The controlled synthesis of highly crystalline large-area molybdenum ditelluride MoTe2 atomic layers is crucial for the practical applications of this emerging material. Here we develop a novel approach for the growth of large-area, uniform and highly crystalline few-layer MoTe2 film via chemical vapour deposition (CVD). Large-area atomically thin MoTe2 film has been successfully synthesized by tellurization of a MoO3 film. The as-grown MoTe2 film is uniform, stoichiometric, and highly crystalline. As a result of the high crystallinity, the electronic properties of MoTe2 film are comparable with that of mechanically exfoliated MoTe2 flakes. Moreover, we found that two different phases of MoTe2 (2H and 1T') can be grown depending on the choice of Mo precursor. Since the MoTe2 film is highly homogenous, and the size of the film is only limited by the substrate and CVD system size, our growth method paves the way for large-scale application of MoTe2 in high performance nanoelectronics and optoelectronics.

  2. Large-Area Atomic Layers of the Charge-Density-Wave Conductor TiSe2.

    PubMed

    Wang, Hong; Chen, Yu; Duchamp, Martial; Zeng, Qingsheng; Wang, Xuewen; Tsang, Siu Hon; Li, Hongling; Jing, Lin; Yu, Ting; Teo, Edwin Hang Tong; Liu, Zheng

    2018-02-01

    Layered transition metal (Ti, Ta, Nb, etc.) dichalcogenides are important prototypes for the study of the collective charge density wave (CDW). Reducing the system dimensionality is expected to lead to novel properties, as exemplified by the discovery of enhanced CDW order in ultrathin TiSe 2 . However, the syntheses of monolayer and large-area 2D CDW conductors can currently only be achieved by molecular beam epitaxy under ultrahigh vacuum. This study reports the growth of monolayer crystals and up to 5 × 10 5 µm 2 large films of the typical 2D CDW conductor-TiSe 2 -by ambient-pressure chemical vapor deposition. Atomic resolution scanning transmission electron microscopy indicates the as-grown samples are highly crystalline 1T-phase TiSe 2 . Variable-temperature Raman spectroscopy shows a CDW phase transition temperature of 212.5 K in few layer TiSe 2 , indicative of high crystal quality. This work not only allows the exploration of many-body state of TiSe 2 in 2D limit but also offers the possibility of utilizing large-area TiSe 2 in ultrathin electronic devices. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    PubMed

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  4. Synthesis of Large-Area 2D Layered Materials and Their Heterostacking Structures

    DTIC Science & Technology

    2017-10-13

    Volume: 6 Pages: 7666 Published: JUL 2015 4. Title: Atomically Thin Heterostructures Based on Single- Layer Tungsten Diselenide and DISTRIBUTION A...AFRL-AFOSR-JP-TR-2017-0070 Synthesis of Large-Area 2D layered Materials and Their Heterostacking Structures Chih-Wei Chu Academia Sinica Final Report...TYPE Final 3. DATES COVERED (From - To) 20 Nov 2014 to 19 Nov 2016 4. TITLE AND SUBTITLE Synthesis of Large-Area 2D layered Materials and Their

  5. Fabricating Large-Area Sheets of Single-Layer Graphene by CVD

    NASA Technical Reports Server (NTRS)

    Bronikowski, Michael; Manohara, Harish

    2008-01-01

    This innovation consists of a set of methodologies for preparing large area (greater than 1 cm(exp 2)) domains of single-atomic-layer graphite, also called graphene, in single (two-dimensional) crystal form. To fabricate a single graphene layer using chemical vapor deposition (CVD), the process begins with an atomically flat surface of an appropriate substrate and an appropriate precursor molecule containing carbon atoms attached to substituent atoms or groups. These molecules will be brought into contact with the substrate surface by being flowed over, or sprayed onto, the substrate, under CVD conditions of low pressure and elevated temperature. Upon contact with the surface, the precursor molecules will decompose. The substituent groups detach from the carbon atoms and form gas-phase species, leaving the unfunctionalized carbon atoms attached to the substrate surface. These carbon atoms will diffuse upon this surface and encounter and bond to other carbon atoms. If conditions are chosen carefully, the surface carbon atoms will arrange to form the lowest energy single-layer structure available, which is the graphene lattice that is sought. Another method for creating the graphene lattice includes metal-catalyzed CVD, in which the decomposition of the precursor molecules is initiated by the catalytic action of a catalytic metal upon the substrate surface. Another type of metal-catalyzed CVD has the entire substrate composed of catalytic metal, or other material, either as a bulk crystal or as a think layer of catalyst deposited upon another surface. In this case, the precursor molecules decompose directly upon contact with the substrate, releasing their atoms and forming the graphene sheet. Atomic layer deposition (ALD) can also be used. In this method, a substrate surface at low temperature is covered with exactly one monolayer of precursor molecules (which may be of more than one type). This is heated up so that the precursor molecules decompose and form one

  6. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  7. Large-Area Atomic Oxygen Facility Used to Clean Fire-Damaged Artwork

    NASA Technical Reports Server (NTRS)

    Rutledge, Sharon K.; Banks, Bruce A.; Steuber, Thomas J.; Sechkar, Edward A.

    2000-01-01

    In addition to completely destroying artwork, fires in museums and public buildings can soil a displayed artwork with so much accumulated soot that it can no longer be used for study or be enjoyed by the public. In situations where the surface has not undergone extensive charring or melting, restoration can be attempted. However, soot deposits can be very difficult to remove from some types of painted surfaces, particularly when the paint is fragile or flaking or when the top surface of the paint binder has been damaged. Restoration typically involves the use of organic solvents to clean the surface, but these solvents may cause the paint layers to swell or leach out. Also, immersion of the surface or swabbing during solvent cleaning may move or remove pigment through mechanical contact, especially if the fire damage extends into the paint binder. A noncontact technique of removing organic deposits from surfaces was developed out of NASA research on the effects of oxygen atoms on various materials. Atomic oxygen is present in the atmosphere surrounding the Earth at the altitudes where satellites typically orbit. It can react chemically with surface coatings or deposits that contain carbon. In the reaction, the carbon is converted to carbon monoxide and some carbon dioxide. Water vapor is also a byproduct of the reaction if the surface contains carbon-hydrogen bonds. To study this reaction, NASA developed Earth-based facilities to produce atomic oxygen for material exposure and testing. A vacuum facility designed and built by the Electro-Physics Branch of the NASA Glenn Research Center at Lewis Field to provide atomic oxygen over a large area for studying reactions in low Earth orbit has been used to successfully clean several full-size paintings. (This facility can accommodate paintings up to 1.5 by 2.1 m. The atomic oxygen plasma is produced between two large parallel aluminum plates using a radiofrequency power source operating at roughly 400 W. Atomic oxygen is

  8. Large-area synthesis and photoelectric properties of few-layer MoSe2 on molybdenum foils

    NASA Astrophysics Data System (ADS)

    Wu, Zenghui; Tai, Guoan; Wang, Xufeng; Hu, Tingsong; Wang, Rui; Guo, Wanlin

    2018-03-01

    Compared with MoS2 and WS2, selenide analogs have narrower band gaps and higher electron mobilities, which make them more applicable to real electrical devices. In addition, few-layer metal selenides have higher electrical conductivity, carrier mobility and light absorption than the corresponding monolayers. However, the large-scale and high-quality growth of few-layer metal selenides remains a significant challenge. Here, we develop a facile method to grow large-area and highly crystalline few-layer MoSe2 by directly selenizing the Mo foil surface at 550 °C within 60 min under ambient pressure. The atomic layers were controllably grown with thicknesses between 3.4 and 6 nm, which just met the thickness range required for high-performance electrical devices. Furthermore, we fabricated a vertical p-n junction photodetector composed of few-layer MoSe2 and p-type silicon, achieving photoresponsivity higher by two orders of magnitude than that of the reported monolayer counterpart. This technique provides a feasible approach towards preparing other 2D transition metal dichalcogendes for device applications.

  9. Large-area, freestanding, single-layer graphene-gold: a hybrid plasmonic nanostructure.

    PubMed

    Iyer, Ganjigunte R Swathi; Wang, Jian; Wells, Garth; Guruvenket, Srinivasan; Payne, Scott; Bradley, Michael; Borondics, Ferenc

    2014-06-24

    Graphene-based plasmonic devices have recently drawn great attention. However, practical limitations in fabrication and device architectures prevent studies from being carried out on the intrinsic properties of graphene and their change by plasmonic structures. The influence of a quasi-infinite object (i.e., the substrate) on graphene, being a single sheet of carbon atoms, and the plasmonic device is overwhelming. To address this and put the intrinsic properties of the graphene-plasmonic nanostructures in focus, we fabricate large-area, freestanding, single-layer graphene-gold (LFG-Au) sandwich structures and Au nanoparticle decorated graphene (formed via thermal treatment) hybrid plasmonic nanostructures. We observed two distinct plasmonic enhancement routes of graphene unique to each structure via surface-enhanced Raman spectroscopy. The localized electronic structure variation in the LFG due to graphene-Au interaction at the nanoscale is mapped using scanning transmission X-ray microscopy. The measurements show an optical density of ∼0.007, which is the smallest experimentally determined for single-layer graphene thus far. Our results on freestanding graphene-Au plasmonic structures provide great insight for the rational design and future fabrication of graphene plasmonic hybrid nanostructures.

  10. Atomic layer deposition of alternative glass microchannel plates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstratedmore » due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)« less

  11. Controlled Synthesis of Pd/Pt Core Shell Nanoparticles Using Area-selective Atomic Layer Deposition

    PubMed Central

    Cao, Kun; Zhu, Qianqian; Shan, Bin; Chen, Rong

    2015-01-01

    We report an atomic scale controllable synthesis of Pd/Pt core shell nanoparticles (NPs) via area-selective atomic layer deposition (ALD) on a modified surface. The method involves utilizing octadecyltrichlorosilane (ODTS) self-assembled monolayers (SAMs) to modify the surface. Take the usage of pinholes on SAMs as active sites for the initial core nucleation, and subsequent selective deposition of the second metal as the shell layer. Since new nucleation sites can be effectively blocked by surface ODTS SAMs in the second deposition stage, we demonstrate the successful growth of Pd/Pt and Pt/Pd NPs with uniform core shell structures and narrow size distribution. The size, shell thickness and composition of the NPs can be controlled precisely by varying the ALD cycles. Such core shell structures can be realized by using regular ALD recipes without special adjustment. This SAMs assisted area-selective ALD method of core shell structure fabrication greatly expands the applicability of ALD in fabricating novel structures and can be readily applied to the growth of NPs with other compositions. PMID:25683469

  12. Large-area field-ionization detector for the study of Rydberg atoms.

    PubMed

    Jones, A C L; Piñeiro, A M; Roeder, E E; Rutbeck-Goldman, H J; Tom, H W K; Mills, A P

    2016-11-01

    We describe here the development and characterization of a micro-channel plate (MCP) based detector designed for the efficient collection and detection of Rydberg positronium (Ps) atoms for use in a time-of-flight apparatus. The designed detector collects Rydberg atoms over a large area (∼4 times greater than the active area of the MCP), ionizing incident atoms and then collecting and focusing the freed positrons onto the MCP. Here we discuss the function, design, and optimization of the device. The detector has an efficiency for Rydberg Ps that is two times larger than that of the γ-ray scintillation detector based scheme it has been designed to replace, with half the background signal. In principle, detectors of the type described here could be readily employed for the detection of any Rydberg atom species, provided a sufficient field can be applied to achieve an ionization rate of ≥10 8 /s. In such cases, the best time resolution would be achieved by collecting ionized electrons rather than the positive ions.

  13. A Novel and Facile Route to Synthesize Atomic-Layered MoS2 Film for Large-Area Electronics.

    PubMed

    Boandoh, Stephen; Choi, Soo Ho; Park, Ji-Hoon; Park, So Young; Bang, Seungho; Jeong, Mun Seok; Lee, Joo Song; Kim, Hyeong Jin; Yang, Woochul; Choi, Jae-Young; Kim, Soo Min; Kim, Ki Kang

    2017-10-01

    High-quality and large-area molybdenum disulfide (MoS 2 ) thin film is highly desirable for applications in large-area electronics. However, there remains a challenge in attaining MoS 2 film of reasonable crystallinity due to the absence of appropriate choice and control of precursors, as well as choice of suitable growth substrates. Herein, a novel and facile route is reported for synthesizing few-layered MoS 2 film with new precursors via chemical vapor deposition. Prior to growth, an aqueous solution of sodium molybdate as the molybdenum precursor is spun onto the growth substrate and dimethyl disulfide as the liquid sulfur precursor is supplied with a bubbling system during growth. To supplement the limiting effect of Mo (sodium molybdate), a supplementary Mo is supplied by dissolving molybdenum hexacarbonyl (Mo(CO) 6 ) in the liquid sulfur precursor delivered by the bubbler. By precisely controlling the amounts of precursors and hydrogen flow, full coverage of MoS 2 film is readily achievable in 20 min. Large-area MoS 2 field effect transistors (FETs) fabricated with a conventional photolithography have a carrier mobility as high as 18.9 cm 2 V -1 s -1 , which is the highest reported for bottom-gated MoS 2 -FETs fabricated via photolithography with an on/off ratio of ≈10 5 at room temperature. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Large area graphene ion sensitive field effect transistors with tantalum pentoxide sensing layers for pH measurement at the Nernstian limit

    NASA Astrophysics Data System (ADS)

    Fakih, Ibrahim; Sabri, Shadi; Mahvash, Farzaneh; Nannini, Matthieu; Siaj, Mohamed; Szkopek, Thomas

    2014-08-01

    We have fabricated and characterized large area graphene ion sensitive field effect transistors (ISFETs) with tantalum pentoxide sensing layers and demonstrated pH sensitivities approaching the Nernstian limit. Low temperature atomic layer deposition was used to deposit tantalum pentoxide atop large area graphene ISFETs. The charge neutrality point of graphene, inferred from quantum capacitance or channel conductance, was used to monitor surface potential in the presence of an electrolyte with varying pH. Bare graphene ISFETs exhibit negligible response, while graphene ISFETs with tantalum pentoxide sensing layers show increased sensitivity reaching up to 55 mV/pH over pH 3 through pH 8. Applying the Bergveld model, which accounts for site binding and a Guoy-Chapman-Stern picture of the surface-electrolyte interface, the increased pH sensitivity can be attributed to an increased buffer capacity reaching up to 1014 sites/cm2. ISFET response was found to be stable to better than 0.05 pH units over the course of two weeks.

  15. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    DTIC Science & Technology

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer...ES) U.S. Army Research Office P.O. Box 12211 Research Triangle Park, NC 27709-2211 REPORT DOCUMENTATION PAGE 11. SPONSOR/MONITOR’S REPORT NUMBER...Number: W911NF-16-1-0438 Organization: University of Massachusetts - North Dartmouth Title: Young Investigator Proposal, Research Area 7.4 Reactive

  16. Triangular Black Phosphorus Atomic Layers by Liquid Exfoliation.

    PubMed

    Seo, Soonjoo; Lee, Hyun Uk; Lee, Soon Chang; Kim, Yooseok; Kim, Hyeran; Bang, Junhyeok; Won, Jonghan; Kim, Youngjun; Park, Byoungnam; Lee, Jouhahn

    2016-03-30

    Few-layer black phosphorus (BP) is the most promising material among the two-dimensional materials due to its layered structure and the excellent semiconductor properties. Currently, thin BP atomic layers are obtained mostly by mechanical exfoliation of bulk BP, which limits applications in thin-film based electronics due to a scaling process. Here we report highly crystalline few-layer black phosphorus thin films produced by liquid exfoliation. We demonstrate that the liquid-exfoliated BP forms a triangular crystalline structure on SiO2/Si (001) and amorphous carbon. The highly crystalline BP layers are faceted with a preferred orientation of the (010) plane on the sharp edge, which is an energetically most favorable facet according to the density functional theory calculations. Our results can be useful in understanding the triangular BP structure for large-area applications in electronic devices using two-dimensional materials. The sensitivity and selectivity of liquid-exfoliated BP to gas vapor demonstrate great potential for practical applications as sensors.

  17. Triangular Black Phosphorus Atomic Layers by Liquid Exfoliation

    PubMed Central

    Seo, Soonjoo; Lee, Hyun Uk; Lee, Soon Chang; Kim, Yooseok; Kim, Hyeran; Bang, Junhyeok; Won, Jonghan; Kim, Youngjun; Park, Byoungnam; Lee, Jouhahn

    2016-01-01

    Few-layer black phosphorus (BP) is the most promising material among the two-dimensional materials due to its layered structure and the excellent semiconductor properties. Currently, thin BP atomic layers are obtained mostly by mechanical exfoliation of bulk BP, which limits applications in thin-film based electronics due to a scaling process. Here we report highly crystalline few-layer black phosphorus thin films produced by liquid exfoliation. We demonstrate that the liquid-exfoliated BP forms a triangular crystalline structure on SiO2/Si (001) and amorphous carbon. The highly crystalline BP layers are faceted with a preferred orientation of the (010) plane on the sharp edge, which is an energetically most favorable facet according to the density functional theory calculations. Our results can be useful in understanding the triangular BP structure for large-area applications in electronic devices using two-dimensional materials. The sensitivity and selectivity of liquid-exfoliated BP to gas vapor demonstrate great potential for practical applications as sensors. PMID:27026070

  18. Large area graphene ion sensitive field effect transistors with tantalum pentoxide sensing layers for pH measurement at the Nernstian limit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fakih, Ibrahim, E-mail: ibrahim.fakih@mail.mcgill.ca; Sabri, Shadi; Szkopek, Thomas, E-mail: thomas.szkopek@mcgill.ca

    2014-08-25

    We have fabricated and characterized large area graphene ion sensitive field effect transistors (ISFETs) with tantalum pentoxide sensing layers and demonstrated pH sensitivities approaching the Nernstian limit. Low temperature atomic layer deposition was used to deposit tantalum pentoxide atop large area graphene ISFETs. The charge neutrality point of graphene, inferred from quantum capacitance or channel conductance, was used to monitor surface potential in the presence of an electrolyte with varying pH. Bare graphene ISFETs exhibit negligible response, while graphene ISFETs with tantalum pentoxide sensing layers show increased sensitivity reaching up to 55 mV/pH over pH 3 through pH 8. Applying themore » Bergveld model, which accounts for site binding and a Guoy-Chapman-Stern picture of the surface-electrolyte interface, the increased pH sensitivity can be attributed to an increased buffer capacity reaching up to 10{sup 14} sites/cm{sup 2}. ISFET response was found to be stable to better than 0.05 pH units over the course of two weeks.« less

  19. Multi-layered fabrication of large area PDMS flexible optical light guide sheets

    NASA Astrophysics Data System (ADS)

    Green, Robert; Knopf, George K.; Bordatchev, Evgueni V.

    2017-02-01

    Large area polydimethylsiloxane (PDMS) flexible optical light guide sheets can be used to create a variety of passive light harvesting and illumination systems for wearable technology, advanced indoor lighting, non-planar solar light collectors, customized signature lighting, and enhanced safety illumination for motorized vehicles. These thin optically transparent micro-patterned polymer sheets can be draped over a flat or arbitrarily curved surface. The light guiding behavior of the optical light guides depends on the geometry and spatial distribution of micro-optical structures, thickness and shape of the flexible sheet, refractive indices of the constituent layers, and the wavelength of the incident light. A scalable fabrication method that combines soft-lithography, closed thin cavity molding, partial curing, and centrifugal casting is described in this paper for building thin large area multi-layered PDMS optical light guide sheets. The proposed fabrication methodology enables the of internal micro-optical structures (MOSs) in the monolithic PDMS light guide by building the optical system layer-by-layer. Each PDMS layer in the optical light guide can have the similar, or a slightly different, indices of refraction that permit total internal reflection within the optical sheet. The individual molded layers may also be defect free or micro-patterned with microlens or reflecting micro-features. In addition, the bond between adjacent layers is ensured because each layer is only partially cured before the next functional layer is added. To illustrate the scalable build-by-layers fabrication method a three-layer mechanically flexible illuminator with an embedded LED strip is constructed and demonstrated.

  20. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    PubMed

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  1. Large Area Atomically Flat Surfaces via Exfoliation of Bulk Bi 2Se 3 Single Crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melamed, Celeste L.; Ortiz, Brenden R.; Gorai, Prashun

    In this paper, we present an exfoliation method that produces cm 2-area atomically flat surfaces from bulk layered single crystals, with broad applications such as for the formation of lateral heterostructures and for use as substrates for van der Waals epitaxy. Single crystals of Bi 2Se 3 were grown using the Bridgman method and examined with X-ray reciprocal space maps, Auger spectroscopy, low-energy electron diffraction, and X-ray photoelectron spectroscopy. An indium-bonding exfoliation technique was developed that produces multiple ~100 um thick atomically flat, macroscopic (>1 cm 2) slabs from each Bi 2Se 3 source crystal. Two-dimensional X-ray diffraction and reciprocalmore » space maps confirm the high crystalline quality of the exfoliated surfaces. Atomic force microscopy reveals that the exfoliated surfaces have an average root-mean-square (RMS) roughness of ~0.04 nm across 400 μm 2 scans and an average terrace width of 70 um between step edges. First-principles calculations reveal exfoliation energies of Bi 2Se 3 and a number of other layered compounds, which demonstrate relevance of our method across the field of 2D materials. While many potential applications exist, excellent lattice matching with the III-V alloy space suggests immediate potential for the use of these exfoliated layered materials as epitaxial substrates for photovoltaic development.« less

  2. Large Area Atomically Flat Surfaces via Exfoliation of Bulk Bi 2Se 3 Single Crystals

    DOE PAGES

    Melamed, Celeste L.; Ortiz, Brenden R.; Gorai, Prashun; ...

    2017-09-12

    In this paper, we present an exfoliation method that produces cm 2-area atomically flat surfaces from bulk layered single crystals, with broad applications such as for the formation of lateral heterostructures and for use as substrates for van der Waals epitaxy. Single crystals of Bi 2Se 3 were grown using the Bridgman method and examined with X-ray reciprocal space maps, Auger spectroscopy, low-energy electron diffraction, and X-ray photoelectron spectroscopy. An indium-bonding exfoliation technique was developed that produces multiple ~100 um thick atomically flat, macroscopic (>1 cm 2) slabs from each Bi 2Se 3 source crystal. Two-dimensional X-ray diffraction and reciprocalmore » space maps confirm the high crystalline quality of the exfoliated surfaces. Atomic force microscopy reveals that the exfoliated surfaces have an average root-mean-square (RMS) roughness of ~0.04 nm across 400 μm 2 scans and an average terrace width of 70 um between step edges. First-principles calculations reveal exfoliation energies of Bi 2Se 3 and a number of other layered compounds, which demonstrate relevance of our method across the field of 2D materials. While many potential applications exist, excellent lattice matching with the III-V alloy space suggests immediate potential for the use of these exfoliated layered materials as epitaxial substrates for photovoltaic development.« less

  3. Atomically thin gallium layers from solid-melt exfoliation

    PubMed Central

    Kochat, Vidya; Samanta, Atanu; Zhang, Yuan; Bhowmick, Sanjit; Manimunda, Praveena; Asif, Syed Asif S.; Stender, Anthony S.; Vajtai, Robert; Singh, Abhishek K.; Tiwary, Chandra S.; Ajayan, Pulickel M.

    2018-01-01

    Among the large number of promising two-dimensional (2D) atomic layer crystals, true metallic layers are rare. Using combined theoretical and experimental approaches, we report on the stability and successful exfoliation of atomically thin “gallenene” sheets on a silicon substrate, which has two distinct atomic arrangements along crystallographic twin directions of the parent α-gallium. With a weak interface between solid and molten phases of gallium, a solid-melt interface exfoliation technique is developed to extract these layers. Phonon dispersion calculations show that gallenene can be stabilized with bulk gallium lattice parameters. The electronic band structure of gallenene shows a combination of partially filled Dirac cone and the nonlinear dispersive band near the Fermi level, suggesting that gallenene should behave as a metallic layer. Furthermore, it is observed that the strong interaction of gallenene with other 2D semiconductors induces semiconducting to metallic phase transitions in the latter, paving the way for using gallenene as promising metallic contacts in 2D devices. PMID:29536039

  4. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  5. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    PubMed

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  6. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  7. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  8. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    NASA Astrophysics Data System (ADS)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  9. Large area ultraviolet photodetector on surface modified Si:GaN layers

    NASA Astrophysics Data System (ADS)

    Anitha, R.; R., Ramesh; Loganathan, R.; Vavilapalli, Durga Sankar; Baskar, K.; Singh, Shubra

    2018-03-01

    Unique features of semiconductor based heterostructured photoelectric devices have drawn considerable attention in the recent past. In the present work, large area UV photodetector has been fabricated utilizing interesting Zinc oxide microstructures on etched Si:GaN layers. The surface of Si:GaN layer grown by metal organic chemical vapor deposition method on sapphire has been modified by chemical etching to control the microstructure. The photodetector exhibits response to Ultraviolet light only. Optimum etching of Si:GaN was required to exhibit higher responsivity (0.96 A/W) and detectivity (∼4.87 × 109 Jones), the two important parameters for a photodetector. Present method offers a tunable functionality of photodetector through modification of top layer microstructure. A comparison with state of art materials has also been presented.

  10. Nanomanufacturing of silicon surface with a single atomic layer precision via mechanochemical reactions.

    PubMed

    Chen, Lei; Wen, Jialin; Zhang, Peng; Yu, Bingjun; Chen, Cheng; Ma, Tianbao; Lu, Xinchun; Kim, Seong H; Qian, Linmao

    2018-04-18

    Topographic nanomanufacturing with a depth precision down to atomic dimension is of importance for advancement of nanoelectronics with new functionalities. Here we demonstrate a mask-less and chemical-free nanolithography process for regio-specific removal of atomic layers on a single crystalline silicon surface via shear-induced mechanochemical reactions. Since chemical reactions involve only the topmost atomic layer exposed at the interface, the removal of a single atomic layer is possible and the crystalline lattice beneath the processed area remains intact without subsurface structural damages. Molecular dynamics simulations depict the atom-by-atom removal process, where the first atomic layer is removed preferentially through the formation and dissociation of interfacial bridge bonds. Based on the parametric thresholds needed for single atomic layer removal, the critical energy barrier for water-assisted mechanochemical dissociation of Si-Si bonds was determined. The mechanochemical nanolithography method demonstrated here could be extended to nanofabrication of other crystalline materials.

  11. High-efficiency exfoliation of large-area mono-layer graphene oxide with controlled dimension.

    PubMed

    Park, Won Kyu; Yoon, Yeojoon; Song, Young Hyun; Choi, Su Yeon; Kim, Seungdu; Do, Youngjin; Lee, Junghyun; Park, Hyesung; Yoon, Dae Ho; Yang, Woo Seok

    2017-11-27

    In this work, we introduce a novel and facile method of exfoliating large-area, single-layer graphene oxide using a shearing stress. The shearing stress reactor consists of two concentric cylinders, where the inner cylinder rotates at controlled speed while the outer cylinder is kept stationary. We found that the formation of Taylor vortex flow with shearing stress can effectively exfoliate the graphite oxide, resulting in large-area single- or few-layer graphene oxide (GO) platelets with high yields (>90%) within 60 min of reaction time. Moreover, the lateral size of exfoliated GO sheets was readily tunable by simply controlling the rotational speed of the reactor and reaction time. Our approach for high-efficiency exfoliation of GO with controlled dimension may find its utility in numerous industrial applications including energy storage, conducting composite, electronic device, and supporting frameworks of catalyst.

  12. Physically-based failure analysis of shallow layered soil deposits over large areas

    NASA Astrophysics Data System (ADS)

    Cuomo, Sabatino; Castorino, Giuseppe Claudio; Iervolino, Aniello

    2014-05-01

    In the last decades, the analysis of slope stability conditions over large areas has become popular among scientists and practitioners (Cascini et al., 2011; Cuomo and Della Sala, 2013). This is due to the availability of new computational tools (Baum et al., 2002; Godt et al., 2008; Baum and Godt, 2012; Salciarini et al., 2012) - implemented in GIS (Geographic Information System) platforms - which allow taking into account the major hydraulic and mechanical issues related to slope failure, even for unsaturated soils, as well as the spatial variability of both topography and soil properties. However, the effectiveness (Sorbino et al., 2010) of the above methods it is still controversial for landslides forecasting especially depending on the accuracy of DTM (Digital Terrain Model) and for the chance that distinct triggering mechanisms may occur over large area. Among the major uncertainties, layering of soil deposits is of primary importance due to soil layer conductivity contrast and differences in shear strength. This work deals with the hazard analysis of shallow landslides over large areas, considering two distinct schematizations of soil stratigraphy, i.e. homogeneous or layered. To this purpose, the physically-based model TRIGRS (Baum et al., 2002) is firstly used, then extended to the case of layered deposit: specifically, a unique set of hydraulic properties is assumed while distinct soil unit weight and shear strength are considered for each soil layer. Both models are applied to a significant study area of Southern Italy, about 4 km2 large, where shallow deposits of air-fall volcanic (pyroclastic) soils have been affected by several landslides, causing victims, damages and economic losses. The achieved results highlight that soil volume globally mobilized over the study area highly depends on local stratigraphy of shallow deposits. This relates to the depth of critical slip surface which rarely corresponds to the bedrock contact where cohesionless coarse

  13. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  14. Atomic-order thermal nitridation of group IV semiconductors for ultra-large-scale integration

    NASA Astrophysics Data System (ADS)

    Murota, Junichi; Le Thanh, Vinh

    2015-03-01

    One of the main requirements for ultra-large-scale integration (ULSI) is atomic-order control of process technology. Our concept of atomically controlled processing for group IV semiconductors is based on atomic-order surface reaction control in Si-based CVD epitaxial growth. On the atomic-order surface nitridation of a few nm-thick Ge/about 4 nm-thick Si0.5Ge0.5/Si(100) by NH3, it is found that N atoms diffuse through nm-order thick Ge layer into Si0.5Ge0.5/Si(100) substrate and form Si nitride, even at 500 °C. By subsequent H2 heat treatment, although N atomic amount in Ge layer is reduced drastically, the reduction of the Si nitride is slight. It is suggested that N diffusion in Ge layer is suppressed by the formation of Si nitride and that Ge/atomic-order N layer/Si1-xGex/Si (100) heterostructure is formed. These results demonstrate the capability of CVD technology for atomically controlled nitridation of group IV semiconductors for ultra-large-scale integration. Invited talk at the 7th International Workshop on Advanced Materials Science and Nanotechnology IWAMSN2014, 2-6 November, 2014, Ha Long, Vietnam.

  15. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology.

    PubMed

    Sharma, Akhil; Verheijen, Marcel A; Wu, Longfei; Karwal, Saurabh; Vandalon, Vincent; Knoops, Harm C M; Sundaram, Ravi S; Hofmann, Jan P; Kessels, W M M Erwin; Bol, Ageeth A

    2018-05-10

    Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down to a monolayer are observed with excellent wafer scale uniformity. The as-deposited films are found to be polycrystalline in nature showing the signature Raman and photoluminescence signals for the mono-to-few layered regime. Furthermore, a transformation in film morphology from in-plane to out-of-plane orientation of the 2-dimensional layers as a function of growth temperature is observed. An extensive study based on high-resolution transmission electron microscopy is presented to unravel the nucleation mechanism of MoS2 on SiO2/Si substrates at 450 °C. In addition, a model elucidating the film morphology transformation (at 450 °C) is hypothesized. Finally, the out-of-plane oriented films are demonstrated to outperform the in-plane oriented films in the hydrogen evolution reaction for water splitting applications.

  16. An Introduction to Atomic Layer Deposition

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2017-01-01

    Atomic Layer Deposition has been instrumental in providing a deposition method for multiple space flight applications. It is well known that ALD is a cost effective nanoadditive-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases, thin films can be deposited on a myriad of substrates from flat surfaces to those with significant topography. By providing atomic layer control, where single layers of atoms can be deposited, the fabrication of metal transparent films, precise nano-laminates, and coatings of nano-channels, pores and particles is achievable. The feasibility of this technology for NASA line of business applications range from thermal systems, optics, sensors, to environmental protection. An overview of this technology will be presented.

  17. Catalyst design with atomic layer deposition

    DOE PAGES

    O'Neill, Brandon J.; Jackson, David H. K.; Lee, Jechan; ...

    2015-02-06

    Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials. Herein, we discuss examples in which the atomic precision has been used to elucidate reaction mechanisms and catalyst structure-property relationships by creating materials with a controlled distribution of size, composition, and active site. We highlight ways ALD has been utilized to design catalysts with improved activity, selectivity, and stability under a variety of conditions (e.g., high temperature, gas and liquid phase, and corrosive environments). In addition, due to the flexibility and control of structure and composition, ALD can create myriadmore » catalytic structures (e.g., high surface area oxides, metal nanoparticles, bimetallic nanoparticles, bifunctional catalysts, controlled microenvironments, etc.) that consequently possess applicability for a wide range of chemical reactions (e.g., CO 2 conversion, electrocatalysis, photocatalytic and thermal water splitting, methane conversion, ethane and propane dehydrogenation, and biomass conversion). Lastly, the outlook for ALD-derived catalytic materials is discussed, with emphasis on the pending challenges as well as areas of significant potential for building scientific insight and achieving practical impacts.« less

  18. Catalyst design with atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Neill, Brandon J.; Jackson, David H. K.; Lee, Jechan

    Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials. Herein, we discuss examples in which the atomic precision has been used to elucidate reaction mechanisms and catalyst structure-property relationships by creating materials with a controlled distribution of size, composition, and active site. We highlight ways ALD has been utilized to design catalysts with improved activity, selectivity, and stability under a variety of conditions (e.g., high temperature, gas and liquid phase, and corrosive environments). In addition, due to the flexibility and control of structure and composition, ALD can create myriadmore » catalytic structures (e.g., high surface area oxides, metal nanoparticles, bimetallic nanoparticles, bifunctional catalysts, controlled microenvironments, etc.) that consequently possess applicability for a wide range of chemical reactions (e.g., CO 2 conversion, electrocatalysis, photocatalytic and thermal water splitting, methane conversion, ethane and propane dehydrogenation, and biomass conversion). Lastly, the outlook for ALD-derived catalytic materials is discussed, with emphasis on the pending challenges as well as areas of significant potential for building scientific insight and achieving practical impacts.« less

  19. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures.

    PubMed

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-04-14

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with Species, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene "painting" on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis.

  20. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures

    NASA Astrophysics Data System (ADS)

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-04-01

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with S pecies, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene ``painting'' on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis.

  1. Large-area tungsten disulfide for ultrafast photonics.

    PubMed

    Yan, Peiguang; Chen, Hao; Yin, Jinde; Xu, Zihan; Li, Jiarong; Jiang, Zike; Zhang, Wenfei; Wang, Jinzhang; Li, Irene Ling; Sun, Zhipei; Ruan, Shuangchen

    2017-02-02

    Two-dimensional (2D) layered transition metal dichalcogenides (TMDs) have attracted significant interest in various optoelectronic applications due to their excellent nonlinear optical properties. One of the most important applications of TMDs is to be employed as an extraordinary optical modulation material (e.g., the saturable absorber (SA)) in ultrafast photonics. The main challenge arises while embedding TMDs into fiber laser systems to generate ultrafast pulse trains and thus constraints their practical applications. Herein, few-layered WS 2 with a large-area was directly transferred on the facet of the pigtail and acted as a SA for erbium-doped fiber laser (EDFL) systems. In our study, WS 2 SA exhibited remarkable nonlinear optical properties (e.g., modulation depth of 15.1% and saturable intensity of 157.6 MW cm -2 ) and was used for ultrafast pulse generation. The soliton pulses with remarkable performances (e.g., ultrashort pulse duration of 1.49 ps, high stability of 71.8 dB, and large pulse average output power of 62.5 mW) could be obtained in a telecommunication band. To the best of our knowledge, the average output power of the mode-locked pulse trains is the highest by employing TMD materials in fiber laser systems. These results indicate that atomically large-area WS 2 could be used as excellent optical modulation materials in ultrafast photonics.

  2. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigatedmore » through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.« less

  3. Atomic Layer Deposition of Rhenium Disulfide.

    PubMed

    Hämäläinen, Jani; Mattinen, Miika; Mizohata, Kenichiro; Meinander, Kristoffer; Vehkamäki, Marko; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-06-01

    2D materials research is advancing rapidly as various new "beyond graphene" materials are fabricated, their properties studied, and materials tested in various applications. Rhenium disulfide is one of the 2D transition metal dichalcogenides that has recently shown to possess extraordinary properties such as that it is not limited by the strict monolayer thickness requirements. The unique inherent decoupling of monolayers in ReS 2 combined with a direct bandgap and highly anisotropic properties makes ReS 2 one of the most interesting 2D materials for a plethora of applications. Here, a highly controllable and precise atomic layer deposition (ALD) technique is applied to deposit ReS 2 thin films. Film growth is demonstrated on large area (5 cm × 5 cm) substrates at moderate deposition temperatures between 120 and 500 °C, and the films are extensively characterized using field emission scanning electron microscopy/energy-dispersive X-ray spectroscopy, X-ray diffractometry using grazing incidence, atomic force microscopy, focused ion beam/transmission electron microscopy, X-ray photoelectron spectroscopy, and time-of-flight elastic recoil detection analysis techniques. The developed ReS 2 ALD process highlights the potential of the material for applications beyond planar structure architectures. The ALD process also offers a route to an upgrade to an industrial scale. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Method for preparing ultraflat, atomically perfect areas on large regions of a crystal surface by heteroepitaxy deposition

    DOEpatents

    El Gabaly, Farid; Schmid, Andreas K.

    2013-03-19

    A novel method of forming large atomically flat areas is described in which a crystalline substrate having a stepped surface is exposed to a vapor of another material to deposit a material onto the substrate, which material under appropriate conditions self arranges to form 3D islands across the substrate surface. These islands are atomically flat at their top surface, and conform to the stepped surface of the substrate below at the island-substrate interface. Thereafter, the deposited materials are etched away, in the etch process the atomically flat surface areas of the islands transferred to the underlying substrate. Thereafter the substrate may be cleaned and annealed to remove any remaining unwanted contaminants, and eliminate any residual defects that may have remained in the substrate surface as a result of pre-existing imperfections of the substrate.

  5. Electroless atomic layer deposition

    DOEpatents

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  6. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures

    PubMed Central

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-01-01

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with S pecies, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene “painting” on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis. PMID:24728289

  7. Creation of economical and robust large area MCPs by ALD method for photodetectors

    NASA Astrophysics Data System (ADS)

    Mane, Anil U.; Elam, Jeffrey W.; Wagner, Robert G.; Siegmund, Oswald H. W.; Minot, Michael J.

    2016-09-01

    We report a cost-effective and production achievable path to fabricate robust large-area microchannel plates (MCPs), which offers the new prospect for larger area MCP-based detector technologies. We used atomic Layer Deposition (ALD), a thin film growth technique, to independently adjust the desired electrical resistance and secondary electron emission (SEE) properties of low cost borosilicate glass micro-capillary arrays (MCAs). These capabilities allow a separation of the substrate material properties from the signal amplification properties. This methodology enables the functionalization of microporous, highly insulating MCA substrates to produce sturdy, large format MCPs with unique properties such as high gain (<107/MCP pair), low background noise, 10ps time resolution, sub-micron spatial resolution and excellent stability after only a short (2-3days) scrubbing time. The ALD self-limiting growth mechanism allows atomic level control over the thickness and composition of resistive and secondary electron emission (SEE) layers that can be deposited conformally on high aspect ratio ( 100) capillary glass arrays. We have developed several robust and consistent production doable ALD processes for the resistive coatings and SEE layers to give us precise control over the MCP parameters. Further, the adjustment of MCPs resistance by tailoring the ALD material composition permits the use of these MCPs at high or low temperature detector applications. Here we discuss ALD method for MCP functionalization and a variety of MCP testing results.

  8. Smart Pd Catalyst with Improved Thermal Stability Supported on High-Surface-Area LaFeO3 Prepared by Atomic Layer Deposition.

    PubMed

    Onn, Tzia Ming; Monai, Matteo; Dai, Sheng; Fonda, Emiliano; Montini, Tiziano; Pan, Xiaoqing; Graham, George W; Fornasiero, Paolo; Gorte, Raymond J

    2018-04-11

    The concept of self-regenerating or "smart" catalysts, developed to mitigate the problem of supported metal particle coarsening in high-temperature applications, involves redispersing large metal particles by incorporating them into a perovskite-structured support under oxidizing conditions and then exsolving them as small metal particles under reducing conditions. Unfortunately, the redispersion process does not appear to work in practice because the surface areas of the perovskite supports are too low and the diffusion lengths for the metal ions within the bulk perovskite too short. Here, we demonstrate reversible activation upon redox cycling for CH 4 oxidation and CO oxidation on Pd supported on high-surface-area LaFeO 3 , prepared as a thin conformal coating on a porous MgAl 2 O 4 support using atomic layer deposition. The LaFeO 3 film, less than 1.5 nm thick, was shown to be initially stable to at least 900 °C. The activated catalysts exhibit stable catalytic performance for methane oxidation after high-temperature treatment.

  9. Interfacial growth of large-area single-layer metal-organic framework nanosheets

    PubMed Central

    Makiura, Rie; Konovalov, Oleg

    2013-01-01

    The air/liquid interface is an excellent platform to assemble two-dimensional (2D) sheets of materials by enhancing spontaneous organizational features of the building components and encouraging large length scale in-plane growth. We have grown 2D molecularly-thin crystalline metal-organic-framework (MOF) nanosheets composed of porphyrin building units and metal-ion joints (NAFS-13) under operationally simple ambient conditions at the air/liquid interface. In-situ synchrotron X-ray diffraction studies of the formation process performed directly at the interface were employed to optimize the NAFS-13 growth protocol leading to the development of a post-injection method –post-injection of the metal connectors into the water subphase on whose surface the molecular building blocks are pre-oriented– which allowed us to achieve the formation of large-surface area morphologically-uniform preferentially-oriented single-layer nanosheets. The growth of such large-size high-quality sheets is of interest for the understanding of the fundamental physical/chemical properties associated with ultra-thin sheet-shaped materials and the realization of their use in applications. PMID:23974345

  10. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  11. Atomically thin transition metal layers: Atomic layer stabilization and metal-semiconductor transition

    NASA Astrophysics Data System (ADS)

    Hwang, Jeongwoon; Oh, Young Jun; Kim, Jiyoung; Sung, Myung Mo; Cho, Kyeongjae

    2018-04-01

    We have performed first-principle calculations to explore the possibility of synthesizing atomically thin transition metal (TM) layers. Buckled structures as well as planar structures of elemental 2D TM layers result in significantly higher formation energies compared with sp-bonded elemental 2D materials with similar structures, such as silicene and phosphorene. It is shown that the TM layers can be stabilized by surface passivation with HS, C6H5S2, or O, and O passivation is most effective. The surface oxygen passivation can improve stability leading to thermodynamically stable TM monolayers except Au, which is the most non-reactive metal element. Such stabilized TM monolayers also show an electronic structure transition from metallic state of free-standing TM layer to semiconducting O-passivated Mo and W monolayers with band gaps of 0.20-1.38 eV.

  12. Atomic-Layer-Confined Doping for Atomic-Level Insights into Visible-Light Water Splitting.

    PubMed

    Lei, Fengcai; Zhang, Lei; Sun, Yongfu; Liang, Liang; Liu, Katong; Xu, Jiaqi; Zhang, Qun; Pan, Bicai; Luo, Yi; Xie, Yi

    2015-08-03

    A model of doping confined in atomic layers is proposed for atomic-level insights into the effect of doping on photocatalysis. Co doping confined in three atomic layers of In2S3 was implemented with a lamellar hybrid intermediate strategy. Density functional calculations reveal that the introduction of Co ions brings about several new energy levels and increased density of states at the conduction band minimum, leading to sharply increased visible-light absorption and three times higher carrier concentration. Ultrafast transient absorption spectroscopy reveals that the electron transfer time of about 1.6 ps from the valence band to newly formed localized states is due to Co doping. The 25-fold increase in average recovery lifetime is believed to be responsible for the increased of electron-hole separation. The synthesized Co-doped In2S3 (three atomic layers) yield a photocurrent of 1.17 mA cm(-2) at 1.5 V vs. RHE, nearly 10 and 17 times higher than that of the perfect In2S3 (three atomic layers) and the bulk counterpart, respectively. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Large-area few-layer hexagonal boron nitride prepared by quadrupole field aided exfoliation

    NASA Astrophysics Data System (ADS)

    Lun Lu, Han; Zhi Rong, Min; Qiu Zhang, Ming

    2018-03-01

    A quadrupole electric field-mediated exfoliation method is proposed to convert micron-sized hexagonal boron nitride (h-BN) powder into few-layer hexagonal boron nitride nanosheets (h-BNNS). Under optimum conditions (400 Hz, 40 V, 32 μg ml-1, sodium deoxycholate, TAE medium), the h-BN powders (thickness >200 nm, horizontal scale ˜10 μm) are successfully exfoliated into 0.5-4 nm (1-10 layers) thick h-BNNS with the same horizontal scale. Dynamic laser scattering and atomic force microscope data show that the yield is 47.6% (for the portion with the thickness of 0.5-6 nm), and all of the vertical sizes are reduced to smaller than 18 nm (45 layers).

  14. Improvement of light extraction of LYSO scintillator by using a combination of self-assembly of nanospheres and atomic layer deposition.

    PubMed

    Zhu, Zhichao; Liu, Bo; Zhang, Haifeng; Ren, Weina; Cheng, Chuanwei; Wu, Shuang; Gu, Mu; Chen, Hong

    2015-03-23

    The self-assembled monolayer periodic array of polystyrene spheres conformally coated with TiO₂ layer using atomic layer deposition is designed to obtain a further enhancement of light extraction for LYSO scintillator. The maximum enhancement is 149% for the sample with polystyrene spheres conformally coated with TiO₂ layer, while the enhancement is only 76% for the sample with only polystyrene spheres. Such further enhancement could be contributed from the additional modes forming by TiO₂ layer due to its high refractive index, which can be approved by the simulation of electric field distribution. The experimental results are agreement with the simulated results. Furthermore, the prepared structured layer exhibits an excellent combination with the surface of scintillator, which is in favor of the practical application. Therefore, it is safely concluded that the combination of self-assembly method and atomic layer deposition is a promising approach to obtain a significant enhancement of light extraction for a large area. This method can be extended to many other luminescent materials and devices.

  15. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Wei; Lin, Junhao; Feng, Wei

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  16. Patterned growth of p-type MoS 2 atomic layers using sol-gel as precursor

    DOE PAGES

    Zheng, Wei; Lin, Junhao; Feng, Wei; ...

    2016-07-19

    2D layered MoS 2 has drawn intense attention for its applications in flexible electronic, optoelectronic, and spintronic devices. Most of the MoS 2 atomic layers grown by conventional chemical vapor deposition techniques are n-type due to the abundant sulfur vacancies. Facile production of MoS 2 atomic layers with p-type behavior, however, remains challenging. Here, a novel one-step growth has been developed to attain p-type MoS 2 layers in large scale by using Mo-containing sol–gel, including 1% tungsten (W). Atomic-resolution electron microscopy characterization reveals that small tungsten oxide clusters are commonly present on the as-grown MoS 2 film due to themore » incomplete reduction of W precursor at the reaction temperature. These omnipresent small tungsten oxide clusters contribute to the p-type behavior, as verified by density functional theory calculations, while preserving the crystallinity of the MoS 2 atomic layers. The Mo containing sol–gel precursor is compatible with the soft-lithography techniques, which enables patterned growth of p-type MoS 2 atomic layers into regular arrays with different shapes, holding great promise for highly integrated device applications. Lastly, an atomically thin p–n junction is fabricated by the as-prepared MoS 2, which shows strong rectifying behavior.« less

  17. Large Area Few Layers Hexagonal Boron Nitride Prepared by Quadrupole Field Aided Exfoliation.

    PubMed

    Hanlun, Lu; Rong, Min Zhi; Zhang, Ming Qiu

    2018-01-16

    A quadrupole electric field mediated exfoliation method is proposed to convert micron sized hexagonal boron nitride (hBN) powders into few layers hexagonal boron nitride nano-sheets (h-BNNS). Under the optimum conditions (400 Hz, 40 V, 32μg/mL, sodium deoxycholate, TAE medium), the hBN powders (thickness > 200 nm, horizontal scale ~ 10 μm) are successfully exfoliated into 0.5-4 nm (1-10 layers) thick h-BNNS with the same horizontal scale. Dynamic laser scattering (DLS) and atomic force microscope (AFM) statistics show that the yield is 47.6 % (for the portion with the thickness of 0.5-6 nm), and all of the vertical sizes are reduced to smaller than 18 nm (45 layers). © 2018 IOP Publishing Ltd.

  18. Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth. PMID:29503508

  19. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  20. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    NASA Astrophysics Data System (ADS)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  1. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    DOE PAGES

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; ...

    2014-11-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing,more » between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection.« less

  2. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    PubMed

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  3. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    PubMed

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-06-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures (<120 mbar s) little to no Pt is deposited after the first cycle and most of the Pt is atomically dispersed. Increasing the oxygen exposure above 120 mbar s results in a rapid increase in the Pt loading, which saturates at exposures > 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Determination of atomic vacancies in InAs/GaSb strained-layer superlattices by atomic strain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Honggyu; Meng, Yifei; Kwon, Ji-Hwan

    Determining vacancy in complex crystals or nanostructures represents an outstanding crystallographic problem that has a large impact on technology, especially for semiconductors, where vacancies introduce defect levels and modify the electronic structure. However, vacancy is hard to locate and its structure is difficult to probe experimentally. Reported here are atomic vacancies in the InAs/GaSb strained-layer superlattice (SLS) determined by atomic-resolution strain mapping at picometre precision. It is shown that cation and anion vacancies in the InAs/GaSb SLS give rise to local lattice relaxations, especially the nearest atoms, which can be detected using a statistical method and confirmed by simulation. Themore » ability to map vacancy defect-induced strain and identify its location represents significant progress in the study of vacancy defects in compound semiconductors.« less

  5. Determination of atomic vacancies in InAs/GaSb strained-layer superlattices by atomic strain

    DOE PAGES

    Kim, Honggyu; Meng, Yifei; Kwon, Ji-Hwan; ...

    2018-01-01

    Determining vacancy in complex crystals or nanostructures represents an outstanding crystallographic problem that has a large impact on technology, especially for semiconductors, where vacancies introduce defect levels and modify the electronic structure. However, vacancy is hard to locate and its structure is difficult to probe experimentally. Reported here are atomic vacancies in the InAs/GaSb strained-layer superlattice (SLS) determined by atomic-resolution strain mapping at picometre precision. It is shown that cation and anion vacancies in the InAs/GaSb SLS give rise to local lattice relaxations, especially the nearest atoms, which can be detected using a statistical method and confirmed by simulation. Themore » ability to map vacancy defect-induced strain and identify its location represents significant progress in the study of vacancy defects in compound semiconductors.« less

  6. A large area high resolution imaging detector for fast atom diffraction

    NASA Astrophysics Data System (ADS)

    Lupone, Sylvain; Soulisse, Pierre; Roncin, Philippe

    2018-07-01

    We describe a high resolution imaging detector based on a single 80 mm micro-channel-plate (MCP) and a phosphor screen mounted on a UHV flange of only 100 mm inner diameter. It relies on standard components and we describe its performance with one or two MCPs. A resolution of 80 μm rms is observed on the beam profile. At low count rate, individual impact can be pinpointed with few μm accuracy but the resolution is probably limited by the MCP channel diameter. The detector has been used to record the diffraction of fast atoms at grazing incidence on crystal surfaces (GIFAD), a technique probing the electronic density of the topmost layer only. The detector was also used to record the scattering profile during azimuthal scan of the crystal to produce triangulation curves revealing the surface crystallographic directions of molecular layers. It should also be compatible with reflection high energy electron (RHEED) experiment when fragile surfaces require a low exposure to the electron beam. The discussions on the mode of operation specific to diffraction experiments apply also to commercial detectors.

  7. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth

  8. Atomic layer deposition of nanoporous biomaterials.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narayan, R. J.; Adiga, S. P.; Pellin, M. J.

    2010-03-01

    Due to its chemical stability, uniform pore size, and high pore density, nanoporous alumina is being investigated for use in biosensing, drug delivery, hemodialysis, and other medical applications. In recent work, we have examined the use of atomic layer deposition for coating the surfaces of nanoporous alumina membranes. Zinc oxide coatings were deposited on nanoporous alumina membranes using atomic layer deposition. The zinc oxide-coated nanoporous alumina membranes demonstrated antimicrobial activity against Escherichia coli and Staphylococcus aureus bacteria. These results suggest that atomic layer deposition is an attractive technique for modifying the surfaces of nanoporous alumina membranes and other nanostructured biomaterials.more » Nanoporous alumina, also known as anodic aluminum oxide (AAO), is a nanomaterial that exhibits several unusual properties, including high pore densities, straight pores, small pore sizes, and uniform pore sizes. In 1953, Keller et al. showed that anodizing aluminum in acid electrolytes results in a thick layer of nearly cylindrical pores, which are arranged in a close-packed hexagonal cell structure. More recently, Matsuda & Fukuda demonstrated preparation of highly ordered platinum and gold nanohole arrays using a replication process. In this study, a negative structure of nanoporous alumina was initially fabricated and a positive structure of a nanoporous metal was subsequently fabricated. Over the past fifteen years, nanoporous alumina membranes have been used as templates for growth of a variety of nanostructured materials, including nanotubes, nanowires, nanorods, and nanoporous membranes.« less

  9. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  10. Pilot Production of Large Area Microchannel Plates and Picosecond Photodetectors

    NASA Astrophysics Data System (ADS)

    Minot, M.; Adams, B.; Abiles, M.; Bond, J.; Craven, C.; Cremer, T.; Foley, M.; Lyashenko, A.; Popecki, M.; Stochaj, M.; Worstell, W.; Elam, J.; Mane, A.; Siegmund, O.; Ertley, C.

    2016-09-01

    Pilot production performance is reported for large area atomic layer deposition (ALD) coated microchannel plates (ALD-GCA-MCPs) and for Large Area Picosecond Photodetectors (LAPPD™) which incorporate them. "Hollowcore" glass capillary array (GCA) substrates are coated with ALD resistive and emissive layers to form the ALDGCA- MCPs, an approach that facilitates independent selection of glass substrates that are mechanically stronger and that have lower levels of radioactive alkali elements compared to conventional MCP lead glass, reducing background noise[1,2,3,4]. ALD-GCA-MCPs have competitive gain ( 104 each or 107 for a chevron pair ), enhanced lifetime and gain stability (7 C cm-2 of charge extraction), reduced background levels (0.028 events cm-2 sec-1) and low gamma-ray detection efficiency. They can be fabricated in large area (20cm X 20 cm) planar and curved formats suitable for use in high radiation environment applications, including astronomy, space instrumentation, and remote night time sensing. The LAPPD™ photodetector incorporates these ALD-GCA-MCPs in an all-glass hermetic package with top and bottom plates and sidewalls made of borosilicate float glass. Signals are generated by a bi-alkali Na2KSb photocathode, amplified with a stacked chevron pair of ALD-GCA-MCPs. Signals are collected on RF strip-line anodes integrated into to the bottom plates which exit the detector via pin-free hermetic seals under the side walls [5]. Tests show that LAPPDTMs have electron gains greater than 107, submillimeter spatial resolution for large (multiphoton) pulses and several mm for single photons, time resolution less than 50 picoseconds for single photons, predicted resolution less than 5 picoseconds for large pulses, high stability versus charge extraction[6], and good uniformity for applications including astrophysics, neutron detection, high energy physics Cherenkov light detection, and quantum-optical photon-correlation experiments.

  11. Tuning the thickness of electrochemically grafted layers in large area molecular junctions

    NASA Astrophysics Data System (ADS)

    Fluteau, T.; Bessis, C.; Barraud, C.; Della Rocca, M. L.; Martin, P.; Lacroix, J.-C.; Lafarge, P.

    2014-09-01

    We have investigated the thickness, the surface roughness, and the transport properties of oligo(1-(2-bisthienyl)benzene) (BTB) thin films grafted on evaporated Au electrodes, thanks to a diazonium-based electro-reduction process. The thickness of the organic film is tuned by varying the number of electrochemical cycles during the growth process. Atomic force microscopy measurements reveal the evolution of the thickness in the range of 2-27 nm. Its variation displays a linear dependence with the number of cycles followed by a saturation attributed to the insulating behavior of the organic films. Both ultrathin (2 nm) and thin (12 and 27 nm) large area BTB-based junctions have then been fabricated using standard CMOS processes and finally electrically characterized. The electronic responses are fully consistent with a tunneling barrier in case of ultrathin BTB film whereas a pronounced rectifying behavior is reported for thicker molecular films.

  12. Atomic and molecular layer deposition for surface modification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi; Sievänen, Jenni; Salo, Erkki

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjetmore » printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.« less

  13. Double-atomic layer of Tl on Si(111): Atomic arrangement and electronic properties

    NASA Astrophysics Data System (ADS)

    Mihalyuk, Alexey N.; Bondarenko, Leonid V.; Tupchaya, Alexandra Y.; Gruznev, Dimitry V.; Chou, Jyh-Pin; Hsing, Cheng-Rong; Wei, Ching-Ming; Zotov, Andrey V.; Saranin, Alexander A.

    2018-02-01

    Metastable double-atomic layer of Tl on Si(111) has recently been found to display interesting electric properties, namely superconductivity below 0.96 K and magnetic-field-induced transition into an insulating phase intermediated by a quantum metal state. In the present work, using a set of experimental techniques, including low-energy electron diffraction, scanning tunneling microscopy, angle-resolved photoelectron spectroscopy, in a combination with density-functional-theory calculations, we have characterized atomic and electronic properties of the Tl double layer on Si(111). The double Tl layer has been concluded to contain ∼ 2.4 monolayer of Tl. A top Tl layer has a '1 × 1' basic structure and displays 6 × 6 moiré pattern which originates from various residence sites of Tl atoms. Upon cooling below ∼ 140 K, the 6 × 6 moiré pattern changes to that having a 6√{ 3} × 6√{ 3} periodicity. However, the experimentally determined electron band dispersions show a 1 × 1 periodicity. The calculated band structure unfolded into the 1 × 1 surface Brillouin zone reproduces well the main features of the photoelectron spectra.

  14. Synthesis of Large and Few Atomic Layers of Hexagonal Boron Nitride on Melted Copper

    PubMed Central

    Khan, Majharul Haque; Huang, Zhenguo; Xiao, Feng; Casillas, Gilberto; Chen, Zhixin; Molino, Paul J.; Liu, Hua Kun

    2015-01-01

    Hexagonal boron nitride nanosheets (h-BNNS) have been proposed as an ideal substrate for graphene-based electronic devices, but the synthesis of large and homogeneous h-BNNS is still challenging. In this contribution, we report a facile synthesis of few-layer h-BNNS on melted copper via an atmospheric pressure chemical vapor deposition process. Comparative studies confirm the advantage of using melted copper over solid copper as a catalyst substrate. The former leads to the formation of single crystalline h-BNNS that is several microns in size and mostly in mono- and bi-layer forms, in contrast to the polycrystalline and mixed multiple layers (1–10) yielded by the latter. This difference is likely to be due to the significantly reduced and uniformly distributed nucleation sites on the smooth melted surface, in contrast to the large amounts of unevenly distributed nucleation sites that are associated with grain boundaries and other defects on the solid surface. This synthesis is expected to contribute to the development of large-scale manufacturing of h-BNNS/graphene-based electronics. PMID:25582557

  15. Synthesis of large and few atomic layers of hexagonal boron nitride on melted copper.

    PubMed

    Khan, Majharul Haque; Huang, Zhenguo; Xiao, Feng; Casillas, Gilberto; Chen, Zhixin; Molino, Paul J; Liu, Hua Kun

    2015-01-13

    Hexagonal boron nitride nanosheets (h-BNNS) have been proposed as an ideal substrate for graphene-based electronic devices, but the synthesis of large and homogeneous h-BNNS is still challenging. In this contribution, we report a facile synthesis of few-layer h-BNNS on melted copper via an atmospheric pressure chemical vapor deposition process. Comparative studies confirm the advantage of using melted copper over solid copper as a catalyst substrate. The former leads to the formation of single crystalline h-BNNS that is several microns in size and mostly in mono- and bi-layer forms, in contrast to the polycrystalline and mixed multiple layers (1-10) yielded by the latter. This difference is likely to be due to the significantly reduced and uniformly distributed nucleation sites on the smooth melted surface, in contrast to the large amounts of unevenly distributed nucleation sites that are associated with grain boundaries and other defects on the solid surface. This synthesis is expected to contribute to the development of large-scale manufacturing of h-BNNS/graphene-based electronics.

  16. Large area nanoscale metal meshes for use as transparent conductive layers.

    PubMed

    Jin, Yuanhao; Li, Qunqing; Chen, Mo; Li, Guanhong; Zhao, Yudan; Xiao, Xiaoyang; Wang, Jiaping; Jiang, Kaili; Fan, Shoushan

    2015-10-21

    We report on the experimental realization of using super-aligned carbon nanotubes (SACNTs) as etching masks for the fabrication of large area nanoscale metal meshes. This method can easily be extended to different metals on both rigid and flexible substrates. The as-fabricated metal meshes, including the ones made of gold, copper, and aluminum, are suitable for use as transparent conductive layers (TCLs). The metal meshes, which are similar to the SACNT networks in their dimensional features of tens of nanometers, exhibit compatible performance in terms of optical transmittance and sheet resistance. Moreover, because the metal meshes are fabricated as an integrated material, there is no junction resistance between the interconnected metal nanostructures, which markedly lowers their sheet resistance at high temperatures. The fabrication of such an effective etching mask involves a simple drawing process of the SACNT networks prepared and a common deposition process. This approach should be easy to extend to various research fields and has broad prospects in commercial applications.

  17. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  18. Disentangling atomic-layer-specific x-ray absorption spectra by Auger electron diffraction spectroscopy

    NASA Astrophysics Data System (ADS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Kato, Yukako; Hashimoto, Mie; Daimon, Hiroshi

    2009-11-01

    In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, Auger electron diffraction spectroscopy, which is the combination of x-ray absorption spectroscopy (XAS) and Auger electron diffraction (AED) techniques. We have measured a series of Ni LMM AED patterns of the Ni film grown on Cu(001) surface for various thicknesses. Then we deduced a set of atomic-layer-specific AED patterns in a numerical way. Furthermore, we developed an algorithm to disentangle XANES spectra from different atomic layers using these atomic-layer-specific AED patterns. Surface and subsurface core level shift were determined for each atomic layer.

  19. Recent progress of atomic layer deposition on polymeric materials.

    PubMed

    Guo, Hong Chen; Ye, Enyi; Li, Zibiao; Han, Ming-Yong; Loh, Xian Jun

    2017-01-01

    As a very promising surface coating technology, atomic layer deposition (ALD) can be used to modify the surfaces of polymeric materials for improving their functions and expanding their application areas. Polymeric materials vary in surface functional groups (number and type), surface morphology and internal structure, and thus ALD deposition conditions that typically work on a normal solid surface, usually do not work on a polymeric material surface. To date, a large variety of research has been carried out to investigate ALD deposition on various polymeric materials. This paper aims to provide an in-depth review of ALD deposition on polymeric materials and its applications. Through this review, we will provide a better understanding of surface chemistry and reaction mechanism for controlled surface modification of polymeric materials by ALD. The integrated knowledge can aid in devising an improved way in the reaction between reactant precursors and polymer functional groups/polymer backbones, which will in turn open new opportunities in processing ALD materials for better inorganic/organic film integration and potential applications. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  1. Single-atom Catalysis Using Pt/Graphene Achieved through Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Sun, Shuhui; Zhang, Gaixia; Gauquelin, Nicolas; Chen, Ning; Zhou, Jigang; Yang, Songlan; Chen, Weifeng; Meng, Xiangbo; Geng, Dongsheng; Banis, Mohammad N.; Li, Ruying; Ye, Siyu; Knights, Shanna; Botton, Gianluigi A.; Sham, Tsun-Kong; Sun, Xueliang

    2013-05-01

    Platinum-nanoparticle-based catalysts are widely used in many important chemical processes and automobile industries. Downsizing catalyst nanoparticles to single atoms is highly desirable to maximize their use efficiency, however, very challenging. Here we report a practical synthesis for isolated single Pt atoms anchored to graphene nanosheet using the atomic layer deposition (ALD) technique. ALD offers the capability of precise control of catalyst size span from single atom, subnanometer cluster to nanoparticle. The single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst. X-ray absorption fine structure (XAFS) analyses reveal that the low-coordination and partially unoccupied densities of states of 5d orbital of Pt atoms are responsible for the excellent performance. This work is anticipated to form the basis for the exploration of a next generation of highly efficient single-atom catalysts for various applications.

  2. Single-atom Catalysis Using Pt/Graphene Achieved through Atomic Layer Deposition

    PubMed Central

    Sun, Shuhui; Zhang, Gaixia; Gauquelin, Nicolas; Chen, Ning; Zhou, Jigang; Yang, Songlan; Chen, Weifeng; Meng, Xiangbo; Geng, Dongsheng; Banis, Mohammad N.; Li, Ruying; Ye, Siyu; Knights, Shanna; Botton, Gianluigi A.; Sham, Tsun-Kong; Sun, Xueliang

    2013-01-01

    Platinum-nanoparticle-based catalysts are widely used in many important chemical processes and automobile industries. Downsizing catalyst nanoparticles to single atoms is highly desirable to maximize their use efficiency, however, very challenging. Here we report a practical synthesis for isolated single Pt atoms anchored to graphene nanosheet using the atomic layer deposition (ALD) technique. ALD offers the capability of precise control of catalyst size span from single atom, subnanometer cluster to nanoparticle. The single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst. X-ray absorption fine structure (XAFS) analyses reveal that the low-coordination and partially unoccupied densities of states of 5d orbital of Pt atoms are responsible for the excellent performance. This work is anticipated to form the basis for the exploration of a next generation of highly efficient single-atom catalysts for various applications.

  3. Atomic Layer Deposition of Titanium Oxide on Single-Layer Graphene: An Atomic-Scale Study toward Understanding Nucleation and Growth

    PubMed Central

    2017-01-01

    Controlled synthesis of a hybrid nanomaterial based on titanium oxide and single-layer graphene (SLG) using atomic layer deposition (ALD) is reported here. The morphology and crystallinity of the oxide layer on SLG can be tuned mainly with the deposition temperature, achieving either a uniform amorphous layer at 60 °C or ∼2 nm individual nanocrystals on the SLG at 200 °C after only 20 ALD cycles. A continuous and uniform amorphous layer formed on the SLG after 180 cycles at 60 °C can be converted to a polycrystalline layer containing domains of anatase TiO2 after a postdeposition annealing at 400 °C under vacuum. Using aberration-corrected transmission electron microscopy (AC-TEM), characterization of the structure and chemistry was performed on an atomic scale and provided insight into understanding the nucleation and growth. AC-TEM imaging and electron energy loss spectroscopy revealed that rocksalt TiO nanocrystals were occasionally formed at the early stage of nucleation after only 20 ALD cycles. Understanding and controlling nucleation and growth of the hybrid nanomaterial are crucial to achieving novel properties and enhanced performance for a wide range of applications that exploit the synergetic functionalities of the ensemble. PMID:28356613

  4. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. We here demonstrate the saturating reaction of trimethylindium (InMe3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOF node to generatemore » a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. We further demonstrate tunable metal loading through controlled number density of the reactive handles (-OH and -OH2) achieved through node dehydration at elevated temperatures.« less

  5. Large-area synthesis of WSe2 from WO3 by selenium-oxygen ion exchange

    NASA Astrophysics Data System (ADS)

    Browning, Paul; Eichfeld, Sarah; Zhang, Kehao; Hossain, Lorraine; Lin, Yu-Chuan; Wang, Ke; Lu, Ning; Waite, A. R.; Voevodin, A. A.; Kim, Moon; Robinson, Joshua A.

    2015-03-01

    Few-layer tungsten diselenide (WSe2) is attractive as a next-generation electronic material as it exhibits modest carrier mobilities and energy band gap in the visible spectra, making it appealing for photovoltaic and low-powered electronic applications. Here we demonstrate the scalable synthesis of large-area, few-layer WSe2 via replacement of oxygen in hexagonally stabilized tungsten oxide films using dimethyl selenium. Cross-sectional transmission electron microscopy reveals successful control of the final WSe2 film thickness through control of initial tungsten oxide thickness, as well as development of layered films with grain sizes up to several hundred nanometers. Raman spectroscopy and atomic force microscopy confirms high crystal uniformity of the converted WSe2, and time domain thermo-reflectance provide evidence that near record low thermal conductivity is achievable in ultra-thin WSe2 using this method.

  6. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    NASA Astrophysics Data System (ADS)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  7. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching.

    PubMed

    Engstrom, James R; Kummel, Andrew C

    2017-02-07

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  8. Effect of intermediate layers on atomic layer deposition-aluminum oxide protected silver mirrors

    NASA Astrophysics Data System (ADS)

    Fryauf, David M.; Diaz Leon, Juan J.; Phillips, Andrew C.; Kobayashi, Nobuhiko P.

    2017-07-01

    This work investigates intermediate materials deposited between silver (Ag) thin-film mirrors and an aluminum oxide (AlOx) barrier overlayer and compares the effects on mirror durability to environmental stresses. Physical vapor deposition of various fluorides, oxides, and nitrides in combination with AlOx by atomic layer deposition (ALD) is used to develop several coating recipes. Ag-AlOx samples with different intermediate materials undergo aggressive high-temperature (80°C), high-humidity (80%) (HTHH) testing for 10 days. Reflectivity of mirror samples is measured before and after HTHH testing, and image processing techniques are used to analyze the specular surface of the samples after HTHH testing. Among the seven intermediate materials used in this work, TiN, MgAl2O4, NiO, and Al2O3 intermediate layers offer more robust protection against chemical corrosion and moisture when compared with samples with no intermediate layer. In addition, results show that the performance of the ALD-AlOx barrier overlayer depends significantly on the ALD-growth process temperature. Because higher durability is observed in samples with less transparent TiN and NiO layers, we propose a figure of merit based on post-HTHH testing reflectivity change and specular reflective mirror surface area remaining after HTHH testing to judge overall barrier performance.

  9. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    PubMed Central

    Liao, Yu-Kuang; Liu, Yung-Tsung; Hsieh, Dan-Hua; Shen, Tien-Lin; Hsieh, Ming-Yang; Tzou, An-Jye; Chen, Shih-Chen; Tsai, Yu-Lin; Lin, Wei-Sheng; Chan, Sheng-Wen; Shen, Yen-Ping; Cheng, Shun-Jen; Chen, Chyong-Hua; Wu, Kaung-Hsiung; Chen, Hao-Ming; Kuo, Shou-Yi; Charlton, Martin D. B.; Hsieh, Tung-Po; Kuo, Hao-Chung

    2017-01-01

    Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs) with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD) and chemical bath deposition (CBD) as used by the Cu(In,Ga)Se2 (CIGS) thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase. PMID:28383488

  10. Probabilistic distributions of pinhole defects in atomic layer deposited films on polymeric substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yersak, Alexander S., E-mail: alexander.yersak@colorado.edu; Lee, Yung-Cheng

    Pinhole defects in atomic layer deposition (ALD) coatings were measured in an area of 30 cm{sup 2} in an ALD reactor, and these defects were represented by a probabilistic cluster model instead of a single defect density value with number of defects over area. With the probabilistic cluster model, the pinhole defects were simulated over a manufacturing scale surface area of ∼1 m{sup 2}. Large-area pinhole defect simulations were used to develop an improved and enhanced design method for ALD-based devices. A flexible thermal ground plane (FTGP) device requiring ALD hermetic coatings was used as an example. Using a single defectmore » density value, it was determined that for an application with operation temperatures higher than 60 °C, the FTGP device would not be possible. The new probabilistic cluster model shows that up to 40.3% of the FTGP would be acceptable. With this new approach the manufacturing yield of ALD-enabled or other thin film based devices with different design configurations can be determined. It is important to guide process optimization and control and design for manufacturability.« less

  11. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    DTIC Science & Technology

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...Emerging Technology of 2D Atomic Layered Materials and Devices 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-15-1-0006 5c.  PROGRAM ELEMENT NUMBER...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  12. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  13. Effect of polymer residues on the electrical properties of large-area graphene–hexagonal boron nitride planar heterostructures

    DOE PAGES

    Voyloy, Dimitry; Lassiter, Matthew G.; Sokolov, Alexei P.; ...

    2017-06-19

    Polymer residue plays an important role in the performance of 2D heterostructured materials. Herein, we study the effect of polymer residual impurities on the electrical properties of graphene–boron nitride planar heterostructures. Large-area graphene (Gr) and hexagonal boron nitride (h-BN) monolayers were synthesized using chemical vapor deposition techniques. Atomic van-der-Waals heterostructure layers based on varied configurations of Gr and h-BN layers were assembled. The average interlayer resistance of the heterojunctions over a 1 cm 2 area for several planar heterostructure configurations was assessed by impedance spectroscopy and modeled by equivalent electrical circuits. As a result, conductive AFM measurements showed that themore » presence of polymer residues on the surface of the Gr and h-BN monolayers resulted in significant resistance deviations over nanoscale regions.« less

  14. Effect of polymer residues on the electrical properties of large-area graphene–hexagonal boron nitride planar heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Voyloy, Dimitry; Lassiter, Matthew G.; Sokolov, Alexei P.

    Polymer residue plays an important role in the performance of 2D heterostructured materials. Herein, we study the effect of polymer residual impurities on the electrical properties of graphene–boron nitride planar heterostructures. Large-area graphene (Gr) and hexagonal boron nitride (h-BN) monolayers were synthesized using chemical vapor deposition techniques. Atomic van-der-Waals heterostructure layers based on varied configurations of Gr and h-BN layers were assembled. The average interlayer resistance of the heterojunctions over a 1 cm 2 area for several planar heterostructure configurations was assessed by impedance spectroscopy and modeled by equivalent electrical circuits. As a result, conductive AFM measurements showed that themore » presence of polymer residues on the surface of the Gr and h-BN monolayers resulted in significant resistance deviations over nanoscale regions.« less

  15. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  16. Second generation large area microchannel plate flat panel phototubes

    NASA Astrophysics Data System (ADS)

    Ertley, C. D.; Siegmund, O. H. W.; Jelinsky, S. R.; Tedesco, J.; Minot, M. J.; O'Mahony, A.; Craven, C. A.; Popecki, M.; Lyashenko, A. V.; Foley, M. R.

    2016-07-01

    Very large (20 cm × 20 cm) flat panel phototubes are being developed which employ novel microchannel plates (MCPs). The MCPs are manufactured using borosilicate microcapillary arrays which are functionalized by the application of resistive and secondary emissive layers using atomic layer deposition (ALD). This allows the operational parameters to be set by tailoring sequential ALD deposition processes. The borosilicate substrates are robust, including the ability to be produced in large formats (20 cm square). ALD MCPs have performance characteristics (gain, pulse amplitude distributions, and imaging) that are equivalent or better than conventional MCPs. They have low intrinsic background (0.045 events cm-2 sec-1)., high open area ratios (74% for the latest generation of borosilicate substrates), and stable gain during >7 C cm-2 charge extraction after preconditioning (vacuum bake and burn-in). The tube assemblies use a pair of 20 cm × 20 cm ALD MCPs comprised of a borosilicate entrance window, a proximity focused bialkali photocathode, and a strip-line readout anode. The second generation design employs an all glass body with a hot indium seal and a transfer photocathode. We have achieved >20% quantum efficiency and good gain uniformity over the 400 cm2 field of view, spatial resolution of <1 cm and obtained event timing accuracy of close to 100 ps FWHM.

  17. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE PAGES

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.; ...

    2015-07-02

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. In this paper, we demonstrate the saturating reaction of trimethylindium (InMe 3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOFmore » node to generate a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. Finally, we further demonstrate tunable metal loading through controlled number density of the reactive handles (–OH and –OH 2) achieved through node dehydration at elevated temperatures.« less

  18. Targeted Single-Site MOF Node Modification: Trivalent Metal Loading via Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, In Soo; Borycz, Joshua; Platero-Prats, Ana E.

    Postsynthetic functionalization of metal organic frameworks (MOFs) enables the controlled, high-density incorporation of new atoms on a crystallographically precise framework. Leveraging the broad palette of known atomic layer deposition (ALD) chemistries, ALD in MOFs (AIM) is one such targeted approach to construct diverse, highly functional, few-atom clusters. In this paper, we demonstrate the saturating reaction of trimethylindium (InMe 3) with the node hydroxyls and ligated water of NU-1000, which takes place without significant loss of MOF crystallinity or internal surface area. We computationally identify the elementary steps by which trimethylated trivalent metal compounds (ALD precursors) react with this Zr-based MOFmore » node to generate a uniform and well characterized new surface layer on the node itself, and we predict a final structure that is fully consistent with experimental X-ray pair distribution function (PDF) analysis. Finally, we further demonstrate tunable metal loading through controlled number density of the reactive handles (–OH and –OH 2) achieved through node dehydration at elevated temperatures.« less

  19. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  20. Atomic layer confined vacancies for atomic-level insights into carbon dioxide electroreduction

    NASA Astrophysics Data System (ADS)

    Gao, Shan; Sun, Zhongti; Liu, Wei; Jiao, Xingchen; Zu, Xiaolong; Hu, Qitao; Sun, Yongfu; Yao, Tao; Zhang, Wenhua; Wei, Shiqiang; Xie, Yi

    2017-02-01

    The role of oxygen vacancies in carbon dioxide electroreduction remains somewhat unclear. Here we construct a model of oxygen vacancies confined in atomic layer, taking the synthetic oxygen-deficient cobalt oxide single-unit-cell layers as an example. Density functional theory calculations demonstrate the main defect is the oxygen(II) vacancy, while X-ray absorption fine structure spectroscopy reveals their distinct oxygen vacancy concentrations. Proton transfer is theoretically/experimentally demonstrated to be a rate-limiting step, while energy calculations unveil that the presence of oxygen(II) vacancies lower the rate-limiting activation barrier from 0.51 to 0.40 eV via stabilizing the formate anion radical intermediate, confirmed by the lowered onset potential from 0.81 to 0.78 V and decreased Tafel slope from 48 to 37 mV dec-1. Hence, vacancy-rich cobalt oxide single-unit-cell layers exhibit current densities of 2.7 mA cm-2 with ca. 85% formate selectivity during 40-h tests. This work establishes a clear atomic-level correlation between oxygen vacancies and carbon dioxide electroreduction.

  1. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  2. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  3. InGaN/GaN blue light emitting diodes using Al-doped ZnO grown by atomic layer deposition as a current spreading layer

    NASA Astrophysics Data System (ADS)

    Kong, Bo Hyun; Cho, Hyung Koun; Kim, Mi Yang; Choi, Rak Jun; Kim, Bae Kyun

    2011-07-01

    For the fabrication of InGaN/GaN multiple quantum well-based blue light emitting diodes (LEDs) showing large area emission, transparent Al-doped ZnO (AZO) films grown by atomic layer deposition at relatively low temperatures were introduced as current spreading layers. These AZO films with an Al content of 3 at% showed a low electrical resistivity of <10 -3-10 -4 Ω cm, a high carrier concentration of >10 20 cm -3, and an excellent optical transmittance of ˜85%, in spite of the low growth temperature. The deposition of the AZO film induced an intense blue emission from the whole surface of the p-GaN and weak ultraviolet emission from the n-AZO and p-GaN junction. At an injection current of 50 mA, the output powers of the blue LEDs were 1760 and 1440 mcd for the samples with AZO thicknesses of 100 and 300 nm, respectively.

  4. Graphene oxide hole transport layers for large area, high efficiency organic solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, Chris T. G.; Rhodes, Rhys W.; Beliatis, Michail J.

    2014-08-18

    Graphene oxide (GO) is becoming increasingly popular for organic electronic applications. We present large active area (0.64 cm{sup 2}), solution processable, poly[[9-(1-octylnonyl)-9H-carbazole-2,7-diyl]-2,5-thiophenediyl-2,1, 3-benzothiadiazole-4,7-diyl-2,5-thiophenediyl]:[6,6]-Phenyl C{sub 71} butyric acid methyl ester (PCDTBT:PC{sub 70}BM) organic photovoltaic (OPV) solar cells, incorporating GO hole transport layers (HTL). The power conversion efficiency (PCE) of ∼5% is the highest reported for OPV using this architecture. A comparative study of solution-processable devices has been undertaken to benchmark GO OPV performance with poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) HTL devices, confirming the viability of GO devices, with comparable PCEs, suitable as high chemical and thermal stability replacements for PEDOT:PSS in OPV.

  5. Atomic Layer Epitaxy of Aluminum Nitride: Unraveling the Connection between Hydrogen Plasma and Carbon Contamination.

    PubMed

    Erwin, Steven C; Lyons, John L

    2018-06-13

    Atomistic control over the growth of semiconductor thin films, such as aluminum nitride, is a long-sought goal in materials physics. One promising approach is plasma-assisted atomic layer epitaxy, in which separate reactant precursors are employed to grow the cation and anion layers in alternating deposition steps. The use of a plasma during the growth-most often a hydrogen plasma-is now routine and generally considered critical, but the precise role of the plasma is not well-understood. We propose a theoretical atomistic model and elucidate its consequences using analytical rate equations, density functional theory, and kinetic Monte Carlo statistical simulations. We show that using a plasma has two important consequences, one beneficial and one detrimental. The plasma produces atomic hydrogen in the gas phase, which is important for removing methyl radicals left over from the aluminum precursor molecules. However, atomic hydrogen also leads to atomic carbon on the surface and, moreover, opens a channel for trapping these carbon atoms as impurities in the subsurface region, where they remain as unwanted contaminants. Understanding this dual role leads us to propose a solution for the carbon contamination problem which leaves the main benefit of the plasma largely unaffected.

  6. Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.

    PubMed

    Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng

    2016-11-29

    Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.

  7. Large area high-speed metrology SPM system.

    PubMed

    Klapetek, P; Valtr, M; Picco, L; Payton, O D; Martinek, J; Yacoot, A; Miles, M

    2015-02-13

    We present a large area high-speed measuring system capable of rapidly generating nanometre resolution scanning probe microscopy data over mm(2) regions. The system combines a slow moving but accurate large area XYZ scanner with a very fast but less accurate small area XY scanner. This arrangement enables very large areas to be scanned by stitching together the small, rapidly acquired, images from the fast XY scanner while simultaneously moving the slow XYZ scanner across the region of interest. In order to successfully merge the image sequences together two software approaches for calibrating the data from the fast scanner are described. The first utilizes the low uncertainty interferometric sensors of the XYZ scanner while the second implements a genetic algorithm with multiple parameter fitting during the data merging step of the image stitching process. The basic uncertainty components related to these high-speed measurements are also discussed. Both techniques are shown to successfully enable high-resolution, large area images to be generated at least an order of magnitude faster than with a conventional atomic force microscope.

  8. Large area high-speed metrology SPM system

    NASA Astrophysics Data System (ADS)

    Klapetek, P.; Valtr, M.; Picco, L.; Payton, O. D.; Martinek, J.; Yacoot, A.; Miles, M.

    2015-02-01

    We present a large area high-speed measuring system capable of rapidly generating nanometre resolution scanning probe microscopy data over mm2 regions. The system combines a slow moving but accurate large area XYZ scanner with a very fast but less accurate small area XY scanner. This arrangement enables very large areas to be scanned by stitching together the small, rapidly acquired, images from the fast XY scanner while simultaneously moving the slow XYZ scanner across the region of interest. In order to successfully merge the image sequences together two software approaches for calibrating the data from the fast scanner are described. The first utilizes the low uncertainty interferometric sensors of the XYZ scanner while the second implements a genetic algorithm with multiple parameter fitting during the data merging step of the image stitching process. The basic uncertainty components related to these high-speed measurements are also discussed. Both techniques are shown to successfully enable high-resolution, large area images to be generated at least an order of magnitude faster than with a conventional atomic force microscope.

  9. Synthesis and Transfer of Large-Area Monolayer WS2 Crystals: Moving Toward the Recyclable Use of Sapphire Substrates.

    PubMed

    Xu, Zai-Quan; Zhang, Yupeng; Lin, Shenghuang; Zheng, Changxi; Zhong, Yu Lin; Xia, Xue; Li, Zhipeng; Sophia, Ponraj Joice; Fuhrer, Michael S; Cheng, Yi-Bing; Bao, Qiaoliang

    2015-06-23

    Two-dimensional layered transition metal dichalcogenides (TMDs) show intriguing potential for optoelectronic devices due to their exotic electronic and optical properties. Only a few efforts have been dedicated to large-area growth of TMDs. Practical applications will require improving the efficiency and reducing the cost of production, through (1) new growth methods to produce large size TMD monolayer with less-stringent conditions, and (2) nondestructive transfer techniques that enable multiple reuse of growth substrate. In this work, we report to employ atmospheric pressure chemical vapor deposition (APCVD) for the synthesis of large size (>100 μm) single crystals of atomically thin tungsten disulfide (WS2), a member of TMD family, on sapphire substrate. More importantly, we demonstrate a polystyrene (PS) mediated delamination process via capillary force in water which reduces the etching time in base solution and imposes only minor damage to the sapphire substrate. The transferred WS2 flakes are of excellent continuity and exhibit comparable electron mobility after several growth cycles on the reused sapphire substrate. Interestingly, the photoluminescence emission from WS2 grown on the recycled sapphire is much higher than that on fresh sapphire, possibly due to p-type doping of monolayer WS2 flakes by a thin layer of water intercalated at the atomic steps of the recycled sapphire substrate. The growth and transfer techniques described here are expected to be applicable to other atomically thin TMD materials.

  10. Nano-soldering to single atomic layer

    DOEpatents

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  11. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  12. Large Frequency Change with Thickness in Interlayer Breathing Mode—Significant Interlayer Interactions in Few Layer Black Phosphorus

    NASA Astrophysics Data System (ADS)

    Luo, Xin; Lu, Xin; Koon, Gavin Kok Wai; Castro Neto, Antonio H.; Özyilmaz, Barbaros; Xiong, Qihua; Quek, Su Ying

    2015-06-01

    Bulk black phosphorus (BP) consists of puckered layers of phosphorus atoms. Few-layer BP, obtained from bulk BP by exfoliation, is an emerging candidate as a channel material in post-silicon electronics. A deep understanding of its physical properties and its full range of applications are still being uncovered. In this paper, we present a theoretical and experimental investigation of phonon properties in few-layer BP, focusing on the low-frequency regime corresponding to interlayer vibrational modes. We show that the interlayer breathing mode A3g shows a large redshift with increasing thickness; the experimental and theoretical results agreeing well. This thickness dependence is two times larger than that in the chalcogenide materials such as few-layer MoS2 and WSe2, because of the significantly larger interlayer force constant and smaller atomic mass in BP. The derived interlayer out-of-plane force constant is about 50% larger than that in graphene and MoS2. We show that this large interlayer force constant arises from the sizable covalent interaction between phosphorus atoms in adjacent layers, and that interlayer interactions are not merely of the weak van der Waals type. These significant interlayer interactions are consistent with the known surface reactivity of BP, and have been shown to be important for electric-field induced formation of Dirac cones in thin film BP.

  13. Large Frequency Change with Thickness in Interlayer Breathing Mode--Significant Interlayer Interactions in Few Layer Black Phosphorus.

    PubMed

    Luo, Xin; Lu, Xin; Koon, Gavin Kok Wai; Castro Neto, Antonio H; Özyilmaz, Barbaros; Xiong, Qihua; Quek, Su Ying

    2015-06-10

    Bulk black phosphorus (BP) consists of puckered layers of phosphorus atoms. Few-layer BP, obtained from bulk BP by exfoliation, is an emerging candidate as a channel material in post-silicon electronics. A deep understanding of its physical properties and its full range of applications are still being uncovered. In this paper, we present a theoretical and experimental investigation of phonon properties in few-layer BP, focusing on the low-frequency regime corresponding to interlayer vibrational modes. We show that the interlayer breathing mode A(3)g shows a large redshift with increasing thickness; the experimental and theoretical results agree well. This thickness dependence is two times larger than that in the chalcogenide materials, such as few-layer MoS2 and WSe2, because of the significantly larger interlayer force constant and smaller atomic mass in BP. The derived interlayer out-of-plane force constant is about 50% larger than that of graphene and MoS2. We show that this large interlayer force constant arises from the sizable covalent interaction between phosphorus atoms in adjacent layers and that interlayer interactions are not merely of the weak van der Waals type. These significant interlayer interactions are consistent with the known surface reactivity of BP and have been shown to be important for electric-field induced formation of Dirac cones in thin film BP.

  14. Enhanced light extraction of scintillator using large-area photonic crystal structures fabricated by soft-X-ray interference lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Zhichao; Wu, Shuang; Liu, Bo, E-mail: lbo@tongji.edu.cn

    2015-06-15

    Soft-X-ray interference lithography is utilized in combination with atomic layer deposition to prepare photonic crystal structures on the surface of Bi{sub 4}Ge{sub 3}O{sub 12} (BGO) scintillator in order to extract the light otherwise trapped in the internal of scintillator due to total internal reflection. An enhancement with wavelength- and emergence angle-integration by 95.1% has been achieved. This method is advantageous to fabricate photonic crystal structures with large-area and high-index-contrast which enable a high-efficient coupling of evanescent field and the photonic crystal structures. Generally, the method demonstrated in this work is also suitable for many other light emitting devices where amore » large-area is required in the practical applications.« less

  15. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography.

    PubMed

    Wu, Yizhi; Giddings, A Devin; Verheijen, Marcel A; Macco, Bart; Prosa, Ty J; Larson, David J; Roozeboom, Fred; Kessels, Wilhelmus M M

    2018-02-27

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm -3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.

  16. A combined scanning tunneling microscope-atomic layer deposition tool.

    PubMed

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  17. Designing optical metamaterial with hyperbolic dispersion based on Al:ZnO/ZnO nano-layered structure using Atomic Layer Deposition technique

    DOE PAGES

    Kelly, Priscilla; Liu, Mingzhao; Kuznetsova, Lyuba

    2016-04-07

    In this study, nano-layered Al:ZnO/ZnO hyperbolic dispersion metamaterial with a large number of layers was fabricated using the atomic layer deposition (ALD) technique. Experimental dielectric functions for Al:ZnO/ZnO structures are obtained by an ellipsometry technique in the visible and near-infrared spectral ranges. The theoretical modeling of the Al:ZnO/ZnO dielectric permittivity is done using effective medium approximation. A method for analysis of spectroscopic ellipsometry data is demonstrated to extract the optical permittivity for this highly anisotropic nano-layered metamaterial. The results of the ellipsometry analysis show that Al:ZnO/ZnO structures with a 1:9 ALD cycle ratio exhibit hyperbolic dispersion transition change near 1.8more » μm wavelength.« less

  18. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  19. Atomic Structures of Silicene Layers Grown on Ag(111): Scanning Tunneling Microscopy and Noncontact Atomic Force Microscopy Observations

    PubMed Central

    Resta, Andrea; Leoni, Thomas; Barth, Clemens; Ranguis, Alain; Becker, Conrad; Bruhn, Thomas; Vogt, Patrick; Le Lay, Guy

    2013-01-01

    Silicene, the considered equivalent of graphene for silicon, has been recently synthesized on Ag(111) surfaces. Following the tremendous success of graphene, silicene might further widen the horizon of two-dimensional materials with new allotropes artificially created. Due to stronger spin-orbit coupling, lower group symmetry and different chemistry compared to graphene, silicene presents many new interesting features. Here, we focus on very important aspects of silicene layers on Ag(111): First, we present scanning tunneling microscopy (STM) and non-contact Atomic Force Microscopy (nc-AFM) observations of the major structures of single layer and bi-layer silicene in epitaxy with Ag(111). For the (3 × 3) reconstructed first silicene layer nc-AFM represents the same lateral arrangement of silicene atoms as STM and therefore provides a timely experimental confirmation of the current picture of the atomic silicene structure. Furthermore, both nc-AFM and STM give a unifying interpretation of the second layer (√3 × √3)R ± 30° structure. Finally, we give support to the conjectured possible existence of less stable, ~2% stressed, (√7 × √7)R ± 19.1° rotated silicene domains in the first layer. PMID:23928998

  20. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules. PMID:28850774

  1. Atomic layer epitaxy of YBaCuO for optoelectronic applications

    NASA Technical Reports Server (NTRS)

    Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.

    1992-01-01

    An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.

  2. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography

    PubMed Central

    2018-01-01

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called “ALD supercycles” is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width–half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm–3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors. PMID:29515290

  3. Transparent Oxide TFTs Fabricated by Atomic Layer Deposition

    DTIC Science & Technology

    2014-04-17

    Transparent Oxide TFTs Fabricated by Atomic Layer Deposition(FA2386-11-1-114052) Yukiharu Uraoka, Nara Institute of Science and Technology Term...2011.5.1-2012.4.30 Purpose and Background: In recent years, the application of zinc oxide (ZnO) thin films as an active channel layer in TFTs has...or other flexible substrates. Higher field-effect mobility of ZnO TFTs than a-Si:H TFTs has been recently demonstrated. However, reliability for

  4. Mutual Photoluminescence Quenching and Photovoltaic Effect in Large-Area Single-Layer MoS2-Polymer Heterojunctions.

    PubMed

    Shastry, Tejas A; Balla, Itamar; Bergeron, Hadallia; Amsterdam, Samuel H; Marks, Tobin J; Hersam, Mark C

    2016-11-22

    Two-dimensional transition metal dichalcogenides (TMDCs) have recently attracted attention due to their superlative optical and electronic properties. In particular, their extraordinary optical absorption and semiconducting band gap have enabled demonstrations of photovoltaic response from heterostructures composed of TMDCs and other organic or inorganic materials. However, these early studies were limited to devices at the micrometer scale and/or failed to exploit the unique optical absorption properties of single-layer TMDCs. Here we present an experimental realization of a large-area type-II photovoltaic heterojunction using single-layer molybdenum disulfide (MoS 2 ) as the primary absorber, by coupling it to the organic π-donor polymer PTB7. This TMDC-polymer heterojunction exhibits photoluminescence intensity that is tunable as a function of the thickness of the polymer layer, ultimately enabling complete quenching of the TMDC photoluminescence. The strong optical absorption in the TMDC-polymer heterojunction produces an internal quantum efficiency exceeding 40% for an overall cell thickness of less than 20 nm, resulting in exceptional current density per absorbing thickness in comparison to other organic and inorganic solar cells. Furthermore, this work provides insight into the recombination processes in type-II TMDC-polymer heterojunctions and thus provides quantitative guidance to ongoing efforts to realize efficient TMDC-based solar cells.

  5. Partially Oxidized SnS2 Atomic Layers Achieving Efficient Visible-Light-Driven CO2 Reduction.

    PubMed

    Jiao, Xingchen; Li, Xiaodong; Jin, Xiuyu; Sun, Yongfu; Xu, Jiaqi; Liang, Liang; Ju, Huanxin; Zhu, Junfa; Pan, Yang; Yan, Wensheng; Lin, Yue; Xie, Yi

    2017-12-13

    Unraveling the role of surface oxide on affecting its native metal disulfide's CO 2 photoreduction remains a grand challenge. Herein, we initially construct metal disulfide atomic layers and hence deliberately create oxidized domains on their surfaces. As an example, SnS 2 atomic layers with different oxidation degrees are successfully synthesized. In situ Fourier transform infrared spectroscopy spectra disclose the COOH* radical is the main intermediate, whereas density-functional-theory calculations reveal the COOH* formation is the rate-limiting step. The locally oxidized domains could serve as the highly catalytically active sites, which not only benefit for charge-carrier separation kinetics, verified by surface photovoltage spectra, but also result in electron localization on Sn atoms near the O atoms, thus lowering the activation energy barrier through stabilizing the COOH* intermediates. As a result, the mildly oxidized SnS 2 atomic layers exhibit the carbon monoxide formation rate of 12.28 μmol g -1 h -1 , roughly 2.3 and 2.6 times higher than those of the poorly oxidized SnS 2 atomic layers and the SnS 2 atomic layers under visible-light illumination. This work uncovers atomic-level insights into the correlation between oxidized sulfides and CO 2 reduction property, paving a new way for obtaining high-efficiency CO 2 photoreduction performances.

  6. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  7. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ionmore » energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.« less

  8. Large-area high-efficiency flexible PHOLED lighting panels

    NASA Astrophysics Data System (ADS)

    Pang, Huiqing; Mandlik, Prashant; Levermore, Peter A.; Silvernail, Jeff; Ma, Ruiqing; Brown, Julie J.

    2012-09-01

    Organic Light Emitting Diodes (OLEDs) provide various attractive features for next generation illumination systems, including high efficiency, low power, thin and flexible form factor. In this work, we incorporated phosphorescent emitters and demonstrated highly efficient white phosphorescent OLED (PHOLED) devices on flexible plastic substrates. The 0.94 cm2 small-area device has total thickness of approximately 0.25 mm and achieved 63 lm/W at 1,000 cd/m2 with CRI = 85 and CCT = 2920 K. We further designed and fabricated a 15 cm x 15 cm large-area flexible white OLED lighting panels, finished with a hybrid single-layer ultra-low permeability single layer barrier (SLB) encapsulation film. The flexible panel has an active area of 116.4 cm2, and achieved a power efficacy of 47 lm/W at 1,000 cd/m2 with CRI = 83 and CCT = 3470 K. The efficacy of the panel at 3,000 cd/m2 is 43 lm/W. The large-area flexible PHOLED lighting panel is to bring out enormous possibilities to the future general lighting applications.

  9. Cost-Effective Systems for Atomic Layer Deposition

    ERIC Educational Resources Information Center

    Lubitz, Michael; Medina, Phillip A., IV; Antic, Aleks; Rosin, Joseph T.; Fahlman, Bradley D.

    2014-01-01

    Herein, we describe the design and testing of two different home-built atomic layer deposition (ALD) systems for the growth of thin films with sub-monolayer control over film thickness. The first reactor is a horizontally aligned hot-walled reactor with a vacuum purging system. The second reactor is a vertically aligned cold-walled reactor with a…

  10. Quantitative Raman spectrum and reliable thickness identification for atomic layers on insulating substrates.

    PubMed

    Li, Song-Lin; Miyazaki, Hisao; Song, Haisheng; Kuramochi, Hiromi; Nakaharai, Shu; Tsukagoshi, Kazuhito

    2012-08-28

    We demonstrate the possibility in quantifying the Raman intensities for both specimen and substrate layers in a common stacked experimental configuration and, consequently, propose a general and rapid thickness identification technique for atomic-scale layers on dielectric substrates. Unprecedentedly wide-range Raman data for atomically flat MoS(2) flakes are collected to compare with theoretical models. We reveal that all intensity features can be accurately captured when including optical interference effect. Surprisingly, we find that even freely suspended chalcogenide few-layer flakes have a stronger Raman response than that from the bulk phase. Importantly, despite the oscillating intensity of specimen spectrum versus thickness, the substrate weighted spectral intensity becomes monotonic. Combined with its sensitivity to specimen thickness, we suggest this quantity can be used to rapidly determine the accurate thickness for atomic layers.

  11. An Introduction to Atomic Layer Deposition with Thermal Applications

    NASA Technical Reports Server (NTRS)

    Dwivedi, Vivek H.

    2015-01-01

    Atomic Layer Deposition (ALD) is a cost effective nano-manufacturing technique that allows for the conformal coating of substrates with atomic control in a benign temperature and pressure environment. Through the introduction of paired precursor gases thin films can be deposited on a myriad of substrates ranging from glass, polymers, aerogels, and metals to high aspect ratio geometries. This talk will focus on the utilization of ALD for engineering applications.

  12. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    NASA Astrophysics Data System (ADS)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  13. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  14. High-Resolution, Large-Area, Nano Imprint Lithography

    DTIC Science & Technology

    2009-08-27

    oxides as the seed layers can provide implication as the general synthetic route for the spontaneous growth of metal - silicide nanowires in large...nano-island array preparation , we have successfully fabricated patterned magnetic recording media as described in Fig. 2. About ~30 nm diameter Si...that we fabricated at UCSD with 5-50 nm diameter magnetic islands was used, since a large- area, hard disk size preparation was necessary, and since a

  15. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  16. Local epitaxial growth of ZrO2 on Ge (100) substrates by atomic layer epitaxy

    NASA Astrophysics Data System (ADS)

    Kim, Hyoungsub; Chui, Chi On; Saraswat, Krishna C.; McIntyre, Paul C.

    2003-09-01

    High-k dielectric deposition processes for gate dielectric preparation on Si surfaces usually result in the unavoidable and uncontrolled formation of a thin interfacial oxide layer. Atomic layer deposition of ˜55-Å ZrO2 film on a Ge (100) substrate using ZrCl4 and H2O at 300 °C was found to produce local epitaxial growth [(001) Ge//(001) ZrO2 and [100] Ge//[100] ZrO2] without a distinct interfacial layer, unlike the situation observed when ZrO2 is deposited using the same method on Si. Relatively large lattice mismatch (˜10%) between ZrO2 and Ge produced a high areal density of interfacial misfit dislocations. Large hysteresis (>200 mV) and high frequency dispersion were observed in capacitance-voltage measurements due to the high density of interface states. However, a low leakage current density, comparable to values obtained on Si substrates, was observed with the same capacitance density regardless of the high defect density.

  17. Characterization of atomic-layer MoS2 synthesized using a hot filament chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Ying-Zi, Peng; Yang, Song; Xiao-Qiang, Xie; Yuan, Li; Zheng-Hong, Qian; Ru, Bai

    2016-05-01

    Atomic-layer MoS2 ultrathin films are synthesized using a hot filament chemical vapor deposition method. A combination of atomic force microscopy (AFM), x-ray diffraction (XRD), high-resolution transition electron microscopy (HRTEM), photoluminescence (PL), and x-ray photoelectron spectroscopy (XPS) characterization methods is applied to investigate the crystal structures, valence states, and compositions of the ultrathin film areas. The nucleation particles show irregular morphology, while for a larger size somewhere, the films are granular and the grains have a triangle shape. The films grow in a preferred orientation (002). The HRTEM images present the graphene-like structure of stacked layers with low density of stacking fault, and the interlayer distance of plane is measured to be about 0.63 nm. It shows a clear quasi-honeycomb-like structure and 6-fold coordination symmetry. Room-temperature PL spectra for the atomic layer MoS2 under the condition of right and left circular light show that for both cases, the A1 and B1 direct excitonic transitions can be observed. In the meantime, valley polarization resolved PL spectra are obtained. XPS measurements provide high-purity samples aside from some contaminations from the air, and confirm the presence of pure MoS2. The stoichiometric mole ratio of S/Mo is about 2.0-2.1, suggesting that sulfur is abundant rather than deficient in the atomic layer MoS2 under our experimental conditions. Project supported by the Natural Science Foundation of Zhejiang Province, China (Grant Nos. LY16F040003 and LY16A040007) and the National Natural Science Foundation of China (Grant Nos. 51401069 and 11574067).

  18. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  19. Electrochemical Atomic Layer Epitaxy of Thin Film CdSe

    NASA Astrophysics Data System (ADS)

    Pham, L.; Kaleida, K.; Happek, U.; Mathe, M. K.; Vaidyanathan, R.; Stickney, J. L.; Radevic, M.

    2002-10-01

    Electrochemical atomic layer epitaxy (EC-ALE) is a current developmental technique for the fabrication of compound semiconductor thin films. The deposition of elements making up the compound utilizes surface limited reactions where the potential is less than that required for bulk growth. This growth method offers mono-atomic layer control, allowing the deposition of superlattices with sharp interfaces. Here we report on the EC-ALE formation of CdSe thin films on Au and Cu substrates using an automated flow cell system. The band gap was measured using IR absorption and photoconductivity and found to be consistent with the literature value of 1.74 eV at 300K and 1.85 eV at 20K. The stoichiometry of the thin film was confirmed with electron microprobe analysis and x-ray diffraction.

  20. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  1. Exploiting Universality in Atoms with Large Scattering Lengths

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Braaten, Eric

    2012-05-31

    The focus of this research project was atoms with scattering lengths that are large compared to the range of their interactions and which therefore exhibit universal behavior at sufficiently low energies. Recent dramatic advances in cooling atoms and in manipulating their scattering lengths have made this phenomenon of practical importance for controlling ultracold atoms and molecules. This research project was aimed at developing a systematically improvable method for calculating few-body observables for atoms with large scattering lengths starting from the universal results as a first approximation. Significant progress towards this goal was made during the five years of the project.

  2. Large-area copper indium diselenide (CIS) process, control and manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gillespie, T.J.; Lanning, B.R.; Marshall, C.H.

    1997-12-31

    Lockheed Martin Astronautics (LMA) has developed a large-area (30x30cm) sequential CIS manufacturing approach amenable to low-cost photovoltaics (PV) production. A prototype CIS manufacturing system has been designed and built with compositional uniformity (Cu/In ratio) verified within {+-}4 atomic percent over the 30x30cm area. CIS device efficiencies have been measured by the National Renewable Energy Laboratory (NREL) at 7% on a flexible non-sodium-containing substrate and 10% on a soda-lime-silica (SLS) glass substrate. Critical elements of the manufacturing capability include the CIS sequential process selection, uniform large-area material deposition, and in-situ process control. Details of the process and large-area manufacturing approach aremore » discussed and results presented.« less

  3. Area-selective atomic layer deposition of Ru on electron-beam-written Pt(C) patterns versus SiO2 substratum

    NASA Astrophysics Data System (ADS)

    Junige, Marcel; Löffler, Markus; Geidel, Marion; Albert, Matthias; Bartha, Johann W.; Zschech, Ehrenfried; Rellinghaus, Bernd; van Dorp, Willem F.

    2017-09-01

    Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO2 substratum free from any deposition. In a first step, we study in detail the Ru ALD nucleation on SiO2 and clarify the impact of the set-point temperature. An initial incubation period with actually no growth was revealed before a formation of minor, isolated RuO x islands; clearly no continuous Ru layer formed on SiO2. A lower temperature was beneficial in facilitating a longer incubation and consequently a wider window for (inherent) selectivity. In a second step, we write C-rich Pt micro-patterns on SiO2 by focused electron-beam-induced deposition (FEBID), varying the number of FEBID scans at two electron beam acceleration voltages. Subsequently, the localized Pt(C) deposits are pre-cleaned in O2 and overgrown by Ru ALD. Already sub-nanometer-thin Pt(C) patterns, which were supposedly purified into some form of Pt(O x ), acted as very effective activation for the locally restricted, thus area-selective ALD growth of a pure, continuous Ru covering, whereas the SiO2 substratum sufficiently inhibited towards no growth. FEBID at lower electron energy reduced unwanted stray deposition and achieved well-resolved pattern features. We access the nucleation phenomena by utilizing a hybrid metrology approach, which uniquely combines in-situ real-time spectroscopic ellipsometry, in-vacuo x-ray photoelectron spectroscopy, ex-situ high-resolution scanning electron microscopy, and mapping energy-dispersive x-ray spectroscopy.

  4. Protection of Polymers from the Space Environment by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Lindholm, Ned F.; Zhang, Jianming; Minton, Timothy K.; O'Patchen, Jennifer; George, Steven M.; Groner, Markus D.

    2009-01-01

    Polymers in space may be subjected to a barrage of incident atoms, photons, and/or ions. For example, oxygen atoms can etch and oxidize these materials. Photons may act either alone or in combination with oxygen atoms to degrade polymers and paints and thus limit their usefulness. Colors fade under the intense vacuum ultraviolet (VUV) solar radiation. Ions can lead to the build-up of static charge on polymers. Atomic layer deposition (ALD) techniques can provide coatings that could mitigate many challenges for polymers in space. ALD is a gas-phase technique based on two sequential, self-limiting surface reactions, and it can deposit very uniform, conformal, and pinhole-free films with atomic layer control. We have studied the efficacy of various ALD coatings to protect Kapton® polyimide, FEP Teflon®, and poly(methyl methacrylate) films from atomic-oxygen and VUV attack. Atomic-oxygen and VUV studies were conducted with the use of a laser-breakdown source for hyperthermal O atoms and a D2 lamp as a source of VUV light. These studies used a quartz crystal microbalance (QCM) to monitor mass loss in situ, as well as surface profilometry and scanning electron microscopy to study the surface recession and morphology changes ex situ. Al2O3 ALD coatings applied to polyimide and FEP Teflon® films protected the underlying substrates from O-atom attack, and ZnO coatings protected the poly(methyl methacrylate) substrate from VUV-induced damage.

  5. Large atom number Bose-Einstein condensate machines

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Streed, Erik W.; Chikkatur, Ananth P.; Gustavson, Todd L.

    2006-02-15

    We describe experimental setups for producing large Bose-Einstein condensates of {sup 23}Na and {sup 87}Rb. In both, a high-flux thermal atomic beam is decelerated by a Zeeman slower and is then captured and cooled in a magneto-optical trap. The atoms are then transferred into a cloverleaf-style Ioffe-Pritchard magnetic trap and cooled to quantum degeneracy with radio-frequency-induced forced evaporation. Typical condensates contain 20x10{sup 6} atoms. We discuss the similarities and differences between the techniques used for producing large {sup 87}Rb and {sup 23}Na condensates in the context of nearly identical setups.

  6. Atomic Layer Engineering of High-κ Ferroelectricity in 2D Perovskites.

    PubMed

    Li, Bao-Wen; Osada, Minoru; Kim, Yoon-Hyun; Ebina, Yasuo; Akatsuka, Kosho; Sasaki, Takayoshi

    2017-08-09

    Complex perovskite oxides offer tremendous potential for controlling their rich variety of electronic properties, including high-T C superconductivity, high-κ ferroelectricity, and quantum magnetism. Atomic-scale control of these intriguing properties in ultrathin perovskites is an important challenge for exploring new physics and device functionality at atomic dimensions. Here, we demonstrate atomic-scale engineering of dielectric responses using two-dimensional (2D) homologous perovskite nanosheets (Ca 2 Na m-3 Nb m O 3m+1 ; m = 3-6). In this homologous 2D material, the thickness of the perovskite layers can be incrementally controlled by changing m, and such atomic layer engineering enhances the high-κ dielectric response and local ferroelectric instability. The end member (m = 6) attains a high dielectric constant of ∼470, which is the highest among all known dielectrics in the ultrathin region (<10 nm). These results provide a new strategy for achieving high-κ ferroelectrics for use in ultrascaled high-density capacitors and post-graphene technology.

  7. Highly Enhanced Electromechanical Stability of Large-Area Graphene with Increased Interfacial Adhesion Energy by Electrothermal-Direct Transfer for Transparent Electrodes.

    PubMed

    Kim, Jangheon; Kim, Gi Gyu; Kim, Soohyun; Jung, Wonsuk

    2016-09-07

    Graphene, a two-dimensional sheet of carbon atoms in a hexagonal lattice structure, has been extensively investigated for research and industrial applications as a promising material with outstanding electrical, mechanical, and chemical properties. To fabricate graphene-based devices, graphene transfer to the target substrate with a clean and minimally defective surface is the first step. However, graphene transfer technologies require improvement in terms of uniform transfer with a clean, nonfolded and nontorn area, amount of defects, and electromechanical reliability of the transferred graphene. More specifically, uniform transfer of a large area is a key challenge when graphene is repetitively transferred onto pretransferred layers because the adhesion energy between graphene layers is too low to ensure uniform transfer, although uniform multilayers of graphene have exhibited enhanced electrical and optical properties. In this work, we developed a newly suggested electrothermal-direct (ETD) transfer method for large-area high quality monolayer graphene with less defects and an absence of folding or tearing of the area at the surface. This method delivers uniform multilayer transfer of graphene by repetitive monolayer transfer steps based on high adhesion energy between graphene layers and the target substrate. To investigate the highly enhanced electromechanical stability, we conducted mechanical elastic bending experiments and reliability tests in a highly humid environment. This ETD-transferred graphene is expected to replace commercial transparent electrodes with ETD graphene-based transparent electrodes and devices such as a touch panels with outstanding electromechanical stability.

  8. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, ćaǧlar Ö.; Zettl, A.

    2007-11-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  9. Soldering to a single atomic layer

    NASA Astrophysics Data System (ADS)

    Girit, Caglar; Zettl, Alex

    2008-03-01

    The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

  10. Triangular lattice atomic layer of Sn(1 × 1) at graphene/SiC(0001) interface

    NASA Astrophysics Data System (ADS)

    Hayashi, Shingo; Visikovskiy, Anton; Kajiwara, Takashi; Iimori, Takushi; Shirasawa, Tetsuroh; Nakastuji, Kan; Miyamachi, Toshio; Nakashima, Shuhei; Yaji, Koichiro; Mase, Kazuhiko; Komori, Fumio; Tanaka, Satoru

    2018-01-01

    Sn atomic layers attract considerable interest owing to their spin-related physical properties caused by their strong spin-orbit interactions. We performed Sn intercalation into the graphene/SiC(0001) interface and found a new type of Sn atomic layer. Sn atoms occupy on-top sites of Si-terminated SiC(0001) with in-plane Sn-Sn bondings, resulting in a triangular lattice. Angle-resolved photoemission spectroscopy revealed characteristic dispersions at \\bar{\\text{K}} and \\bar{\\text{M}} points, which agreed well with density functional theory calculations. The Sn triangular lattice atomic layer at the interface showed no oxidation upon exposure to air, which is useful for characterization and device fabrication ex situ.

  11. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    PubMed

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  12. Nanostructure templating using low temperature atomic layer deposition

    DOEpatents

    Grubbs, Robert K [Albuquerque, NM; Bogart, Gregory R [Corrales, NM; Rogers, John A [Champaign, IL

    2011-12-20

    Methods are described for making nanostructures that are mechanically, chemically and thermally stable at desired elevated temperatures, from nanostructure templates having a stability temperature that is less than the desired elevated temperature. The methods comprise depositing by atomic layer deposition (ALD) structural layers that are stable at the desired elevated temperatures, onto a template employing a graded temperature deposition scheme. At least one structural layer is deposited at an initial temperature that is less than or equal to the stability temperature of the template, and subsequent depositions made at incrementally increased deposition temperatures until the desired elevated temperature stability is achieved. Nanostructure templates include three dimensional (3D) polymeric templates having features on the order of 100 nm fabricated by proximity field nanopatterning (PnP) methods.

  13. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-03-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O2 at 300 °C exhibit a low leakage current of 2.5 × 10-13A, I on/ I off ratio of 1.4 × 107, subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  14. Layer-controllable graphene by plasma thinning and post-annealing

    NASA Astrophysics Data System (ADS)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.

  15. Making Record-efficiency SnS Solar Cells by Thermal Evaporation and Atomic Layer Deposition

    PubMed Central

    Jaramillo, Rafael; Steinmann, Vera; Yang, Chuanxi; Hartman, Katy; Chakraborty, Rupak; Poindexter, Jeremy R.; Castillo, Mariela Lizet; Gordon, Roy; Buonassisi, Tonio

    2015-01-01

    Tin sulfide (SnS) is a candidate absorber material for Earth-abundant, non-toxic solar cells. SnS offers easy phase control and rapid growth by congruent thermal evaporation, and it absorbs visible light strongly. However, for a long time the record power conversion efficiency of SnS solar cells remained below 2%. Recently we demonstrated new certified record efficiencies of 4.36% using SnS deposited by atomic layer deposition, and 3.88% using thermal evaporation. Here the fabrication procedure for these record solar cells is described, and the statistical distribution of the fabrication process is reported. The standard deviation of efficiency measured on a single substrate is typically over 0.5%. All steps including substrate selection and cleaning, Mo sputtering for the rear contact (cathode), SnS deposition, annealing, surface passivation, Zn(O,S) buffer layer selection and deposition, transparent conductor (anode) deposition, and metallization are described. On each substrate we fabricate 11 individual devices, each with active area 0.25 cm2. Further, a system for high throughput measurements of current-voltage curves under simulated solar light, and external quantum efficiency measurement with variable light bias is described. With this system we are able to measure full data sets on all 11 devices in an automated manner and in minimal time. These results illustrate the value of studying large sample sets, rather than focusing narrowly on the highest performing devices. Large data sets help us to distinguish and remedy individual loss mechanisms affecting our devices. PMID:26067454

  16. Atomically thick bismuth selenide freestanding single layers achieving enhanced thermoelectric energy harvesting.

    PubMed

    Sun, Yongfu; Cheng, Hao; Gao, Shan; Liu, Qinghua; Sun, Zhihu; Xiao, Chong; Wu, Changzheng; Wei, Shiqiang; Xie, Yi

    2012-12-19

    Thermoelectric materials can realize significant energy savings by generating electricity from untapped waste heat. However, the coupling of the thermoelectric parameters unfortunately limits their efficiency and practical applications. Here, a single-layer-based (SLB) composite fabricated from atomically thick single layers was proposed to optimize the thermoelectric parameters fully. Freestanding five-atom-thick Bi(2)Se(3) single layers were first synthesized via a scalable interaction/exfoliation strategy. As revealed by X-ray absorption fine structure spectroscopy and first-principles calculations, surface distortion gives them excellent structural stability and a much increased density of states, resulting in a 2-fold higher electrical conductivity relative to the bulk material. Also, the surface disorder and numerous interfaces in the Bi(2)Se(3) SLB composite allow for effective phonon scattering and decreased thermal conductivity, while the 2D electron gas and energy filtering effect increase the Seebeck coefficient, resulting in an 8-fold higher figure of merit (ZT) relative to the bulk material. This work develops a facile strategy for synthesizing atomically thick single layers and demonstrates their superior ability to optimize the thermoelectric energy harvesting.

  17. Atomic Layer Deposition for Coating of High Aspect Ratio TiO2 Nanotube Layers

    PubMed Central

    2016-01-01

    We present an optimized approach for the deposition of Al2O3 (as a model secondary material) coating into high aspect ratio (≈180) anodic TiO2 nanotube layers using the atomic layer deposition (ALD) process. In order to study the influence of the diffusion of the Al2O3 precursors on the resulting coating thickness, ALD processes with different exposure times (i.e., 0.5, 2, 5, and 10 s) of the trimethylaluminum (TMA) precursor were performed. Uniform coating of the nanotube interiors was achieved with longer exposure times (5 and 10 s), as verified by detailed scanning electron microscopy analysis. Quartz crystal microbalance measurements were used to monitor the deposition process and its particular features due to the tube diameter gradient. Finally, theoretical calculations were performed to calculate the minimum precursor exposure time to attain uniform coating. Theoretical values on the diffusion regime matched with the experimental results and helped to obtain valuable information for further optimization of ALD coating processes. The presented approach provides a straightforward solution toward the development of many novel devices, based on a high surface area interface between TiO2 nanotubes and a secondary material (such as Al2O3). PMID:27643411

  18. Atomic layer deposition-based functionalization of materials for medical and environmental health applications

    PubMed Central

    Narayan, Roger J.; Adiga, Shashishekar P.; Pellin, Michael J.; Curtiss, Larry A.; Hryn, Alexander J.; Stafslien, Shane; Chisholm, Bret; Shih, Chun-Che; Shih, Chun-Ming; Lin, Shing-Jong; Su, Yea-Yang; Jin, Chunming; Zhang, Junping; Monteiro-Riviere, Nancy A.; Elam, Jeffrey W.

    2010-01-01

    Nanoporous alumina membranes exhibit high pore densities, well-controlled and uniform pore sizes, as well as straight pores. Owing to these unusual properties, nanoporous alumina membranes are currently being considered for use in implantable sensor membranes and water purification membranes. Atomic layer deposition is a thin-film growth process that may be used to modify the pore size in a nanoporous alumina membrane while retaining a narrow pore distribution. In addition, films deposited by means of atomic layer deposition may impart improved biological functionality to nanoporous alumina membranes. In this study, zinc oxide coatings and platinum coatings were deposited on nanoporous alumina membranes by means of atomic layer deposition. PEGylated nanoporous alumina membranes were prepared by self-assembly of 1-mercaptoundec-11-yl hexa(ethylene glycol) on platinum-coated nanoporous alumina membranes. The pores of the PEGylated nanoporous alumina membranes remained free of fouling after exposure to human platelet-rich plasma; protein adsorption, fibrin networks and platelet aggregation were not observed on the coated membrane surface. Zinc oxide-coated nanoporous alumina membranes demonstrated activity against two waterborne pathogens, Escherichia coli and Staphylococcus aureus. The results of this work indicate that nanoporous alumina membranes may be modified using atomic layer deposition for use in a variety of medical and environmental health applications. PMID:20308114

  19. Fullerene/layered antiferromagnetic reconstructed spinterface: Subsurface layer dominates molecular orbitals' spin-split and large induced magnetic moment

    NASA Astrophysics Data System (ADS)

    Shao, Yangfan; Pang, Rui; Pan, Hui; Shi, Xingqiang

    2018-03-01

    The interfaces between organic molecules and magnetic metals have gained increasing interest for both fundamental reasons and applications. Among them, the C60/layered antiferromagnetic (AFM) interfaces have been studied only for C60 bonded to the outermost ferromagnetic layer [S. L. Kawahara et al., Nano Lett. 12, 4558 (2012) and D. Li et al., Phys. Rev. B 93, 085425 (2016)]. Here, via density functional theory calculations combined with evidence from the literature, we demonstrate that C60 adsorption can reconstruct the layered-AFM Cr(001) surface at elevated annealing temperatures so that C60 bonds to both the outermost and the subsurface Cr layers in opposite spin directions. Surface reconstruction drastically changes the adsorbed molecule spintronic properties: (1) the spin-split p-d hybridization involves multi-orbitals of C60 and top two layers of Cr with opposite spin-polarization, (2) the subsurface Cr atom dominates the C60 electronic properties, and (3) the reconstruction induces a large magnetic moment of 0.58 μB in C60 as a synergistic effect of the top two Cr layers. The induced magnetic moment in C60 can be explained by the magnetic direct-exchange mechanism, which can be generalized to other C60/magnetic metal systems. Understanding these complex hybridization behaviors is a crucial step for molecular spintronic applications.

  20. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique.

    PubMed

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-12-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O 2 at 300 °C exhibit a low leakage current of 2.5 × 10 -13 A, I on /I off ratio of 1.4 × 10 7 , subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  1. Growth process optimization of ZnO thin film using atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Weng, Binbin; Wang, Jingyu; Larson, Preston; Liu, Yingtao

    2016-12-01

    The work reports experimental studies of ZnO thin films grown on Si(100) wafers using a customized thermal atomic layer deposition. The impact of growth parameters including H2O/DiethylZinc (DEZn) dose ratio, background pressure, and temperature are investigated. The imaging results of scanning electron microscopy and atomic force microscopy reveal that the dose ratio is critical to the surface morphology. To achieve high uniformity, the H2O dose amount needs to be at least twice that of DEZn per each cycle. If the background pressure drops below 400 mTorr, a large amount of nanoflower-like ZnO grains would emerge and increase surface roughness significantly. In addition, the growth temperature range between 200 °C and 250 °C is found to be the optimal growth window. And the crystal structures and orientations are also strongly correlated to the temperature as proved by electron back-scattering diffraction and x-ray diffraction results.

  2. Area 4 has layer IV in adult primates

    PubMed Central

    García-Cabezas, Miguel Ángel; Barbas, Helen

    2014-01-01

    There are opposing views about the status of layer IV in primary motor cortex (area 4). Cajal described a layer IV in area 4 of adult humans. In contrast, Brodmann found layer IV in development but not in adult primates and called area 4 ‘agranular’. We addressed this issue in rhesus monkeys using the neural marker SMI-32, which labels neurons in lower layer III and upper V, but not in layer IV. SMI-32 delineated a central unlabeled cortical stripe in area 4 that corresponds to layer IV, which was populated with small interneurons also found in layer IV in ‘granular’ areas (such as area 46). We distinguished layer IV interneurons from projection neurons in the layers above and below using cellular criteria. The commonly used term ‘agranular’ for area 4 is also used for the phylogenetically ancient limbic cortices, confusing areas that differ markedly in laminar structure. This issue pertains to the systematic variation in the architecture across cortices, traced from limbic cortices through areas with increasingly more elaborate laminar structure. The principle of systematic variation can be used to predict laminar patterns of connections across cortical systems. This principle places area 4 and agranular anterior cingulate cortices at opposite poles of the graded laminar differentiation of motor cortices. The status of layer IV in area 4 thus pertains to core organizational features of the cortex, its connections and evolution. PMID:24735460

  3. Large-scale quantum transport calculations for electronic devices with over ten thousand atoms

    NASA Astrophysics Data System (ADS)

    Lu, Wenchang; Lu, Yan; Xiao, Zhongcan; Hodak, Miro; Briggs, Emil; Bernholc, Jerry

    The non-equilibrium Green's function method (NEGF) has been implemented in our massively parallel DFT software, the real space multigrid (RMG) code suite. Our implementation employs multi-level parallelization strategies and fully utilizes both multi-core CPUs and GPU accelerators. Since the cost of the calculations increases dramatically with the number of orbitals, an optimal basis set is crucial for including a large number of atoms in the ``active device'' part of the simulations. In our implementation, the localized orbitals are separately optimized for each principal layer of the device region, in order to obtain an accurate and optimal basis set. As a large example, we calculated the transmission characteristics of a Si nanowire p-n junction. The nanowire is along (110) direction in order to minimize the number dangling bonds that are saturated by H atoms. Its diameter is 3 nm. The length of 24 nm is necessary because of the long-range screening length in Si. Our calculations clearly show the I-V characteristics of a diode, i.e., the current increases exponentially with forward bias and is near zero with backward bias. Other examples will also be presented, including three-terminal transistors and large sensor structures.

  4. Extracting elastic properties of an atomically thin interfacial layer by time-domain analysis of femtosecond acoustics

    NASA Astrophysics Data System (ADS)

    Chen, H.-Y.; Huang, Y.-R.; Shih, H.-Y.; Chen, M.-J.; Sheu, J.-K.; Sun, C.-K.

    2017-11-01

    Modern devices adopting denser designs and complex 3D structures have created much more interfaces than before, where atomically thin interfacial layers could form. However, fundamental information such as the elastic property of the interfacial layers is hard to measure. The elastic property of the interfacial layer is of great importance in both thermal management and nano-engineering of modern devices. Appropriate techniques to probe the elastic properties of interfacial layers as thin as only several atoms are thus critically needed. In this work, we demonstrated the feasibility of utilizing the time-resolved femtosecond acoustics technique to extract the elastic properties and mass density of a 1.85-nm-thick interfacial layer, with the aid of transmission electron microscopy. We believe that this femtosecond acoustics approach will provide a strategy to measure the absolute elastic properties of atomically thin interfacial layers.

  5. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures

    NASA Astrophysics Data System (ADS)

    Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A.; Park, Jiwoong

    2017-10-01

    High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides--which represent one- and three-atom-thick two-dimensional building blocks, respectively--have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.

  6. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures.

    PubMed

    Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A; Park, Jiwoong

    2017-10-12

    High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides-which represent one- and three-atom-thick two-dimensional building blocks, respectively-have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.

  7. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    PubMed

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  8. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    NASA Astrophysics Data System (ADS)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  9. Properties of Surface-Modification Layer Generated by Atomic Hydrogen Annealing on Poly(ethylene naphthalate) Substrate

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Matsuo, Naoto

    2008-01-01

    The surface of a poly(ethylene naphthalate) (PEN) substrate was modified by atomic hydrogen annealing (AHA). In this method, a PEN substrate was exposed to atomic hydrogen generated by cracking hydrogen molecules on heated tungsten wire. The properties of the surface-modification layer by AHA were evaluated by spectroscopic ellipsometry. It is found that the thickness of the modified layer was 5 nm and that the modification layer has a low refractive index compared with the PEN substrate. The modification layer relates to the reduction reaction of the PEN substrate by AHA.

  10. Low-Temperature Preparation of Tungsten Oxide Anode Buffer Layer via Ultrasonic Spray Pyrolysis Method for Large-Area Organic Solar Cells.

    PubMed

    Ji, Ran; Zheng, Ding; Zhou, Chang; Cheng, Jiang; Yu, Junsheng; Li, Lu

    2017-07-18

    Tungsten oxide (WO₃) is prepared by a low-temperature ultrasonic spray pyrolysis method in air atmosphere, and it is used as an anode buffer layer (ABL) for organic solar cells (OSCs). The properties of the WO₃ transition metal oxide material as well as the mechanism of ultrasonic spray pyrolysis processes are investigated. The results show that the ultrasonic spray pyrolysized WO₃ ABL exhibits low roughness, matched energy level, and high conductivity, which results in high charge transport efficiency and suppressive recombination in OSCs. As a result, compared to the OSCs based on vacuum thermal evaporated WO₃, a higher power conversion efficiency of 3.63% is reached with low-temperature ultrasonic spray pyrolysized WO₃ ABL. Furthermore, the mostly spray-coated OSCs with large area was fabricated, which has a power conversion efficiency of ~1%. This work significantly enhances our understanding of the preparation and application of low temperature-processed WO₃, and highlights the potential of large area, all spray coated OSCs for sustainable commercial fabrication.

  11. A large high-efficiency multi-layered Micromegas thermal neutron detector

    NASA Astrophysics Data System (ADS)

    Tsiledakis, G.; Delbart, A.; Desforge, D.; Giomataris, I.; Menelle, A.; Papaevangelou, T.

    2017-09-01

    Due to the so-called 3He shortage crisis, many detection techniques used nowadays for thermal neutrons are based on alternative converters. Thin films of 10B or 10B4C are used to convert neutrons into ionizing particles which are subsequently detected in gas proportional counters, but only for small or medium sensitive areas so far. The micro-pattern gaseous detector Micromegas has been developed for several years in Saclay and is used in a wide variety of neutron experiments combining high accuracy, high rate capability, excellent timing properties and robustness. We propose here a large high-efficiency Micromegas-based neutron detector with several 10B4C thin layers mounted inside the gas volume for thermal neutron detection. The principle and the fabrication of a single detector unit prototype with overall dimension of ~ 15 × 15 cm2 and a flexibility of modifying the number of layers of 10B4C neutron converters are described and simulated results are reported, demonstrating that typically five 10B4C layers of 1-2 μm thickness can lead to a detection efficiency of 20-40% for thermal neutrons and a spatial resolution of sub-mm. The design is well adapted to large sizes making possible the construction of a mosaic of several such detector units with a large area coverage and a high detection efficiency, showing the good potential of this novel technique.

  12. Increased tornado hazard in large metropolitan areas

    NASA Astrophysics Data System (ADS)

    Cusack, Stephen

    2014-11-01

    The tornado climate was compared between large metropolitan areas and neighbouring non-metro cities using modern tornado reports in the Storm Prediction Center (SPC) archives. Twenty large metro areas in the higher-risk region of the U.S. were used to boost sample sizes hence robustness of results. Observational biases were minimised by using the most densely populated zips. The analysis found 50% greater tornado frequency and a thicker-tailed severity distribution in metro areas compared to the non-metro cities. These differences are significant at the 1% level. Regarding tornado frequency, the primary question is whether the raised occurrence rates in metro areas are due to observation biases or real differences in tornado climate. Past studies found no relative biases at the population densities used here, whereas there are two potential urban drivers of tornadogenesis. First, the urban heat island raises the storm severity above and downwind of main urban areas, as recorded in precipitation and lightning datasets. Second, the increased surface roughness over metro areas raises low-level shear which in turn has been found to be favourable for tornadogenesis. Modification of convective storms over large metro areas is the more plausible explanation of raised tornado frequency. The drivers of a thicker-tailed tornado severity distribution in metro areas are less certain. Potential causes include: increased debris-loading in metro tornadoes; modification of storms' lower boundary layer by increased surface roughness in metro areas; the reduced density of damage indicators in non-metro cities.

  13. Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Wonjong; Cho, Gu Young; Noh, Seungtak

    2015-01-15

    An ultrathin yttria-stabilized zirconia (YSZ) blocking layer deposited by atomic layer deposition (ALD) was utilized for improving the performance and reliability of low-temperature solid oxide fuel cells (SOFCs) supported by an anodic aluminum oxide substrate. Physical vapor-deposited YSZ and gadolinia-doped ceria (GDC) electrolyte layers were deposited by a sputtering method. The ultrathin ALD YSZ blocking layer was inserted between the YSZ and GDC sputtered layers. To investigate the effects of an inserted ultrathin ALD blocking layer, SOFCs with and without an ultrathin ALD blocking layer were electrochemically characterized. The open circuit voltage (1.14 V) of the ALD blocking-layered SOFC was visiblymore » higher than that (1.05 V) of the other cell. Furthermore, the ALD blocking layer augmented the power density and improved the reproducibility.« less

  14. Atomic Layer Deposition of L-Alanine Polypeptide

    DOE PAGES

    Fu, Yaqin; Li, Binsong; Jiang, Ying-Bing; ...

    2014-10-30

    L-Alanine polypeptide thin films were synthesized via atomic layer deposition (ALD). Rather, instead of using an amino acid monomer as the precursor, an L-alanine amino acid derivatized with a protecting group was used to prevent self-polymerization, increase the vapor pressure, and allow linear cycle-by-cycle growth emblematic of ALD. Moreover, the successful deposition of a conformal polypeptide film has been confirmed by FTIR, TEM, and Mass Spectrometry, and the ALD process has been extended to polyvaline.

  15. Method and system for continuous atomic layer deposition

    DOEpatents

    Elam, Jeffrey W.; Yanguas-Gil, Angel; Libera, Joseph A.

    2017-03-21

    A system and method for continuous atomic layer deposition. The system and method includes a housing, a moving bed which passes through the housing, a plurality of precursor gases and associated input ports and the amount of precursor gases, position of the input ports, and relative velocity of the moving bed and carrier gases enabling exhaustion of the precursor gases at available reaction sites.

  16. Fermi level de-pinning of aluminium contacts to n-type germanium using thin atomic layer deposited layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gajula, D. R., E-mail: dgajula01@qub.ac.uk; Baine, P.; Armstrong, B. M.

    Fermi-level pinning of aluminium on n-type germanium (n-Ge) was reduced by insertion of a thin interfacial dielectric by atomic layer deposition. The barrier height for aluminium contacts on n-Ge was reduced from 0.7 eV to a value of 0.28 eV for a thin Al{sub 2}O{sub 3} interfacial layer (∼2.8 nm). For diodes with an Al{sub 2}O{sub 3} interfacial layer, the contact resistance started to increase for layer thicknesses above 2.8 nm. For diodes with a HfO{sub 2} interfacial layer, the barrier height was also reduced but the contact resistance increased dramatically for layer thicknesses above 1.5 nm.

  17. Fabrication of low-temperature solid oxide fuel cells with a nanothin protective layer by atomic layer deposition

    PubMed Central

    2013-01-01

    Anode aluminum oxide-supported thin-film fuel cells having a sub-500-nm-thick bilayered electrolyte comprising a gadolinium-doped ceria (GDC) layer and an yttria-stabilized zirconia (YSZ) layer were fabricated and electrochemically characterized in order to investigate the effect of the YSZ protective layer. The highly dense and thin YSZ layer acted as a blockage against electron and oxygen permeation between the anode and GDC electrolyte. Dense GDC and YSZ thin films were fabricated using radio frequency sputtering and atomic layer deposition techniques, respectively. The resulting bilayered thin-film fuel cell generated a significantly higher open circuit voltage of approximately 1.07 V compared with a thin-film fuel cell with a single-layered GDC electrolyte (approximately 0.3 V). PMID:23342963

  18. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  19. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  20. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  1. Large area x-ray detectors for cargo radiography

    NASA Astrophysics Data System (ADS)

    Bueno, C.; Albagli, D.; Bendahan, J.; Castleberry, D.; Gordon, C.; Hopkins, F.; Ross, W.

    2007-04-01

    Large area x-ray detectors based on phosphors coupled to flat panel amorphous silicon diode technology offer significant advances for cargo radiologic imaging. Flat panel area detectors provide large object coverage offering high throughput inspections to meet the high flow rate of container commerce. These detectors provide excellent spatial resolution when needed, and enhanced SNR through low noise electronics. If the resolution is reduced through pixel binning, further advances in SNR are achievable. Extended exposure imaging and frame averaging enables improved x-ray penetration of ultra-thick objects, or "select-your-own" contrast sensitivity at a rate many times faster than LDAs. The areal coverage of flat panel technology provides inherent volumetric imaging with the appropriate scanning methods. Flat panel area detectors have flexible designs in terms of electronic control, scintillator selection, pixel pitch, and frame rates. Their cost is becoming more competitive as production ramps up for the healthcare, nondestructive testing (NDT), and homeland protection industries. Typically used medical and industrial polycrystalline phosphor materials such as Gd2O2S:Tb (GOS) can be applied to megavolt applications if the phosphor layer is sufficiently thick to enhance x-ray absorption, and if a metal radiator is used to augment the quantum detection efficiency and reduce x-ray scatter. Phosphor layers ranging from 0.2-mm to 1-mm can be "sandwiched" between amorphous silicon flat panel diode arrays and metal radiators. Metal plates consisting of W, Pb or Cu, with thicknesses ranging from 0.25-mm to well over 1-mm can be used by covering the entire area of the phosphor plate. In some combinations of high density metal and phosphor layers, the metal plate provides an intensification of 25% in signal due to electron emission from the plate and subsequent excitation within the phosphor material. This further improves the SNR of the system.

  2. Improved electrical properties of atomic layer deposited tin disulfide at low temperatures using ZrO2 layer

    NASA Astrophysics Data System (ADS)

    Lee, Juhyun; Lee, Jeongsu; Ham, Giyul; Shin, Seokyoon; Park, Joohyun; Choi, Hyeongsu; Lee, Seungjin; Kim, Juyoung; Sul, Onejae; Lee, Seungbeck; Jeon, Hyeongtag

    2017-02-01

    We report the effect of zirconium oxide (ZrO2) layers on the electrical characteristics of multilayered tin disulfide (SnS2) formed by atomic layer deposition (ALD) at low temperatures. SnS2 is a two-dimensional (2D) layered material which exhibits a promising electrical characteristics as a channel material for field-effect transistors (FETs) because of its high mobility, good on/off ratio and low temperature processability. In order to apply these 2D materials to large-scale and flexible electronics, it is essential to develop processes that are compatible with current electronic device manufacturing technology which should be conducted at low temperatures. Here, we deposited a crystalline SnS2 at 150 °C using ALD, and we then annealed at 300 °C. X-ray diffraction (XRD) and Raman spectroscopy measurements before and after the annealing showed that SnS2 had a hexagonal (001) peak at 14.9° and A1g mode at 313 cm-1. The annealed SnS2 exhibited clearly a layered structure confirmed by the high resolution transmission electron microscope (HRTEM) images. Back-gate FETs with SnS2 channel sandwiched by top and bottom ZrO2 on p++Si/SiO2 substrate were suggested to improve electrical characteristics. We used a bottom ZrO2 layer to increase adhesion between the channel and the substrate and a top ZrO2 layer to improve contact property, passivate surface, and protect from process-induced damages to the channel. ZTZ (ZrO2/SnS2/ZrO2) FETs showed improved electrical characteristics with an on/off ratio of from 0.39×103 to 6.39×103 and a mobility of from 0.0076 cm2/Vs to 0.06 cm2/Vs.

  3. Reliably counting atomic planes of few-layer graphene (n > 4).

    PubMed

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  4. Atomic Layer-by-Layer Deposition of Pt on Pd Nanocubes for Catalysts with Enhanced Activity and Durability toward Oxygen Reduction

    DOE PAGES

    Xie, Shuifen; Choi, Sang -Il; Lu, Ning; ...

    2014-05-05

    Here, an effective strategy for reducing the Pt content while retaining the activity of a Pt-based catalyst is to deposit the Pt atoms as ultrathin skins of only a few atomic layers thick on nanoscale substrates made of another metal. During deposition, however, the Pt atoms often take an island growth mode because of a strong bonding between Pt atoms. Here we report a versatile route to the conformal deposition of Pt as uniform, ultrathin shells on Pd nanocubes in a solution phase. The introduction of the Pt precursor at a relatively slow rate and high temperature allowed the depositedmore » Pt atoms to spread across the entire surface of a Pd nanocube to generate a uniform shell. The thickness of the Pt shell could be controlled from one to six atomic layers by varying the amount of Pt precursor added into the system. Compared to a commercial Pt/C catalyst, the Pd@Pt nL (n = 1–6) core–shell nanocubes showed enhancements in specific activity and durability toward the oxygen reduction reaction (ORR). Density functional theory (DFT) calculations on model (100) surfaces suggest that the enhancement in specific activity can be attributed to the weakening of OH binding through ligand and strain effects, which, in turn, increases the rate of OH hydrogenation. A volcano-type relationship between the ORR specific activity and the number of Pt atomic layers was derived, in good agreement with the experimental results. Both theoretical and experimental studies indicate that the ORR specific activity was maximized for the catalysts based on Pd@Pt 2–3L nanocubes. Because of the reduction in Pt content used and the enhancement in specific activity, the Pd@Pt 1L nanocubes showed a Pt mass activity with almost three-fold enhancement relative to the Pt/C catalyst.« less

  5. Self-limited growth of Si on B atomic-layer formed Ge(1 0 0) by ultraclean low-pressure CVD system

    NASA Astrophysics Data System (ADS)

    Yokogawa, Takashi; Ishibashi, Kiyohisa; Sakuraba, Masao; Murota, Junichi; Inokuchi, Yasuhiro; Kunii, Yasuo; Kurokawa, Harushige

    2008-07-01

    Utilizing BCl 3 reaction on Ge(1 0 0) and subsequent Si epitaxial growth by SiH 4 reaction at 300 °C, B atomic-layer doping in Si/Ge(1 0 0) heterostructure was investigated. Cl atoms on the B atomic-layer formed Ge(1 0 0) scarcely affect upon the SiH 4 reaction. It is also found that Si atom amount deposited by SiH 4 reaction on Ge(1 0 0) is effectively enhanced by the existence of B atomic layer and the deposition rate tends to decrease at around 2-3 atomic layers which is three times larger than that in the case without B. The results of angle-resolved X-ray photoelectron spectroscopy show that most B atoms are incorporated at the heterointerface between the Si and Ge.

  6. Selective Nanoscale Mass Transport across Atomically Thin Single Crystalline Graphene Membranes.

    PubMed

    Kidambi, Piran R; Boutilier, Michael S H; Wang, Luda; Jang, Doojoon; Kim, Jeehwan; Karnik, Rohit

    2017-05-01

    Atomically thin single crystals, without grain boundaries and associated defect clusters, represent ideal systems to study and understand intrinsic defects in materials, but probing them collectively over large area remains nontrivial. In this study, the authors probe nanoscale mass transport across large-area (≈0.2 cm 2 ) single-crystalline graphene membranes. A novel, polymer-free picture frame assisted technique, coupled with a stress-inducing nickel layer is used to transfer single crystalline graphene grown on silicon carbide substrates to flexible polycarbonate track etched supports with well-defined cylindrical ≈200 nm pores. Diffusion-driven flow shows selective transport of ≈0.66 nm hydrated K + and Cl - ions over ≈1 nm sized small molecules, indicating the presence of selective sub-nanometer to nanometer sized defects. This work presents a framework to test the barrier properties and intrinsic quality of atomically thin materials at the sub-nanometer to nanometer scale over technologically relevant large areas, and suggests the potential use of intrinsic defects in atomically thin materials for molecular separations or desalting. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Updateable 3D Display Using Large Area Photorefractive Polymer Devices

    DTIC Science & Technology

    2013-04-01

    across the thin PR layer. The critical parameters for the buffer layer are: transparent over the range 400nm to 800nm, high dielectric strength , ease of...Holographic 3D Display for Telepresence". Holo- pack.Holo- print 2011, November 9th 2011, (Las-Vegas, NV).  J. Thomas et al., "Recent advances in...AFRL-OSR-VA-TR-2013-0199 Updateable 3D Display Using Large Area Photofractive Polymer Devics Pierre Alexandre Blanche TIPD

  8. Low-Temperature Preparation of Tungsten Oxide Anode Buffer Layer via Ultrasonic Spray Pyrolysis Method for Large-Area Organic Solar Cells

    PubMed Central

    Ji, Ran; Zheng, Ding; Zhou, Chang; Cheng, Jiang; Yu, Junsheng; Li, Lu

    2017-01-01

    Tungsten oxide (WO3) is prepared by a low-temperature ultrasonic spray pyrolysis method in air atmosphere, and it is used as an anode buffer layer (ABL) for organic solar cells (OSCs). The properties of the WO3 transition metal oxide material as well as the mechanism of ultrasonic spray pyrolysis processes are investigated. The results show that the ultrasonic spray pyrolysized WO3 ABL exhibits low roughness, matched energy level, and high conductivity, which results in high charge transport efficiency and suppressive recombination in OSCs. As a result, compared to the OSCs based on vacuum thermal evaporated WO3, a higher power conversion efficiency of 3.63% is reached with low-temperature ultrasonic spray pyrolysized WO3 ABL. Furthermore, the mostly spray-coated OSCs with large area was fabricated, which has a power conversion efficiency of ~1%. This work significantly enhances our understanding of the preparation and application of low temperature-processed WO3, and highlights the potential of large area, all spray coated OSCs for sustainable commercial fabrication. PMID:28773177

  9. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition.

    PubMed

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  10. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  11. Cortical layers: Cyto-, myelo-, receptor- and synaptic architecture in human cortical areas.

    PubMed

    Palomero-Gallagher, Nicola; Zilles, Karl

    2017-08-12

    Cortical layers have classically been identified by their distinctive and prevailing cell types and sizes, as well as the packing densities of cell bodies or myelinated fibers. The densities of multiple receptors for classical neurotransmitters also vary across the depth of the cortical ribbon, and thus determine the neurochemical properties of cyto- and myeloarchitectonic layers. However, a systematic comparison of the correlations between these histologically definable layers and the laminar distribution of transmitter receptors is currently lacking. We here analyze the densities of 17 different receptors of various transmitter systems in the layers of eight cytoarchitectonically identified, functionally (motor, sensory, multimodal) and hierarchically (primary and secondary sensory, association) distinct areas of the human cerebral cortex. Maxima of receptor densities are found in different layers when comparing different cortical regions, i.e. laminar receptor densities demonstrate differences in receptorarchitecture between isocortical areas, notably between motor and primary sensory cortices, specifically the primary visual and somatosensory cortices, as well as between allocortical and isocortical areas. Moreover, considerable differences are found between cytoarchitectonical and receptor architectonical laminar patterns. Whereas the borders of cyto- and myeloarchitectonic layers are well comparable, the laminar profiles of receptor densities rarely coincide with the histologically defined borders of layers. Instead, highest densities of most receptors are found where the synaptic density is maximal, i.e. in the supragranular layers, particularly in layers II-III. The entorhinal cortex as an example of the allocortex shows a peculiar laminar organization, which largely deviates from that of all the other cortical areas analyzed here. Copyright © 2017. Published by Elsevier Inc.

  12. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  13. Evolution of crystal structure during the initial stages of ZnO atomic layer deposition

    DOE PAGES

    Boichot, R.; Tian, L.; Richard, M. -I.; ...

    2016-01-05

    In this study, a complementary suite of in situ synchrotron X-ray techniques is used to investigate both structural and chemical evolution during ZnO growth by atomic layer deposition. Focusing on the first 10 cycles of growth, we observe that the structure formed during the coalescence stage largely determines the overall microstructure of the film. Furthermore, by comparing ZnO growth on silicon with a native oxide with that on Al 2O 3(001), we find that even with lattice-mismatched substrates and low deposition temperatures, the crystalline texture of the films depend strongly on the nature of the interfacial bonds.

  14. Disordered Nanohole Patterns in Metal-Insulator Multilayer for Ultra-broadband Light Absorption: Atomic Layer Deposition for Lithography Free Highly repeatable Large Scale Multilayer Growth.

    PubMed

    Ghobadi, Amir; Hajian, Hodjat; Dereshgi, Sina Abedini; Bozok, Berkay; Butun, Bayram; Ozbay, Ekmel

    2017-11-08

    In this paper, we demonstrate a facile, lithography free, and large scale compatible fabrication route to synthesize an ultra-broadband wide angle perfect absorber based on metal-insulator-metal-insulator (MIMI) stack design. We first conduct a simulation and theoretical modeling approach to study the impact of different geometries in overall stack absorption. Then, a Pt-Al 2 O 3 multilayer is fabricated using a single atomic layer deposition (ALD) step that offers high repeatability and simplicity in the fabrication step. In the best case, we get an absorption bandwidth (BW) of 600 nm covering a range of 400 nm-1000 nm. A substantial improvement in the absorption BW is attained by incorporating a plasmonic design into the middle Pt layer. Our characterization results demonstrate that the best configuration can have absorption over 0.9 covering a wavelength span of 400 nm-1490 nm with a BW that is 1.8 times broader compared to that of planar design. On the other side, the proposed structure retains its absorption high at angles as wide as 70°. The results presented here can serve as a beacon for future performance enhanced multilayer designs where a simple fabrication step can boost the overall device response without changing its overall thickness and fabrication simplicity.

  15. Atomic-scale epitaxial aluminum film on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Fan, Yen-Ting; Lo, Ming-Cheng; Wu, Chu-Chun; Chen, Peng-Yu; Wu, Jenq-Shinn; Liang, Chi-Te; Lin, Sheng-Di

    2017-07-01

    Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  16. Large-area growth of multi-layer hexagonal boron nitride on polished cobalt foils by plasma-assisted molecular beam epitaxy

    PubMed Central

    Xu, Zhongguang; Tian, Hao; Khanaki, Alireza; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin

    2017-01-01

    Two-dimensional (2D) hexagonal boron nitride (h-BN), which has a similar honeycomb lattice structure to graphene, is promising as a dielectric material for a wide variety of potential applications based on 2D materials. Synthesis of high-quality, large-size and single-crystalline h-BN domains is of vital importance for fundamental research as well as practical applications. In this work, we report the growth of h-BN films on mechanically polished cobalt (Co) foils using plasma-assisted molecular beam epitaxy. Under appropriate growth conditions, the coverage of h-BN layers can be readily controlled by growth time. A large-area, multi-layer h-BN film with a thickness of 5~6 nm is confirmed by Raman spectroscopy, scanning electron microscopy, X-ray photoelectron spectroscopy and transmission electron microscopy. In addition, the size of h-BN single domains is 20~100 μm. Dielectric property of as-grown h-BN film is evaluated by characterization of Co(foil)/h-BN/Co(contact) capacitor devices. Breakdown electric field is in the range of 3.0~3.3 MV/cm, which indicates that the epitaxial h-BN film has good insulating characteristics. In addition, the effect of substrate morphology on h-BN growth is discussed regarding different domain density, lateral size, and thickness of the h-BN films grown on unpolished and polished Co foils. PMID:28230178

  17. Insertion of Ag atoms into layered MoO{sub 3} via a template route

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Ke, E-mail: shaoke@szu.edu.cn; Wang, Hao

    2012-11-15

    Graphical abstract: PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} nanohybrid. By this method we have successfully inserted Ag atoms into the semiconductor MoO{sub 3} lattice. Display Omitted Highlights: ► We fabricated a PVP–Ag/polyoxomolybdate layered hybrid via in situ self-assembly. ► The PVP–Ag complex has been inserted between the molybdenum oxide layers. ► This layered hybrid transformed into Ag/MoO{sub 3} nanocomposite after calcinations. ►more » HR-TEM images show that Ag atoms of about 1 nm have been inserted in the MoO{sub 3} layers. -- Abstract: We report insertion of Ag atoms into layered MoO{sub 3} via an in situ template route. PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} hybrid, in which Ag nanoparticles of about 1 nm have been inserted between the MoO{sub 3} layers. By this method pillared MoO{sub 3} has been obtained very easily. We believe that this research opens new routes to fabricate novel intercalation compounds and metal/semiconductor nanohybrids via an efficient and green route.« less

  18. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov Websites

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  19. Large momentum transfer atomic interferometric gyroscope

    NASA Astrophysics Data System (ADS)

    Compton, Robert; Dorr, Joshua; Nelson, Karl; Parker, Richard; Estey, Brian; Müller, Holger

    2017-04-01

    Atom interferometry holds out significant promise as the basis for compact, low cost, high performance inertial sensing. Some light pulse atom interferometers are based on an atomic beam-splitter in which the interferometer paths separate at the velocity imparted by a two-photon (Raman) recoil event, resulting in narrow path separation and a corresponding high aspect ratio between the length and width of the interferometer. In contrast, proposals for large momentum transfer (LMT) offer paths to larger separation between interferometer arms, and aspect ratios approaching 1. Here, we demonstrate an LMT gyroscope based on a combination of Bragg and Bloch atomic transitions adding up to a total of 8 photons of momentum transfer. We discuss prospects for scalability to larger photon numbers where angular random walk (ARW) can be better than navigation-grade. This research was developed with funding from DARPA. The views, opinions, and/or findings contained herein are those of the presenters and should not be interpreted as representing the official views or policies of the DoD or the US Government.

  20. Compositional modulated atomic layer stacking and uniaxial magnetocrystalline anisotropy of CoPt alloy sputtered films with close-packed plane orientation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saito, Shin, E-mail: ssaito@ecei.tohoku.ac.jp; Nozawa, Naoki; Hinata, Shintaro

    An atomic layer stacking structure in hexagonal close packed (hcp) Co{sub 100−x}Pt{sub x} alloy films with c-plane sheet texture was directly observed by a high-angle annular dark-field imaging scanning transmission electron microscopy. The analysis of sequential and/or compositional atomic layer stacking structure and uniaxial magnetocrystalline anisotropy (K{sub u} = K{sub u1} + K{sub u2}) revealed that (1) integrated intensity of the superlattice diffraction takes the maximum at x = 20 at. % and shows broadening feature against x for the film fabricated under the substrate temperature (T{sub sub}) of 400 °C. (2) Compositional separation structure in atomic layers is formed for the films fabricated under T{sub sub} = 400 °C.more » A sequential alternative stacking of atomic layers with different compositions is hardly formed in the film with x = 50 at. %, whereas easily formed in the film with x = 20 at. %. This peculiar atomic layer stacking structure consists of in-plane-disordered Pt-rich and Pt-poor layers, which is completely different from the so-called atomic site ordered structure. (3) A face centered cubic atomic layer stacking as faults appeared in the host hcp atomic layer stacking exists in accompanies with irregularities for the periodicity of the compositional modulation atomic layers. (4) K{sub u1} takes the maximum of 1.4 × 10{sup 7 }erg/cm{sup 3} at around x = 20 at. %, whereas K{sub u2} takes the maximum of 0.7 × 10{sup 7 }erg/cm{sup 3} at around x = 40 at. %, which results in the maximum of 1.8 × 10{sup 7 }erg/cm{sup 3} of K{sub u} at x = 30 at. % and a shoulder in compositional dependence of K{sub u} in the range of x = 30–60 at. %. Not only compositional separation of atomic layers but also sequential alternative stacking of different compositional layers is quite important to improve essential uniaxial magnetocrystalline anisotropy.« less

  1. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    PubMed

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  2. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    NASA Astrophysics Data System (ADS)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  3. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    PubMed

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  4. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    PubMed Central

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  5. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide

    NASA Astrophysics Data System (ADS)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-08-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  6. Large-angle illumination STEM: Toward three-dimensional atom-by-atom imaging

    DOE PAGES

    Ishikawa, Ryo; Lupini, Andrew R.; Hinuma, Yoyo; ...

    2014-11-26

    To completely understand and control materials and their properties, it is of critical importance to determine their atomic structures in all three dimensions. Recent revolutionary advances in electron optics – the inventions of geometric and chromatic aberration correctors as well as electron source monochromators – have provided fertile ground for performing optical depth sectioning at atomic-scale dimensions. In this study we theoretically demonstrate the imaging of top/sub-surface atomic structures and identify the depth of single dopants, single vacancies and the other point defects within materials by large-angle illumination scanning transmission electron microscopy (LAI-STEM). The proposed method also allows us tomore » measure specimen properties such as thickness or three-dimensional surface morphology using observations from a single crystallographic orientation.« less

  7. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    PubMed

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  8. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel.

    PubMed

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-07

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially 'clean' strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2(•-) radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO(-)) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  9. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  10. Large-area synthesis of high-quality and uniform monolayer WS2 on reusable Au foils

    PubMed Central

    Gao, Yang; Liu, Zhibo; Sun, Dong-Ming; Huang, Le; Ma, Lai-Peng; Yin, Li-Chang; Ma, Teng; Zhang, Zhiyong; Ma, Xiu-Liang; Peng, Lian-Mao; Cheng, Hui-Ming; Ren, Wencai

    2015-01-01

    Large-area monolayer WS2 is a desirable material for applications in next-generation electronics and optoelectronics. However, the chemical vapour deposition (CVD) with rigid and inert substrates for large-area sample growth suffers from a non-uniform number of layers, small domain size and many defects, and is not compatible with the fabrication process of flexible devices. Here we report the self-limited catalytic surface growth of uniform monolayer WS2 single crystals of millimetre size and large-area films by ambient-pressure CVD on Au. The weak interaction between the WS2 and Au enables the intact transfer of the monolayers to arbitrary substrates using the electrochemical bubbling method without sacrificing Au. The WS2 shows high crystal quality and optical and electrical properties comparable or superior to mechanically exfoliated samples. We also demonstrate the roll-to-roll/bubbling production of large-area flexible films of uniform monolayer, double-layer WS2 and WS2/graphene heterostructures, and batch fabrication of large-area flexible monolayer WS2 film transistor arrays. PMID:26450174

  11. Large area substrate for surface enhanced Raman spectroscopy (SERS) using glass-drawing technique

    DOEpatents

    Ivanov, Ilia N; Simpson, John T

    2012-06-26

    A method of making a large area substrate comprises drawing a plurality of tubes to form a plurality of drawn tubes, and cutting the plurality of drawn tubes into cut drawn tubes. Each cut drawn tube has a first end and a second end along the longitudinal direction of the respective cut drawn tube. The cut drawn tubes collectively have a predetermined periodicity. The method of making a large area substrate also comprises forming a metal layer on the first ends of the cut drawn tubes to provide a large area substrate.

  12. Novel Antimicrobial Titanium Dioxide Nanotubes Obtained through a Combination of Atomic Layer Deposition and Electrospinning Technologies.

    PubMed

    López de Dicastillo, Carol; Patiño, Cristian; Galotto, María Jose; Palma, Juan Luis; Alburquenque, Daniela; Escrig, Juan

    2018-02-24

    The search for new antimicrobial substances has increased in recent years. Antimicrobial nanostructures are one of the most promising alternatives. In this work, titanium dioxide nanotubes were obtained by an atomic layer deposition (ALD) process over electrospun polyvinyl alcohol nanofibers (PVN) at different temperatures with the purpose of obtaining antimicrobial nanostructures with a high specific area. Electrospinning and ALD parameters were studied in order to obtain PVN with smallest diameter and highest deposition rate, respectively. Chamber temperature was a key factor during ALD process and an appropriate titanium dioxide deposition performance was achieved at 200 °C. Subsequently, thermal and morphological analysis by SEM and TEM microscopies revealed hollow nanotubes were obtained after calcination process at 600 °C. This temperature allowed complete polymer removal and influenced the resulting anatase crystallographic structure of titanium dioxide that positively affected their antimicrobial activities. X-ray analysis confirmed the change of titanium dioxide crystallographic structure from amorphous phase of deposited PVN to anatase crystalline structure of nanotubes. These new nanostructures with very large surface areas resulted in interesting antimicrobial properties against Gram-positive and Gram-negative bacteria. Titanium dioxide nanotubes presented the highest activity against Escherichia coli with 5 log cycles reduction at 200 μg/mL concentration.

  13. Novel Antimicrobial Titanium Dioxide Nanotubes Obtained through a Combination of Atomic Layer Deposition and Electrospinning Technologies

    PubMed Central

    Patiño, Cristian; Galotto, María Jose; Palma, Juan Luis; Alburquenque, Daniela

    2018-01-01

    The search for new antimicrobial substances has increased in recent years. Antimicrobial nanostructures are one of the most promising alternatives. In this work, titanium dioxide nanotubes were obtained by an atomic layer deposition (ALD) process over electrospun polyvinyl alcohol nanofibers (PVN) at different temperatures with the purpose of obtaining antimicrobial nanostructures with a high specific area. Electrospinning and ALD parameters were studied in order to obtain PVN with smallest diameter and highest deposition rate, respectively. Chamber temperature was a key factor during ALD process and an appropriate titanium dioxide deposition performance was achieved at 200 °C. Subsequently, thermal and morphological analysis by SEM and TEM microscopies revealed hollow nanotubes were obtained after calcination process at 600 °C. This temperature allowed complete polymer removal and influenced the resulting anatase crystallographic structure of titanium dioxide that positively affected their antimicrobial activities. X-ray analysis confirmed the change of titanium dioxide crystallographic structure from amorphous phase of deposited PVN to anatase crystalline structure of nanotubes. These new nanostructures with very large surface areas resulted in interesting antimicrobial properties against Gram-positive and Gram-negative bacteria. Titanium dioxide nanotubes presented the highest activity against Escherichia coli with 5 log cycles reduction at 200 μg/mL concentration. PMID:29495318

  14. The survivability of large space-borne reflectors under atomic oxygen and micrometeoroid impact

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1987-01-01

    Solar dynamic power system mirrors for use on space station and other spacecraft flown in low Earth orbit (LEO) are exposed to the harshness of the LEO environment. Both atomic oxygen and micrometeoroids/space debris can degrade the performance of such mirrors. Protective coatings will be required to protect oxidizable reflecting media, such as silver and aluminum, from atomic oxygen attack. Several protective coating materials have been identified as good candidates for use in this application. The durability of these coating/mirror systems after pinhole defects have been inflicted during their fabrication and deployment or through micrometeoroid/space debris impact once on-orbit is of concern. Studies of the effect of an oxygen plasma environment on protected mirror surfaces with intentionally induced pinhole defects have been conducted at NASA Lewis and are reviewed. It has been found that oxidation of the reflective layer and/or the substrate in areas adjacent to a pinhole defect, but not directly exposed by the pinhole, can occur.

  15. Atomic-scale friction modulated by potential corrugation in multi-layered graphene materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhuang, Chunqiang, E-mail: chunqiang.zhuang@bjut.edu.cn; Liu, Lei

    2015-03-21

    Friction is an important issue that has to be carefully treated for the fabrication of graphene-based nano-scale devices. So far, the friction mechanism of graphene materials on the atomic scale has not yet been clearly presented. Here, first-principles calculations were employed to unveil the friction behaviors and their atomic-scale mechanism. We found that potential corrugations on sliding surfaces dominate the friction force and the friction anisotropy of graphene materials. Higher friction forces correspond to larger corrugations of potential energy, which are tuned by the number of graphene layers. The friction anisotropy is determined by the regular distributions of potential energy.more » The sliding along a fold-line path (hollow-atop-hollow) has a relatively small potential energy barrier. Thus, the linear sliding observed in macroscopic friction experiments may probably be attributed to the fold-line sliding mode on the atomic scale. These findings can also be extended to other layer-structure materials, such as molybdenum disulfide (MoS{sub 2}) and graphene-like BN sheets.« less

  16. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    DOE PAGES

    Stone, Greg; Ophus, Colin; Birol, Turan; ...

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), A n+1 B n O 3n+1 , thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Sr n+1 Ti n O 3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases.more » We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.« less

  17. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    PubMed

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Synthesis and Stabilization of Supported Metal Catalysts by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Junling; Elam, Jeffrey W.; Stair, Peter C.

    2013-03-12

    Supported metal nanoparticles are among the most important cata-lysts for many practical reactions, including petroleum refining, automobile exhaust treatment, and Fischer–Tropsch synthesis. The catalytic performance strongly depends on the size, composition, and structure of the metal nanoparticles, as well as the underlying support. Scientists have used conventional synthesis methods including impregnation, ion exchange, and deposition–precipitation to control and tune these factors, to establish structure–performance relationships, and to develop better catalysts. Meanwhile, chemists have improved the stability of metal nanoparticles against sintering by the application of protective layers, such as polymers and oxides that encapsulate the metal particle. This often leadsmore » to decreased catalytic activity due to a lack of precise control over the thickness of the protective layer. A promising method of catalyst synthesis is atomic layer deposition (ALD). ALD is a variation on chemical vapor deposition in which metals, oxides, and other materials are deposited on surfaces by a sequence of self-limiting reactions. The self-limiting character of these reactions makes it possible to achieve uniform deposits on high-surface-area porous solids. Therefore, design and synthesis of advanced catalysts on the nanoscale becomes possible through precise control over the structure and composition of the underlying support, the catalytic active sites, and the protective layer. In this Account, we describe our advances in the synthesis and stabilization of supported metal catalysts by ALD. After a short introduction to the technique of ALD, we show several strategies for metal catalyst synthesis by ALD that take advantage of its self-limiting feature. Monometallic and bimetallic catalysts with precise control over the metal particle size, composition, and structure were achieved by combining ALD sequences, surface treatments, and deposition temperature control. Next

  19. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  20. Atomic layer deposition of metal sulfide materials

    DOE PAGES

    Dasgupta, Neil P.; Meng, Xiangbo; Elam, Jeffrey W.; ...

    2015-01-12

    The field of nanoscience is delivering increasingly intricate yet elegant geometric structures incorporating an ever-expanding palette of materials. Atomic layer deposition (ALD) is a powerful driver of this field, providing exceptionally conformal coatings spanning the periodic table and atomic-scale precision independent of substrate geometry. This versatility is intrinsic to ALD and results from sequential and self-limiting surface reactions. This characteristic facilitates digital synthesis, in which the film grows linearly with the number of reaction cycles. While the majority of ALD processes identified to date produce metal oxides, novel applications in areas such as energy storage, catalysis, and nanophotonics are motivatingmore » interest in sulfide materials. Recent progress in ALD of sulfides has expanded the diversity of accessible materials as well as a more complete understanding of the unique chalcogenide surface chemistry. ALD of sulfide materials typically uses metalorganic precursors and hydrogen sulfide (H 2S). As in oxide ALD, the precursor chemistry is critical to controlling both the film growth and properties including roughness, crystallinity, and impurity levels. By modification of the precursor sequence, multicomponent sulfides have been deposited, although challenges remain because of the higher propensity for cation exchange reactions, greater diffusion rates, and unintentional annealing of this more labile class of materials. A deeper understanding of these surface chemical reactions has been achieved through a combination of in situ studies and quantum-chemical calculations. As this understanding matures, so does our ability to deterministically tailor film properties to new applications and more sophisticated devices. This Account highlights the attributes of ALD chemistry that are unique to metal sulfides and surveys recent applications of these materials in photovoltaics, energy storage, and photonics. Within each application

  1. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  2. Large-area photonic crystals

    NASA Astrophysics Data System (ADS)

    Ruhl, Tilmann; Spahn, Peter; Hellmann, Gotz P.; Winkler, Holger

    2004-09-01

    Materials with a periodically modulated refractive index, with periods on the scale of light wavelengths, are currently attracting much attention because of their unique optical properties which are caused by Bragg scattering of the visible light. In nature, 3d structures of this kind are found in the form of opals in which monodisperse silica spheres with submicron diameters form a face-centered-cubic (fcc) lattice. Artificial opals, with the same colloidal-crystalline fcc structure, have meanwhile been prepared by crystallizing spherical colloidal particles via sedimentation or drying of dispersions. In this report, colloidal crystalline films are introduced that were produced by a novel technique based on shear flow in the melts of specially designed submicroscopic silica-polymer core-shell hybrid spheres: when the melt of these spheres flows between the plates of a press, the spheres crystallize along the plates, layer by layer, and the silica cores assume the hexagonal order corresponding to the (111) plane of the fcc lattice. This process is fast and yields large-area films, thin or thick. To enhance the refractive index contrast in these films, the colloidal crystalline structure was inverted by etching out the silica cores with hydrofluoric acid. This type of an inverse opal, in which the fcc lattice is formed by mesopores, is referred to as a polymer-air photonic crystal.

  3. Atomic layer deposition of ZrO2 on W for metal-insulator-metal capacitor application

    NASA Astrophysics Data System (ADS)

    Lee, Sang-Yun; Kim, Hyoungsub; McIntyre, Paul C.; Saraswat, Krishna C.; Byun, Jeong-Soo

    2003-04-01

    A metal-insulator-metal (MIM) capacitor using ZrO2 on tungsten (W) metal bottom electrode was demonstrated and characterized in this letter. Both ZrO2 and W metal were synthesized by an atomic layer deposition (ALD) method. High-quality 110˜115 Å ZrO2 films were grown uniformly on ALD W using ZrCl4 and H2O precursors at 300 °C, and polycrystalline ZrO2 in the ALD regime could be obtained. A 13˜14-Å-thick interfacial layer between ZrO2 and W was observed after fabrication, and it was identified as WOx through angle-resolved x-ray photoelectron spectroscopy analysis with wet chemical etching. The apparent equivalent oxide thickness was 20˜21 Å. An effective dielectric constant of 22˜25 including an interfacial WOx layer was obtained by measuring capacitance and thickness of MIM capacitors with Pt top electrodes. High capacitance per area (16˜17 fF/μm2) and low leakage current (10-7 A/cm2 at ±1 V) were achieved.

  4. Pilot production and advanced development of large-area picosecond photodetectors

    NASA Astrophysics Data System (ADS)

    Minot, Michael J.; Adams, Bernhard W.; Aviles, Melvin; Bond, Justin L.; Craven, Christopher A.; Cremer, Till; Foley, Michael R.; Lyashenko, Alexey; Popecki, Mark A.; Stochaj, Michael E.; Worstell, William A.; Mane, Anil U.; Elam, Jeffrey W.; Siegmund, Oswald H. W.; Ertley, Camden; Frisch, Henry; Elagin, Andrey

    2016-09-01

    We report pilot production and advanced development performance results achieved for Large Area Picosecond Photodetectors (LAPPD). The LAPPD is a microchannel plate (MCP) based photodetector, capable of imaging with single-photon sensitivity at high spatial and temporal resolutions in a hermetic package with an active area of 400 square centimeters. In December 2015, Incom Inc. completed installation of equipment and facilities for demonstration of early stage pilot production of LAPPD. Initial fabrication trials commenced in January 2016. The "baseline" LAPPD employs an all-glass hermetic package with top and bottom plates and sidewalls made of borosilicate float glass. Signals are generated by a bi-alkali Na2KSb photocathode and amplified with a stacked chevron pair of "next generation" MCPs produced by applying resistive and emissive atomic layer deposition coatings to borosilicate glass capillary array (GCA) substrates. Signals are collected on RF strip-line anodes applied to the bottom plates which exit the detector via pinfree hermetic seals under the side walls. Prior tests show that LAPPDs have electron gains greater than 107, submillimeter space resolution for large pulses and several mm for single photons, time resolutions of 50 picoseconds for single photons, predicted resolution of less than 5 picoseconds for large pulses, high stability versus charge extraction, and good uniformity. LAPPD performance results for product produced during the first half of 2016 will be reviewed. Recent advances in the development of LAPPD will also be reviewed, as the baseline design is adapted to meet the requirements for a wide range of emerging application. These include a novel ceramic package design, ALD coated MCPs optimized to have a low temperature coefficient of resistance (TCR) and further advances to adapt the LAPPD for cryogenic applications using Liquid Argon (LAr). These developments will meet the needs for DOE-supported RD for the Deep Underground Neutrino

  5. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  6. Deposition of thin silicon layers on transferred large area graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, Grzegorz, E-mail: lupina@ihp-microelectronics.com; Kitzmann, Julia; Lukosius, Mindaugas

    2013-12-23

    Physical vapor deposition of Si onto transferred graphene is investigated. At elevated temperatures, Si nucleates preferably on wrinkles and multilayer graphene islands. In some cases, however, Si can be quasi-selectively grown only on the monolayer graphene regions while the multilayer islands remain uncovered. Experimental insights and ab initio calculations show that variations in the removal efficiency of carbon residuals after the transfer process can be responsible for this behavior. Low-temperature Si seed layer results in improved wetting and enables homogeneous growth. This is an important step towards realization of electronic devices in which graphene is embedded between two Si layers.

  7. Amorphous layer formation in Al86.0Co7.6Ce6.4 glass-forming alloy by large-area electron beam irradiation

    NASA Astrophysics Data System (ADS)

    Li, C. L.; Murray, J. W.; Voisey, K. T.; Clare, A. T.; McCartney, D. G.

    2013-09-01

    Amorphous Al-Co-Ce alloys are of interest because of their resistance to corrosion, but high cooling rates are generally required to suppress the formation of crystalline phases. In this study, the surface of a bulk crystalline Al-Co-Ce alloy of a glass-forming composition was treated using large area electron beam (LAEB) irradiation. Scanning electron microscopy shows that, compared to the microstructure of the original crystalline material, the treated surface exhibits greatly improved microstructural and compositional uniformity. Glancing angle X-ray diffraction conducted on the surface of treated samples indicates the formation of the amorphous phase following 25 and 50 pulses at 35 kV cathode voltage. However, when the samples are treated with 100 and 150 pulses at 35 kV cathode voltage of electron beam irradiation, the treated layer comprises localised crystalline regions in an amorphous matrix. In addition, the formation of cracks in the treated layer is found to be localised around the Al8Co2Ce phase in the bulk material. Overall, crack length per unit area had no clear change with an increase in the number of pulses.

  8. Room-Temperature and Solution-Processable Cu-Doped Nickel Oxide Nanoparticles for Efficient Hole-Transport Layers of Flexible Large-Area Perovskite Solar Cells.

    PubMed

    He, Qiqi; Yao, Kai; Wang, Xiaofeng; Xia, Xuefeng; Leng, Shifeng; Li, Fan

    2017-12-06

    Flexible perovskite solar cells (PSCs) using plastic substrates have become one of the most attractive points in the field of thin-film solar cells. Low-temperature and solution-processable nanoparticles (NPs) enable the fabrication of semiconductor thin films in a simple and low-cost approach to function as charge-selective layers in flexible PSCs. Here, we synthesized phase-pure p-type Cu-doped NiO x NPs with good electrical properties, which can be processed to smooth, pinhole-free, and efficient hole transport layers (HTLs) with large-area uniformity over a wide range of film thickness using a room-temperature solution-processing technique. Such a high-quality inorganic HTL allows for the fabrication of flexible PSCs with an active area >1 cm 2 , which have a power conversion efficiency over 15.01% without hysteresis. Moreover, the Cu/NiO x NP-based flexible devices also demonstrate excellent air stability and mechanical stability compared to their counterpart fabricated on the pristine NiO x films. This work will contribute to the evolution of upscaling flexible PSCs with a simple fabrication process and high device performances.

  9. Flexible integrated circuits and multifunctional electronics based on single atomic layers of MoS2 and graphene

    NASA Astrophysics Data System (ADS)

    Amani, Matin; Burke, Robert A.; Proie, Robert M.; Dubey, Madan

    2015-03-01

    Two-dimensional materials, such as graphene and its analogues, have been investigated by numerous researchers for high performance flexible and conformal electronic systems, because they offer the ultimate level of thickness scaling, atomically smooth surfaces and high crystalline quality. Here, we use layer-by-layer transfer of large area molybdenum disulphide (MoS2) and graphene grown by chemical vapor deposition (CVD) to demonstrate electronics on flexible polyimide (PI) substrates. On the same PI substrate, we are able to simultaneously fabricate MoS2 based logic, non-volatile memory cells with graphene floating gates, photo-detectors and MoS2 transistors with tunable source and drain contacts. We are also able to demonstrate that these flexible heterostructure devices have very high electronic performance, comparable to four point measurements taken on SiO2 substrates, with on/off ratios >107 and field effect mobilities as high as 16.4 cm2 V-1 s-1. Additionally, the heterojunctions show high optoelectronic sensitivity and were operated as photodetectors with responsivities over 30 A W-1. Through local gating of the individual graphene/MoS2 contacts, we are able to tune the contact resistance over the range of 322-1210 Ω mm for each contact, by modulating the graphene work function. This leads to devices with tunable and multifunctional performance that can be implemented in a conformable platform.

  10. Flexible integrated circuits and multifunctional electronics based on single atomic layers of MoS2 and graphene.

    PubMed

    Amani, Matin; Burke, Robert A; Proie, Robert M; Dubey, Madan

    2015-03-20

    Two-dimensional materials, such as graphene and its analogues, have been investigated by numerous researchers for high performance flexible and conformal electronic systems, because they offer the ultimate level of thickness scaling, atomically smooth surfaces and high crystalline quality. Here, we use layer-by-layer transfer of large area molybdenum disulphide (MoS2) and graphene grown by chemical vapor deposition (CVD) to demonstrate electronics on flexible polyimide (PI) substrates. On the same PI substrate, we are able to simultaneously fabricate MoS2 based logic, non-volatile memory cells with graphene floating gates, photo-detectors and MoS2 transistors with tunable source and drain contacts. We are also able to demonstrate that these flexible heterostructure devices have very high electronic performance, comparable to four point measurements taken on SiO2 substrates, with on/off ratios >10(7) and field effect mobilities as high as 16.4 cm(2) V(-1) s(-1). Additionally, the heterojunctions show high optoelectronic sensitivity and were operated as photodetectors with responsivities over 30 A W(-1). Through local gating of the individual graphene/MoS2 contacts, we are able to tune the contact resistance over the range of 322-1210 Ω mm for each contact, by modulating the graphene work function. This leads to devices with tunable and multifunctional performance that can be implemented in a conformable platform.

  11. Rapid large area fabrication of multiscale through-hole membranes.

    PubMed

    Tahk, Dongha; Paik, Sang-Min; Lim, Jungeun; Bang, Seokyoung; Oh, Soojung; Ryu, Hyunryul; Jeon, Noo Li

    2017-05-16

    There are many proposed mechanisms by which single cells can be trapped; among them is the through-hole membrane for the characterization of individual microorganisms. Due to the small scale of the fabricated pores, the construction of through-hole membranes on a large scale and with relatively large areas faces many difficulties. This paper describes novel fabrication methods for a large-area, freestanding micro/nano through-hole membrane constructed from versatile membrane materials using through-hole membranes on a microfluidic chip (THMMC). This process can rapidly (<20 min) fabricate membranes with high fidelity multiscale hole size without residual layers. The through-hole site was easily customizable from the micro to the nanoscale, with a low or high aspect ratio giving rise to reliable membranes. Also, the rigidity and biocompatibility of the through-hole membrane are easily tunable by simple injection of versatile membrane materials to obtain a large area (up to 3600 mm 2 ). Membranes produced in this manner were then applied as a proof of concept for the isolation, cultivation, and quantification of individual micro-algal cells for selection with respect to the growth rate, while controlling the quorum sensing mediated metabolic and proliferative changes.

  12. WE-E-18A-01: Large Area Avalanche Amorphous Selenium Sensors for Low Dose X-Ray Imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Scheuermann, J; Goldan, A; Zhao, W

    2014-06-15

    Purpose: A large area indirect flat panel imager (FPI) with avalanche gain is being developed to achieve x-ray quantum noise limited low dose imaging. It uses a thin optical sensing layer of amorphous selenium (a-Se), known as High-Gain Avalanche Rushing Photoconductor (HARP), to detect optical photons generated from a high resolution x-ray scintillator. We will report initial results in the fabrication of a solid-state HARP structure suitable for a large area FPI. Our objective is to establish the blocking layer structures and defect suppression mechanisms that provide stable and uniform avalanche gain. Methods: Samples were fabricated as follows: (1) ITOmore » signal electrode. (2) Electron blocking layer. (3) A 15 micron layer of intrinsic a-Se. (4) Transparent hole blocking layer. (5) Multiple semitransparent bias electrodes to investigate avalanche gain uniformity over a large area. The sample was exposed to 50ps optical excitation pulses through the bias electrode. Transient time of flight (TOF) and integrated charge was measured. A charge transport simulation was developed to investigate the effects of varying blocking layer charge carrier mobility on defect suppression, avalanche gain and temporal performance. Results: Avalanche gain of ∼200 was achieved experimentally with our multi-layer HARP samples. Simulations using the experimental sensor structure produced the same magnitude of gain as a function of electric field. The simulation predicted that the high dark current at a point defect can be reduced by two orders of magnitude by blocking layer optimization which can prevent irreversible damage while normal operation remained unaffected. Conclusion: We presented the first solid state HARP structure directly scalable to a large area FPI. We have shown reproducible and uniform avalanche gain of 200. By reducing mobility of the blocking layers we can suppress defects and maintain stable avalanche. Future work will optimize the blocking layers to

  13. Superconducting ferecrystals: turbostratically disordered atomic-scale layered (PbSe)1.14(NbSe2)n thin films.

    PubMed

    Grosse, Corinna; Alemayehu, Matti B; Falmbigl, Matthias; Mogilatenko, Anna; Chiatti, Olivio; Johnson, David C; Fischer, Saskia F

    2016-09-16

    Hybrid electronic heterostructure films of semi- and superconducting layers possess very different properties from their bulk counterparts. Here, we demonstrate superconductivity in ferecrystals: turbostratically disordered atomic-scale layered structures of single-, bi- and trilayers of NbSe2 separated by PbSe layers. The turbostratic (orientation) disorder between individual layers does not destroy superconductivity. Our method of fabricating artificial sequences of atomic-scale 2D layers, structurally independent of their neighbours in the growth direction, opens up new possibilities of stacking arbitrary numbers of hybrid layers which are not available otherwise, because epitaxial strain is avoided. The observation of superconductivity and systematic Tc changes with nanostructure make this synthesis approach of particular interest for realizing hybrid systems in the search of 2D superconductivity and the design of novel electronic heterostructures.

  14. Atomic migration of carbon in hard turned layers of carburized bearing steel

    DOE PAGES

    Bedekar, Vikram; Poplawsky, Jonathan D.; Guo, Wei; ...

    2016-01-01

    In grain finement and non-equilibrium there is carbon segregation within grain boundaries alters the mechanical performance of hard turning layers in carburized bearing steel. Moreover, an atom probe tomography (APT) study on the nanostructured hard turning layers reveals carbon migration to grain boundaries as a result of carbide decomposition during severe plastic deformation. In addition, samples exposed to different cutting speeds show that the carbon migration rate increases with the cutting speed. For these two effects lead to an ultrafine carbon network structure resulting in increased hardness and thermal stability in the severely deformed surface layer.

  15. A Scalable Route to Nanoporous Large-Area Atomically Thin Graphene Membranes by Roll-to-Roll Chemical Vapor Deposition and Polymer Support Casting.

    PubMed

    Kidambi, Piran R; Mariappan, Dhanushkodi D; Dee, Nicholas T; Vyatskikh, Andrey; Zhang, Sui; Karnik, Rohit; Hart, A John

    2018-03-28

    Scalable, cost-effective synthesis and integration of graphene is imperative to realize large-area applications such as nanoporous atomically thin membranes (NATMs). Here, we report a scalable route to the production of NATMs via high-speed, continuous synthesis of large-area graphene by roll-to-roll chemical vapor deposition (CVD), combined with casting of a hierarchically porous polymer support. To begin, we designed and built a two zone roll-to-roll graphene CVD reactor, which sequentially exposes the moving foil substrate to annealing and growth atmospheres, with a sharp, isothermal transition between the zones. The configurational flexibility of the reactor design allows for a detailed evaluation of key parameters affecting graphene quality and trade-offs to be considered for high-rate roll-to-roll graphene manufacturing. With this system, we achieve synthesis of uniform high-quality monolayer graphene ( I D / I G < 0.065) at speeds ≥5 cm/min. NATMs fabricated from the optimized graphene, via polymer casting and postprocessing, show size-selective molecular transport with performance comparable to that of membranes made from conventionally synthesized graphene. Therefore, this work establishes the feasibility of a scalable manufacturing process of NATMs, for applications including protein desalting and small-molecule separations.

  16. Enhanced Kinetics of Electrochemical Hydrogen Uptake and Release by Palladium Powders Modified by Electrochemical Atomic Layer Deposition

    DOE PAGES

    Benson, David M.; Tsang, Chu F.; Sugar, Joshua Daniel; ...

    2017-04-28

    One method for the formation of nanofilms of materials, is Electrochemical atomic layer deposition (E-ALD), one atomic layer at a time. It uses the galvanic exchange of a less noble metal, deposited using underpotential deposition (UPD), to produce an atomic layer of a more noble element by reduction of its ions. This process is referred to as surface limited redox replacement and can be repeated in a cycle to grow thicker deposits. Previously, we performed it on nanoparticles and planar substrates. In the present report, E-ALD is applied for coating a submicron-sized powder substrate, making use of a new flowmore » cell design. E-ALD is used to coat a Pd powder substrate with different thicknesses of Rh by exchanging it for Cu UPD. Furthermore, cyclic voltammetry and X-ray photoelectron spectroscopy indicate an increasing Rh coverage with increasing numbers of deposition cycles performed, in a manner consistent with the atomic layer deposition (ALD) mechanism. Cyclic voltammetry also indicated increased kinetics of H sorption and desorption in and out of the Pd powder with Rh present, relative to unmodified Pd.« less

  17. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  18. The initial stages of ZnO atomic layer deposition on atomically flat In0.53Ga0.47As substrates.

    PubMed

    Skopin, Evgeniy V; Rapenne, Laetitia; Roussel, Hervé; Deschanvres, Jean-Luc; Blanquet, Elisabeth; Ciatto, Gianluca; Fong, Dillon D; Richard, Marie-Ingrid; Renevier, Hubert

    2018-06-21

    InGaAs is one of the III-V active semiconductors used in modern high-electron-mobility transistors or high-speed electronics. ZnO is a good candidate material to be inserted as a tunneling insulator layer at the metal-semiconductor junction. A key consideration in many modern devices is the atomic structure of the hetero-interface, which often ultimately governs the electronic or chemical process of interest. Here, a complementary suite of in situ synchrotron X-ray techniques (fluorescence, reflectivity and absorption) as well as modeling is used to investigate both structural and chemical evolution during the initial growth of ZnO by atomic layer deposition (ALD) on In0.53Ga0.47As substrates. Prior to steady-state growth behavior, we discover a transient regime characterized by two stages. First, substrate-inhibited ZnO growth takes place on InGaAs terraces. This leads eventually to the formation of a 1 nm-thick, two-dimensional (2D) amorphous layer. Second, the growth behavior and its modeling suggest the occurrence of dense island formation, with an aspect ratio and surface roughness that depends sensitively on the growth condition. Finally, ZnO ALD on In0.53Ga0.47As is characterized by 2D steady-state growth with a linear growth rate of 0.21 nm cy-1, as expected for layer-by-layer ZnO ALD.

  19. Titanium dioxide thin films by atomic layer deposition: a review

    NASA Astrophysics Data System (ADS)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  20. Atomic layer deposition of a high-k dielectric on MoS2 using trimethylaluminum and ozone.

    PubMed

    Cheng, Lanxia; Qin, Xiaoye; Lucero, Antonio T; Azcatl, Angelica; Huang, Jie; Wallace, Robert M; Cho, Kyeongjae; Kim, Jiyoung

    2014-08-13

    We present an Al2O3 dielectric layer on molybdenum disulfide (MoS2), deposited using atomic layer deposition (ALD) with ozone/trimethylaluminum (TMA) and water/TMA as precursors. The results of atomic force microscopy and low-energy ion scattering spectroscopy show that using TMA and ozone as precursors leads to the formation of uniform Al2O3 layers, in contrast to the incomplete coverage we observe when using TMA/H2O as precursors. Our Raman and X-ray photoelectron spectroscopy measurements indicate minimal variations in the MoS2 structure after ozone treatment at 200 °C, suggesting its excellent chemical resistance to ozone.

  1. Analysis of layer-by-layer thin-film oxide growth using RHEED and Atomic Force Microscopy

    NASA Astrophysics Data System (ADS)

    Adler, Eli; Sullivan, M. C.; Gutierrez-Llorente, Araceli; Joress, H.; Woll, A.; Brock, J. D.

    2015-03-01

    Reflection high energy electron diffraction (RHEED) is commonly used as an in situ analysis tool for layer-by-layer thin-film growth. Atomic force microscopy is an equally common ex situ tool for analysis of the film surface, providing visual evidence of the surface morphology. During growth, the RHEED intensity oscillates as the film surface changes in roughness. It is often assumed that the maxima of the RHEED oscillations signify a complete layer, however, the oscillations in oxide systems can be misleading. Thus, using only the RHEED maxima is insufficient. X-ray reflectivity can also be used to analyze growth, as the intensity oscillates in phase with the smoothness of the surface. Using x-ray reflectivity to determine the thin film layer deposition, we grew three films where the x-ray and RHEED oscillations were nearly exactly out of phase and halted deposition at different points in the growth. Pre-growth and post-growth AFM images emphasize the fact that the maxima in RHEED are not a justification for determining layer completion. Work conducted at the Cornell High Energy Synchrotron Source (CHESS) supported by NSF Awards DMR-1332208 and DMR-0936384 and the Cornell Center for Materials Research Shared Facilities are supported through DMR-1120296.

  2. Design of a backlighting structure for very large-area luminaries

    NASA Astrophysics Data System (ADS)

    Carraro, L.; Mäyrä, A.; Simonetta, M.; Benetti, G.; Tramonte, A.; Benedetti, M.; Randone, E. M.; Ylisaukko-Oja, A.; Keränen, K.; Facchinetti, T.; Giuliani, G.

    2017-02-01

    A novel approach for RGB semiconductor LED-based backlighting system is developed to satisfy the requirements of the Project LUMENTILE funded by the European Commission, whose scope is to develop a luminous electronic tile that is foreseen to be manufactured in millions of square meters each year. This unconventionally large-area surface of uniform, high-brightness illumination requires a specific optical design to keep a low production cost, while maintaining high optical extraction efficiency and a reduced thickness of the structure, as imposed by architectural design constraints. The proposed solution is based on a light-guiding layer to be illuminated by LEDs in edge configuration, or in a planar arrangement. The light guiding slab is finished with a reflective top interface and a diffusive or reflective bottom interface/layer. Patterning is used for both the top interface (punctual removal of reflection and generation of a light scattering centers) and for the bottom layer (using dark/bright printed pattern). Computer-based optimization algorithms based on ray-tracing are used to find optimal solutions in terms of uniformity of illumination of the top surface and overall light extraction efficiency. Through a closed-loop optimization process, that assesses the illumination uniformity of the top surface, the algorithm generates the desired optimized top and bottom patterns, depending on the number of LED sources used, their geometry, and the thickness of the guiding layer. Specific low-cost technologies to realize the patterning are discussed, with the goal of keeping the production cost of these very large-area luminaries below the value of 100$/sqm.

  3. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  4. Robust, functional nanocrystal solids by infilling with atomic layer deposition.

    PubMed

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L; Tolentino, Jason; Zarghami, Mohammad H; Bustamante, Jorge; Law, Matt

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. (1) The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphous alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm2 V(-1) s(-1). Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.

  5. Robust, functional nanocrystal solids by infilling with atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L.

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphousmore » alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm² V -1 s -1. Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.« less

  6. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-04-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10-10 Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10-10 Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment.

  7. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    NASA Astrophysics Data System (ADS)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  8. Measurements of electrostatic double layer potentials with atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Giamberardino, Jason

    The aim of this thesis is to provide a thorough description of the development of theory and experiment pertaining to the electrostatic double layer (EDL) in aqueous electrolytic systems. The EDL is an important physical element of many systems and its behavior has been of interest to scientists for many decades. Because many areas of science and engineering move to test, build, and understand systems at smaller and smaller scales, this work focuses on nanoscopic experimental investigations of the EDL. In that vein, atomic force microscopy (AFM) will be introduced and discussed as a tool for making high spatial resolution measurements of the solid-liquid interface, culminating in a description of the development of a method for completely characterizing the EDL. This thesis first explores, in a semi-historical fashion, the development of the various models and theories that are used to describe the electrostatic double layer. Later, various experimental techniques and ideas are addressed as ways to make measurements of interesting characteristics of the EDL. Finally, a newly developed approach to measuring the EDL system with AFM is introduced. This approach relies on both implementation of existing theoretical models with slight modifications as well as a unique experimental measurement scheme. The model proposed clears up previous ambiguities in definitions of various parameters pertaining to measurements of the EDL and also can be used to fully characterize the system in a way not yet demonstrated.

  9. Atom Probe Tomography Analysis of Ag Doping in 2D Layered Material (PbSe) 5(Bi 2Se 3) 3

    DOE PAGES

    Ren, Xiaochen; Singh, Arunima K.; Fang, Lei; ...

    2016-09-07

    Impurity doping in two-dimensional (2D) materials can provide a route to tuning electronic properties, so it is important to be able to determine the distribution of dopant atoms within and between layers. Here we report the totnographic mapping of dopants in layered 2D materials with atomic sensitivity and subnanometer spatial resolution using atom, probe tomography (APT). Also, APT analysis shows that Ag dopes both Bi 2Se 3 and PbSe layers in (PbSe) 5(Bi 2Se 3) 3, and correlations :in the position of Ag atoms suggest a pairing across neighboring Bi 2Se 3 and PbSe layers. Finally, density functional theory (DFT)more » calculations confirm the favorability of substitutional-doping for both Pb and Bi and provide insights into the,observed spatial correlations in dopant locations.« less

  10. The turblent mixing layer - Geometry of large vortices

    NASA Astrophysics Data System (ADS)

    Browand, F. K.; Troutt, T. R.

    1985-09-01

    Large spanwide vortices in a mixing layer have been studied in numerous investigations. The present study represents an attempt to define the geometry of the large vortices. In the conducted experiments, the flow develops from a laminar boundary layer, or from an intentionally tripped turbulent boundary layer. However, no other forcing is provided. It is pointed out that in both cases the downstream structure becomes indistinguishable. The experimental apparatus and the employed techniques are discussed, taking into account details regarding the wind tunnel, the detection of the structure, and aspects of digitization. Attention is given to the mean growth of the mixing layer, the mean vortex spacing, the spanwise correlation of vortex structure, velocity-field visualizations, the transition criterion, and the permanence of structure.

  11. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperaturesmore » (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.« less

  12. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  13. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  14. An analytical method for computing atomic contact areas in biomolecules.

    PubMed

    Mach, Paul; Koehl, Patrice

    2013-01-15

    We propose a new analytical method for detecting and computing contacts between atoms in biomolecules. It is based on the alpha shape theory and proceeds in three steps. First, we compute the weighted Delaunay triangulation of the union of spheres representing the molecule. In the second step, the Delaunay complex is filtered to derive the dual complex. Finally, contacts between spheres are collected. In this approach, two atoms i and j are defined to be in contact if their centers are connected by an edge in the dual complex. The contact areas between atom i and its neighbors are computed based on the caps formed by these neighbors on the surface of i; the total area of all these caps is partitioned according to their spherical Laguerre Voronoi diagram on the surface of i. This method is analytical and its implementation in a new program BallContact is fast and robust. We have used BallContact to study contacts in a database of 1551 high resolution protein structures. We show that with this new definition of atomic contacts, we generate realistic representations of the environments of atoms and residues within a protein. In particular, we establish the importance of nonpolar contact areas that complement the information represented by the accessible surface areas. This new method bears similarity to the tessellation methods used to quantify atomic volumes and contacts, with the advantage that it does not require the presence of explicit solvent molecules if the surface of the protein is to be considered. © 2012 Wiley Periodicals, Inc. Copyright © 2012 Wiley Periodicals, Inc.

  15. Optimization Methods on Synthesis of Atomically Thin Layered Materials and Heterostructures

    NASA Astrophysics Data System (ADS)

    Temiz, Selcuk

    Two dimensional (2D) materials have emerged as a new class of materials that only a few atoms thick. Owing to their low dimensionality, 2D materials bear rather unusual properties that do not exist in traditional three dimensional (3D) materials. Graphene, a single layer of carbon atoms arrange in a 2D hexagonal lattice, has started the revolutionary progress in materials science and condensed matter physics, and motivated intense research in other 2D materials such as h-BN, and layered metal dichalcogenides. Chemical vapor deposition (CVD) is the most studied bottom-up graphene production method for building the prototypes of next-generation electronic devices due to its scalability; however, there is still not an ultimate consensus of growth mechanisms on control the size and morphology of synthesized-crystals. In order to have better understanding the growth mechanisms, the role of oxygen exposure in the graphene growth has been comprehensively studied. The oxygen gas is introduced into the CVD reactor before and during the growth, and its effects on the morphology, crystallinity, and nucleation density of graphene are systematically studied. It is found that introducing oxygen during growth significantly improves the graphene crystallinity while pre-dosing oxygen before growth reduces the graphene nucleation density. The stacking of graphene and other layered materials in the lateral or vertical geometries can offer extended functionality by exploiting interfacial phenomena, quantum confinement and tunneling, which requires the interface between the layered materials be free of contaminates. The vertical heterostructures of CVD-grown graphene and h-BN single crystals are deeply investigated by analytical scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS). It is shown that graphene contamination, undetectable using optical microscopy, is prevalent at the nanoscale, and the interfacial contamination between the layers

  16. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    PubMed

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 < δ < 0.5) by carefully monitoring the resistance changes under a switching flow of oxidizing gas (O2) and reducing gas (H2) in the temperature range of 250 ~ 800 °C. A giant resistance change ΔR by three to four orders of magnitude in less than 0.1 s was found with a fast oscillation behavior in the resistance change rates in the ΔR vs. t plots, suggesting that the oxygen vacancy exchange diffusion with oxygen/hydrogen atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  17. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  18. Regioselective atomic layer deposition in metal–organic frameworks directed by dispersion interactions

    DOE PAGES

    Gallington, Leighanne C.; Kim, In Soo; Liu, Wei-Guang; ...

    2016-10-03

    The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. As a result, complementary density functional calculations indicate that this startling regioselectivity ismore » driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.« less

  19. Regioselective atomic layer deposition in metal–organic frameworks directed by dispersion interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gallington, Leighanne C.; Kim, In Soo; Liu, Wei-Guang

    The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. As a result, complementary density functional calculations indicate that this startling regioselectivity ismore » driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.« less

  20. Investigations into the impact of various substrates and ZnO ultra thin seed layers prepared by atomic layer deposition on growth of ZnO nanowire array

    PubMed Central

    2012-01-01

    The impact of various substrates and zinc oxide (ZnO) ultra thin seed layers prepared by atomic layer deposition on the geometric morphology of subsequent ZnO nanowire arrays (NWs) fabricated by the hydrothermal method was investigated. The investigated substrates included B-doped ZnO films, indium tin oxide films, single crystal silicon (111), and glass sheets. Scanning electron microscopy and X-ray diffraction measurements revealed that the geometry and aligment of the NWs were controlled by surface topography of the substrates and thickness of the ZnO seed layers, respectively. According to atomic force microscopy data, we suggest that the substrate, fluctuate amplitude and fluctuate frequency of roughness on ZnO seed layers have a great impact on the alignment of the resulting NWs, whereas the influence of the seed layers' texture was negligible. PMID:22759838

  1. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    NASA Astrophysics Data System (ADS)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  2. Lidar mapping of atmospheric atomic mercury in the Wanshan area, China.

    PubMed

    Lian, Ming; Shang, Lihai; Duan, Zheng; Li, Yiyun; Zhao, Guangyu; Zhu, Shiming; Qiu, Guangle; Meng, Bo; Sommar, Jonas; Feng, Xinbin; Svanberg, Sune

    2018-05-08

    A novel mobile laser radar system was used for mapping gaseous atomic mercury (Hg 0 ) atmospheric pollution in the Wanshan district, south of Tongren City, Guizhou Province, China. This area is heavily impacted by legacy mercury from now abandoned mining activities. Differential absorption lidar measurements were supplemented by localized point monitoring using a Lumex RA-915M Zeeman modulation mercury analyzer. Range-resolved concentration measurements in different directions were performed. Concentrations in the lower atmospheric layers often exceeded levels of 100 ng/m 3 for March conditions with temperature ranging from 5 °C to 20 °C. A flux measurement of Hg 0 over a vertical cross section of 0.12 km 2 resulted in about 29 g/h. Vertical lidar sounding at night revealed quickly falling Hg 0 concentrations with height. This is the first lidar mapping demonstration in a heavily mercury-polluted area in China, illustrating the lidar potential in complementing point monitors. Copyright © 2018 Elsevier Ltd. All rights reserved.

  3. Development of a large area microstructure photomultiplier assembly (LAMPA)

    NASA Astrophysics Data System (ADS)

    Clifford, E. T. H.; Dick, M.; Facina, M.; Wakeford, D.; Andrews, H. R.; Ing, H.; Best, D.; Baginski, M. J.

    2017-05-01

    Large area (> m2) position-sensitive readout of scintillators is important for passive/active gamma and neutron imaging for counter-terrorism applications. The goal of the LAMPA project is to provide a novel, affordable, large-area photodetector (8" x 8") by replacing the conventional dynodes of photomultiplier tubes (PMTs) with electron multiplier microstructure boards (MSBs) that can be produced using industrial manufacturing techniques. The square, planar format of the LAMPA assemblies enables tiling of multiple units to support large area applications. The LAMPA performance objectives include comparable gain, noise, timing, and energy resolution relative to conventional PMTs, as well as spatial resolution in the few mm range. The current LAMPA prototype is a stack of 8" x 8" MSBs made commercially by chemical etching of a molybdenum substrate and coated with hydrogen-terminated boron-doped diamond for high secondary emission yield (SEY). The layers of MSBs are electrically isolated using ceramic standoffs. Field-shaping grids are located between adjacent boards to achieve good transmission of electrons from one board to the next. The spacing between layers and the design of the microstructure pattern and grids were guided by simulations performed using an electro-optics code. A position sensitive anode board at the back of the stack of MSBs provides 2-D readout. This presentation discusses the trade studies performed in the design of the MSBs, the measurements of SEY from various electro-emissive materials, the electro-optics simulations conducted, the design of the 2-D readout, and the mechanical aspects of the LAMPA design, in order to achieve a gain of > 104 in an 8-stage stack of MSBs, suitable for use with various scintillators when coupled to an appropriate photocathode.

  4. Atomic composition and electrical characteristics of epitaxial CVD diamond layers doped with boron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surovegina, E. A., E-mail: suroveginaka@ipmras.ru; Demidov, E. V.; Drozdov, M. N.

    2016-12-15

    The results of analysis of the atomic composition, doping level, and hole mobility in epitaxial diamond layers when doped with boron are reported. The layers are produced by chemical-vapor deposition. The possibilities of uniform doping with boron to a level in the range 5 × 10{sup 17} to ~10{sup 20} at cm{sup –3} and of δ doping to the surface concentration (0.3–5) × 10{sup 13} at cm{sup –3} are shown. The conditions for precision ion etching of the structures are determined, and barrier and ohmic contacts to the layers are formed.

  5. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare thesemore » results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.« less

  6. Surface atomic structure characterization of SnSe and black phosphorus using selected area uLEED-IV via LEEM

    NASA Astrophysics Data System (ADS)

    Dai, Zhongwei; Grady, Maxwell; Yu, Jiexiang; Zang, Jiadong; Pohl, Karsten; Jin, Wencan; Kim, Young Duck; Hone, James; Dadap, Jerry; Osgood, Richard; Sadowski, Jerzy; Vishwanath, Suresh; Xing, Huili

    Selected area diffraction intensity-voltage (μLEED-IV) analysis via low energy electron microscopy (LEEM) has the combined functionality of atomic surface structure determination and μm area selectivity, making it ideal for structural investigations of 2-D materials. SnSe thin films have been predicted and observed to be topological crystalline insulators. Previous studies suggested that SnSe has a preferred Se-terminated surface configuration. Using μLEED-IV, we determined that SnSe has, on the contrary, a stable Sn termination. This surface is stabilized through an oscillatory interlayer relaxation, which agrees with previous DFT predictions. Black phosphorus (BP) has an intrinsic layer-dependent bandgap ranging from 0.3 eV to 2 eV. Previous STM and DFT studies suggested BP surfaces have a buckling of 0.02 Å to 0.06 Å. We experimentally determined that the surface buckling of BP to be near 0.2 Å. We further propose, using DFT calculations, that this large surface buckling is induced by the presence of surface defects. The influence of this surface buckling on the electronic structures of BP is under investigation.

  7. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  8. Enhancing Performance of Large-Area Organic Solar Cells with Thick Film via Ternary Strategy.

    PubMed

    Zhang, Jianqi; Zhao, Yifan; Fang, Jin; Yuan, Liu; Xia, Benzheng; Wang, Guodong; Wang, Zaiyu; Zhang, Yajie; Ma, Wei; Yan, Wei; Su, Wenming; Wei, Zhixiang

    2017-06-01

    Large-scale fabrication of organic solar cells requires an active layer with high thickness tolerability and the use of environment-friendly solvents. Thick films with high-performance can be achieved via a ternary strategy studied herein. The ternary system consists of one polymer donor, one small molecule donor, and one fullerene acceptor. The small molecule enhances the crystallinity and face-on orientation of the active layer, leading to improved thickness tolerability compared with that of a polymer-fullerene binary system. An active layer with 270 nm thickness exhibits an average power conversion efficiency (PCE) of 10.78%, while the PCE is less than 8% with such thick film for binary system. Furthermore, large-area devices are successfully fabricated using polyethylene terephthalate (PET)/Silver gride or indium tin oxide (ITO)-based transparent flexible substrates. The product shows a high PCE of 8.28% with an area of 1.25 cm 2 for a single cell and 5.18% for a 20 cm 2 module. This study demonstrates that ternary organic solar cells exhibit great potential for large-scale fabrication and future applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. A contact layer element for large deformations

    NASA Astrophysics Data System (ADS)

    Weißenfels, C.; Wriggers, P.

    2015-05-01

    In many contact situations the material behavior of one contact member strongly influences the force acting between the two bodies. Unfortunately standard friction models cannot reproduce all of these material effects at the contact layer and often continuum interface elements are used instead. These elements are intrinsically tied to the fixed grid and hence cannot be used in large sliding simulations. Due to the shortcomings of the standard contact formulations and of the interface elements a new type of a contact layer element is developed in this work. The advantages of this element are the direct implementation of continuum models into the contact formulation and the application to arbitrary large deformations. Showing a relation between continuum and contact kinematics based on the solid-shell concept the new contact element is at the end a natural extension of the standard contact formulations into 3D. Two examples show that the continuum behavior can be exactly reproduced at the contact surface even in large sliding situations using this contact layer element. For the discretization of the new contact element the Mortar method is chosen exemplary, but it can be combined with all kinds of contact formulations.

  10. Large Area CVD MoS2 RF transistors with GHz performance

    NASA Astrophysics Data System (ADS)

    Nagavalli Yogeesh, Maruthi; Sanne, Atresh; Park, Saungeun; Akinwade, Deji; Banerjee, Sanjay

    Molybdenum disulfide (MoS2) is a 2D semiconductor in the family of transition metal dichalcogenides (TMDs). Its single layer direct bandgap of 1.8 eV allows for high ION/IOFF metal-oxide semiconducting field-effect transistors (FETs). More relevant for radio frequency (RF) wireless applications, theoretical studies predict MoS2 to have saturation velocities, vsat >3×106 cm/s. Facilitated by cm-scale CVD MoS2, here we design and fabricate both top-gated and embedded gate short channel MoS2 RF transistors, and provide a systematic comparison of channel length scaling, extrinsic doping from oxygen-deficient dielectrics, and a gate-first gate-last process flow. The intrinsic fT (fmax) obtained from the embedded gate transistors shows 3X (2X) improvement over top-gated CVD MoS2 RF FETs, and the largest high-field saturation velocity, vsat = 1.88 ×106 cm/s, in MoS2 reported so far. The gate-first approach, offers enhancement mode operation, ION/IOFF ratio of 10, 8< and the highest reported transconductance (gm) of 70 μS/ μm. By manipulating the interfacial oxygen vacancies in atomic layer deposited (ALD) HfO2-x we are able to achieve 2X current density over stoichiometric Al2O3. We demonstrate a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  11. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    DTIC Science & Technology

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  12. Atomic layer-by-layer thermoelectric conversion in topological insulator bismuth/antimony tellurides.

    PubMed

    Sung, Ji Ho; Heo, Hoseok; Hwang, Inchan; Lim, Myungsoo; Lee, Donghun; Kang, Kibum; Choi, Hee Cheul; Park, Jae-Hoon; Jhi, Seung-Hoon; Jo, Moon-Ho

    2014-07-09

    Material design for direct heat-to-electricity conversion with substantial efficiency essentially requires cooperative control of electrical and thermal transport. Bismuth telluride (Bi2Te3) and antimony telluride (Sb2Te3), displaying the highest thermoelectric power at room temperature, are also known as topological insulators (TIs) whose electronic structures are modified by electronic confinements and strong spin-orbit interaction in a-few-monolayers thickness regime, thus possibly providing another degree of freedom for electron and phonon transport at surfaces. Here, we explore novel thermoelectric conversion in the atomic monolayer steps of a-few-layer topological insulating Bi2Te3 (n-type) and Sb2Te3 (p-type). Specifically, by scanning photoinduced thermoelectric current imaging at the monolayer steps, we show that efficient thermoelectric conversion is accomplished by optothermal motion of hot electrons (Bi2Te3) and holes (Sb2Te3) through 2D subbands and topologically protected surface states in a geometrically deterministic manner. Our discovery suggests that the thermoelectric conversion can be interiorly achieved at the atomic steps of a homogeneous medium by direct exploiting of quantum nature of TIs, thus providing a new design rule for the compact thermoelectric circuitry at the ultimate size limit.

  13. Femtosecond laser rapid fabrication of large-area rose-like micropatterns on freestanding flexible graphene films

    PubMed Central

    Shi, Xuesong; Li, Xin; Jiang, Lan; Qu, Liangti; Zhao, Yang; Ran, Peng; Wang, Qingsong; Cao, Qiang; Ma, Tianbao; Lu, Yongfeng

    2015-01-01

    We developed a simple, scalable and high-throughput method for fabrication of large-area three-dimensional rose-like microflowers with controlled size, shape and density on graphene films by femtosecond laser micromachining. The novel biomimetic microflower that composed of numerous turnup graphene nanoflakes can be fabricated by only a single femtosecond laser pulse, which is efficient enough for large-area patterning. The graphene films were composed of layer-by-layer graphene nanosheets separated by nanogaps (~10–50 nm), and graphene monolayers with an interlayer spacing of ~0.37 nm constituted each of the graphene nanosheets. This unique hierarchical layering structure of graphene films provides great possibilities for generation of tensile stress during femtosecond laser ablation to roll up the nanoflakes, which contributes to the formation of microflowers. By a simple scanning technique, patterned surfaces with controllable densities of flower patterns were obtained, which can exhibit adhesive superhydrophobicity. More importantly, this technique enables fabrication of the large-area patterned surfaces at centimeter scales in a simple and efficient way. This study not only presents new insights of ultrafast laser processing of novel graphene-based materials but also shows great promise of designing new materials combined with ultrafast laser surface patterning for future applications in functional coatings, sensors, actuators and microfluidics. PMID:26615800

  14. A green synthesis method for large area silver thin film containing nanoparticles.

    PubMed

    Shinde, N M; Lokhande, A C; Lokhande, C D

    2014-07-05

    The green synthesis method is inexpensive and convenient for large area deposition of thin films. For the first time, a green synthesis method for large area silver thin film containing nanoparticles is reported. Silver nanostructured films are deposited using silver nitrate solution and guava leaves extract. The study confirmed that the reaction time plays a key role in the growth and shape/size control of silver nanoparticles. The properties of silver films are studied using UV-visible spectrophotometer, scanning electron microscopy (SEM), X-ray diffraction (XRD), atomic force microscopy (AFM), contact angle, Fourier-transform Raman (FT-Raman) spectroscopy and Photoluminescence (PL) techniques. Finally, as an application, these films are used effectively in antibacterial activity study. Copyright © 2014 Elsevier B.V. All rights reserved.

  15. Enhanced Self-Organized Dewetting of Ultrathin Polymer Blend Film for Large-Area Fabrication of SERS Substrate.

    PubMed

    Zhang, Huanhuan; Xu, Lin; Xu, Yabo; Huang, Gang; Zhao, Xueyu; Lai, Yuqing; Shi, Tongfei

    2016-12-06

    We study the enhanced dewetting of ultrathin Polystyrene (PS)/Poly (methyl methacrylate) (PMMA) blend films in a mixed solution, and reveal the dewetting can act as a simple and effective method to fabricate large-area surface-enhanced Raman scattering (SERS) substrate. A bilayer structure consisting of under PMMA layer and upper PS layer forms due to vertical phase separation of immiscible PS/PMMA during the spin-coating process. The thicker layer of the bilayer structure dominates the dewetting structures of PS/PMMA blend films. The diameter and diameter distribution of droplets, and the average separation spacing between the droplets can be precisely controlled via the change of blend ratio and film thickness. The dewetting structure of 8 nm PS/PMMA (1:1 wt%) blend film is proved to successfully fabricate large-area (3.5 cm × 3.5 cm) universal SERS substrate via deposited a silver layer on the dewetting structure. The SERS substrate shows good SERS-signal reproducibility (RSD < 7.2%) and high enhancement factor (2.5 × 10 7 ). The enhanced dewetting of polymer blend films broadens the application of dewetting of polymer films, especially in the nanotechnology, and may open a new approach for the fabrication of large-area SERS substrate to promote the application of SERS substrate in the rapid sensitive detection of trace molecules.

  16. Enhanced Self-Organized Dewetting of Ultrathin Polymer Blend Film for Large-Area Fabrication of SERS Substrate

    PubMed Central

    Zhang, Huanhuan; Xu, Lin; Xu, Yabo; Huang, Gang; Zhao, Xueyu; Lai, Yuqing; Shi, Tongfei

    2016-01-01

    We study the enhanced dewetting of ultrathin Polystyrene (PS)/Poly (methyl methacrylate) (PMMA) blend films in a mixed solution, and reveal the dewetting can act as a simple and effective method to fabricate large-area surface-enhanced Raman scattering (SERS) substrate. A bilayer structure consisting of under PMMA layer and upper PS layer forms due to vertical phase separation of immiscible PS/PMMA during the spin-coating process. The thicker layer of the bilayer structure dominates the dewetting structures of PS/PMMA blend films. The diameter and diameter distribution of droplets, and the average separation spacing between the droplets can be precisely controlled via the change of blend ratio and film thickness. The dewetting structure of 8 nm PS/PMMA (1:1 wt%) blend film is proved to successfully fabricate large-area (3.5 cm × 3.5 cm) universal SERS substrate via deposited a silver layer on the dewetting structure. The SERS substrate shows good SERS-signal reproducibility (RSD < 7.2%) and high enhancement factor (2.5 × 107). The enhanced dewetting of polymer blend films broadens the application of dewetting of polymer films, especially in the nanotechnology, and may open a new approach for the fabrication of large-area SERS substrate to promote the application of SERS substrate in the rapid sensitive detection of trace molecules. PMID:27922062

  17. Fabrication of dense wavelength division multiplexing filters with large useful area

    NASA Astrophysics Data System (ADS)

    Lee, Cheng-Chung; Chen, Sheng-Hui; Hsu, Jin-Cherng; Kuo, Chien-Cheng

    2006-08-01

    Dense Wavelength Division Multiplexers (DWDM), a kind of narrow band-pass filter, are extremely sensitive to the optical thickness error in each composite layer. Therefore to have a large useful coating area is extreme difficult because of the uniformity problem. To enlarge the useful coating area it is necessary to improve their design and their fabrication. In this study, we discuss how the tooling factors at different positions and for different materials are related to the optical performance of the design. 100GHz DWDM filters were fabricated by E-gun evaporation with ion-assisted deposition (IAD). To improve the coating uniformity, an analysis technique called shaping tooling factor (STF) was used to analyze the deviation of the optical thickness in different materials so as to enlarge the useful coating area. Also a technique of etching the deposited layers with oxygen ions was introduced. When the above techniques were applied in the fabrication of 100 GHz DWDM filters, the uniformity was better than +/-0.002% over an area of 72 mm in diameter and better than +/-0.0006% over 20mm in diameter.

  18. Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken

    2015-11-15

    Atomic layer deposition (ALD) relies on a sequence of self-limiting surface reactions for thin film growth. The effect of non-ALD side reactions, from insufficient purging between pulses and from precursor self-decomposition, on film growth is well known. In this article, precursor condensation within an ALD valve is described, and the effect of the continuous precursor source from condensate evaporation on ALD growth is discussed. The influence of the ALD valve temperature on growth and electrical resistivity of ZrN plasma enhanced ALD (PEALD) films is reported. Increasing ALD valve temperature from 75 to 95 °C, with other process parameters being identical, decreasedmore » both the growth per cycle and electrical resistivity (ρ) of ZrN PEALD films from 0.10 to 0.07 nm/cycle and from 560 to 350 μΩ cm, respectively. Our results show that the non-ALD growth resulting from condensate accumulation is eliminated at valve temperatures close to the pressure corrected boiling point of precursor.« less

  19. SELF ALIGNED TIP DEINSULATION OF ATOMIC LAYER DEPOSITED AL2O3 AND PARYLENE C COATED UTAH ELECTRODE ARRAY BASED NEURAL INTERFACES

    PubMed Central

    Xie, Xianzong; Rieth, Loren; Negi, Sandeep; Bhandari, Rajmohan; Caldwell, Ryan; Sharma, Rohit; Tathireddy, Prashant; Solzbacher, Florian

    2014-01-01

    The recently developed alumina and Parylene C bi-layer encapsulation improved the lifetime of neural interfaces. Tip deinsulation of Utah electrode array based neural interfaces is challenging due to the complex 3D geometries and high aspect ratios of the devices. A three-step self-aligned process was developed for tip deinsulation of bilayer encapsulated arrays. The deinsulation process utilizes laser ablation to remove Parylene C, O2 reactive ion etching to remove carbon and Parylene residues, and buffered oxide etch to remove alumina deposited by atomic layer deposition, and expose the IrOx tip metallization. The deinsulated iridium oxide area was characterized by scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, and electrochemical impedance spectroscopy to determine the morphology, surface morphology, composition, and electrical properties of the deposited layers and deinsulated tips. The alumina layer was found to prevent the formation of micro cracks on iridium oxide during the laser ablation process, which has been previously reported as a challenge for laser deinsulation of Parylene films. The charge injection capacity, charge storage capacity, and impedance of deinsulated iridium oxide were characterized to determine the deinsulation efficacy compared to Parylene-only insulation. Deinsulated iridium oxide with bilayer encapsulation had higher charge injection capacity (240 vs 320 nC) and similar electrochemical impedance (2.5 vs 2.5 kΩ) compared to deinsulated iridium oxide with only Parylene coating for an area of 2 × 10−4 cm2. Tip impedances were in the ranges of 20 to 50 kΩ, with median of 32 KΩ and standard deviation of 30 kΩ, showing the effectiveness of the self-aligned deinsulation process for alumina and Parylene C bi-layer encapsulation. The relatively uniform tip impedance values demonstrated the consistency of tip exposures. PMID:24771981

  20. Charge renormalization at the large-D limit for N-electron atoms and weakly bound systems

    NASA Astrophysics Data System (ADS)

    Kais, S.; Bleil, R.

    1995-05-01

    We develop a systematic way to determine an effective nuclear charge ZRD such that the Hartree-Fock results will be significantly closer to the exact energies by utilizing the analytically known large-D limit energies. This method yields an expansion for the effective nuclear charge in powers of (1/D), which we have evaluated to the first order. This first order approximation to the desired effective nuclear charge has been applied to two-electron atoms with Z=2-20, and weakly bound systems such as H-. The errors for the two-electron atoms when compared with exact results were reduced from ˜0.2% for Z=2 to ˜0.002% for large Z. Although usual Hartree-Fock calculations for H- show this to be unstable, our results reduce the percent error of the Hartree-Fock energy from 7.6% to 1.86% and predicts the anion to be stable. For N-electron atoms (N=3-18, Z=3-28), using only the zeroth order approximation for the effective charge significantly reduces the error of Hartree-Fock calculations and recovers more than 80% of the correlation energy.

  1. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    NASA Astrophysics Data System (ADS)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  2. Enhancing of catalytic properties of vanadia via surface doping with phosphorus using atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Strempel, Verena E.; Naumann d'Alnoncourt, Raoul, E-mail: r.naumann@bascat.tu-berlin.de; Löffler, Daniel

    2016-01-15

    Atomic layer deposition is mainly used to deposit thin films on flat substrates. Here, the authors deposit a submonolayer of phosphorus on V{sub 2}O{sub 5} in the form of catalyst powder. The goal is to prepare a model catalyst related to the vanadyl pyrophosphate catalyst (VO){sub 2}P{sub 2}O{sub 7} industrially used for the oxidation of n-butane to maleic anhydride. The oxidation state of vanadium in vanadyl pyrophosphate is 4+. In literature, it was shown that the surface of vanadyl pyrophosphate contains V{sup 5+} and is enriched in phosphorus under reaction conditions. On account of this, V{sub 2}O{sub 5} with themore » oxidation state of 5+ for vanadium partially covered with phosphorus can be regarded as a suitable model catalyst. The catalytic performance of the model catalyst prepared via atomic layer deposition was measured and compared to the performance of catalysts prepared via incipient wetness impregnation and the original V{sub 2}O{sub 5} substrate. It could be clearly shown that the dedicated deposition of phosphorus by atomic layer deposition enhances the catalytic performance of V{sub 2}O{sub 5} by suppression of total oxidation reactions, thereby increasing the selectivity to maleic anhydride.« less

  3. Atomic Layer Deposited (ALD) coatings for future astronomical telescopes: recent developments

    NASA Astrophysics Data System (ADS)

    Moore, Christopher Samuel; Hennessy, John; Jewell, April D.; Nikzad, Shouleh; France, Kevin

    2016-07-01

    Atomic Layer Deposition (ALD) can create conformal, near stoichiometric and pinhole free transmissive metal fluoride coatings to protect reflective aluminum films. Spectral performance of astronomical mirror coatings strongly affect the science capabilities of astronomical satellite missions. We are utilizing ALD to create a transmissive overcoat to protect aluminum film mirrors from oxidation with the goal of achieving high reflectance (> 80%) from the UV ( 100 nm) to the IR ( 2,000 nm). This paper summarizes the recent developments of ALD aluminum fluoride (AlF3) coatings on Al. Reflectance measurements of aluminum mirrors protected by ALD AlF3 and future applications are discussed. These measurements demonstrate that Al + ALD AlF3, even with an interfacial oxide layer of a few nanometers, can provide higher reflectance than Al protected by traditional physical vapor deposited MgF2 without an oxide layer, below 115 nm.

  4. Investigation of substrate-mounted thin-film meteoroid sensors for use in large area impact experiments

    NASA Technical Reports Server (NTRS)

    Carollo, S. F.; Davis, J. M.; Dance, W. E.

    1973-01-01

    Two types of sensor designs were investigated: (1)a polysulfone dielectric film with vapor-deposited aluminum and gold sensor plates, bonded to a relatively thick aluminum substrate, and (2) an aluminum oxide (A1203) dielectric layer prepared on an aluminum substrate by anodization, with a layer of vapor-deposited aluminum providing one sensor plate and the substrate serving as the other plate. In the first design, specimens were prepared which indicate the state of the art for application of this type of sensor for elements of a meteoroid detection system having an area as large as 10 sq M. Techniques were investigated for casting large-area polysulfone films on the surface of water and for transferring the films from the water. Methods of preparing sensors by layering of films, the deposition of capacitor plates, and sensor film-to-substrate bonding, as well as techniques for making electrical connections to the capacitor plates, were studied.

  5. Atomic layer deposition in nanostructured photovoltaics: tuning optical, electronic and surface properties

    NASA Astrophysics Data System (ADS)

    Palmstrom, Axel F.; Santra, Pralay K.; Bent, Stacey F.

    2015-07-01

    Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.

  6. Transition metal nitride coated with atomic layers of Pt as a low-cost, highly stable electrocatalyst for the oxygen reduction reaction

    DOE PAGES

    Tian, Xinlong; Adzic, Radoslav R.; Luo, Junming; ...

    2016-02-10

    Here, the main challenges to the commercial viability of polymer electrolyte membrane fuel cells are (i) the high cost associated with using large amounts of Pt in fuel cell cathodes to compensate for the sluggish kinetics of the oxygen reduction reaction, (ii) catalyst degradation, and (iii) carbon-support corrosion. To address these obstacles, our group has focused on robust, carbon-free transition metal nitride materials with low Pt content that exhibit tunable physical and catalytic properties. Here, we report on the high performance of a novel catalyst with low Pt content, prepared by placing several layers of Pt atoms on nanoparticles ofmore » titanium nickel binary nitride. For the ORR, the catalyst exhibited a more than 400% and 200% increase in mass activity and specific activity, respectively, compared with the commercial Pt/C catalyst. It also showed excellent stability/durability, experiencing only a slight performance loss after 10,000 potential cycles, while TEM results showed its structure had remained intact. The catalyst’s outstanding performance may have resulted from the ultrahigh dispersion of Pt (several atomic layers coated on the nitride nanoparticles), and the excellent stability/durability may have been due to the good stability of nitride and synergetic effects between ultrathin Pt layer and the robust TiNiN support.« less

  7. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    PubMed

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  8. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu; Tweet, Douglas J.

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in amore » sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.« less

  9. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  10. Symmetric large momentum transfer for atom interferometry with BECs

    NASA Astrophysics Data System (ADS)

    Abend, Sven; Gebbe, Martina; Gersemann, Matthias; Rasel, Ernst M.; Quantus Collaboration

    2017-04-01

    We develop and demonstrate a novel scheme for a symmetric large momentum transfer beam splitter for interferometry with Bose-Einstein condensates. Large momentum transfer beam splitters are a key technique to enhance the scaling factor and sensitivity of an atom interferometer and to create largely delocalized superposition states. To realize the beam splitter, double Bragg diffraction is used to create a superposition of two symmetric momentum states. Afterwards both momentum states are loaded into a retro-reflected optical lattice and accelerated by Bloch oscillations on opposite directions, keeping the initial symmetry. The favorable scaling behavior of this symmetric acceleration, allows to transfer more than 1000 ℏk of total differential splitting in a single acceleration sequence of 6 ms duration while we still maintain a fraction of approx. 25% of the initial atom number. As a proof of the coherence of this beam splitter, contrast in a closed Mach-Zehnder atom interferometer has been observed with up to 208 ℏk of momentum separation, which equals a differential wave-packet velocity of approx. 1.1 m/s for 87Rb. The presented work is supported by the CRC 1128 geo-Q and the DLR with funds provided by the Federal Ministry of Economic Affairs and Energy (BMWi) due to an enactment of the German Bundestag under Grant No. DLR 50WM1552-1557 (QUANTUS-IV-Fallturm).

  11. Atomic layer deposited cobalt oxide: An efficient catalyst for NaBH{sub 4} hydrolysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, Dip K.; Manna, Joydev; Dhara, Arpan

    2016-01-15

    Thin films of cobalt oxide are deposited by atomic layer deposition using dicobalt octacarbonyl [Co{sub 2}(CO){sub 8}] and ozone (O{sub 3}) at 50 °C on microscope glass substrates and polished Si(111) wafers. Self-saturated growth mechanism is verified by x-ray reflectivity measurements. As-deposited films consist of both the crystalline phases; CoO and Co{sub 3}O{sub 4} that gets converted to pure cubic-Co{sub 3}O{sub 4} phase upon annealing at 500 °C under ambient condition. Elemental composition and uniformity of the films is examined by x-ray photoelectron spectroscopy and secondary ion-mass spectroscopy. Both as-deposited and the annealed films have been successfully tested as a catalyst formore » hydrogen evolution from sodium borohydride hydrolysis. The activation energy of the hydrolysis reaction in the presence of the as-grown catalyst is found to be ca. 38 kJ mol{sup −1}. Further implementation of multiwalled carbon nanotube, as a scaffold layer, improves the hydrogen generation rate by providing higher surface area of the deposited catalyst.« less

  12. Preparation of gallium nitride surfaces for atomic layer deposition of aluminum oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kerr, A. J.; Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093; Chagarov, E.

    2014-09-14

    A combined wet and dry cleaning process for GaN(0001) has been investigated with XPS and DFT-MD modeling to determine the molecular-level mechanisms for cleaning and the subsequent nucleation of gate oxide atomic layer deposition (ALD). In situ XPS studies show that for the wet sulfur treatment on GaN(0001), sulfur desorbs at room temperature in vacuum prior to gate oxide deposition. Angle resolved depth profiling XPS post-ALD deposition shows that the a-Al{sub 2}O{sub 3} gate oxide bonds directly to the GaN substrate leaving both the gallium surface atoms and the oxide interfacial atoms with XPS chemical shifts consistent with bulk-like charge.more » These results are in agreement with DFT calculations that predict the oxide/GaN(0001) interface will have bulk-like charges and a low density of band gap states. This passivation is consistent with the oxide restoring the surface gallium atoms to tetrahedral bonding by eliminating the gallium empty dangling bonds on bulk terminated GaN(0001)« less

  13. Fabrication of AlN/BN bishell hollow nanofibers by electrospinning and atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haider, Ali; Kayaci, Fatma; Uyar, Tamer

    2014-09-01

    Aluminum nitride (AlN)/boron nitride (BN) bishell hollow nanofibers (HNFs) have been fabricated by successive atomic layer deposition (ALD) of AlN and sequential chemical vapor deposition (CVD) of BN on electrospun polymeric nanofibrous template. A four-step fabrication process was utilized: (i) fabrication of polymeric (nylon 6,6) nanofibers via electrospinning, (ii) hollow cathode plasma-assisted ALD of AlN at 100 °C onto electrospun polymeric nanofibers, (iii) calcination at 500 °C for 2 h in order to remove the polymeric template, and (iv) sequential CVD growth of BN at 450 °C. AlN/BN HNFs have been characterized for their chemical composition, surface morphology, crystal structure, and internal nanostructuremore » using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, energy dispersive X-ray spectroscopy, and selected area electron diffraction. Measurements confirmed the presence of crystalline hexagonal BN and AlN within the three dimensional (3D) network of bishell HNFs with relatively low impurity content. In contrast to the smooth surface of the inner AlN layer, outer BN coating showed a highly rough 3D morphology in the form of BN nano-needle crystallites. It is shown that the combination of electrospinning and plasma-assisted low-temperature ALD/CVD can produce highly controlled multi-layered bishell nitride ceramic hollow nanostructures. While electrospinning enables easy fabrication of nanofibrous template, self-limiting reactions of plasma-assisted ALD and sequential CVD provide control over the wall thicknesses of AlN and BN layers with sub-nanometer accuracy.« less

  14. Core-shell-structured nanothermites synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Qin, Lijun; Gong, Ting; Hao, Haixia; Wang, Keyong; Feng, Hao

    2013-12-01

    Thermite materials feature very exothermic solid-state redox reactions. However, the energy release rates of traditional thermite mixtures are limited by the reactant diffusion velocities. In this work, atomic layer deposition (ALD) is utilized to synthesize thermite materials with greatly enhanced reaction rates. By depositing certain types of metal oxides (oxidizers) onto a commercial Al nanopowder, core-shell-structured nanothermites can be produced. The average film deposition rate on the Al nanopowder is 0.17 nm/cycle for ZnO and 0.031 nm/cycle for SnO2. The thickness of the oxidizer layer can be precisely controlled by adjusting the ALD cycle number. The compositions, morphologies, and structures of the ALD nanothermites are characterized by X-ray photoelectron spectroscopy, scanning electron microscopy, and high-resolution transmission electron microscopy. The characterization results reveal nearly perfect coverage of the Al nanoparticles by uniform ALD oxidizer layers and confirm the formation of core-shell nanoparticles. Combustion properties of the nanothermites are probed by laser ignition technique. Reactions of the core-shell-structured nanothermites are several times faster than the mixture of nanopowders. The promoted reaction rate is mostly attributed to the uniform distribution of reactants on the nanometer scale. These core-shell-structured nanothermites provide a potential pathway to control and enhance thermite reactions.

  15. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    PubMed

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  16. Appraisal of an Array TEM Method in Detecting a Mined-Out Area Beneath a Conductive Layer

    NASA Astrophysics Data System (ADS)

    Li, Hai; Xue, Guo-qiang; Zhou, Nan-nan; Chen, Wei-ying

    2015-10-01

    The transient electromagnetic method has been extensively used for the detection of mined-out area in China for the past few years. In the cases that the mined-out area is overlain by a conductive layer, the detection of the target layer is difficult with a traditional loop source TEM method. In order to detect the target layer in this condition, this paper presents a newly developed array TEM method, which uses a grounded wire source. The underground current density distribution and the responses of the grounded wire source TEM configuration are modeled to demonstrate that the target layer is detectable in this condition. The 1D OCCAM inversion routine is applied to the synthetic single station data and common middle point gather. The result reveals that the electric source TEM method is capable of recovering the resistive target layer beneath the conductive overburden. By contrast, the conductive target layer cannot be recovered unless the distance between the target layer and the conductive overburden is large. Compared with inversion result of the single station data, the inversion of common middle point gather can better recover the resistivity of the target layer. Finally, a case study illustrates that the array TEM method is successfully applied in recovering a water-filled mined-out area beneath a conductive overburden.

  17. Plasma-enhanced atomic layer deposition for plasmonic TiN

    NASA Astrophysics Data System (ADS)

    Otto, Lauren M.; Hammack, Aaron T.; Aloni, Shaul; Ogletree, D. Frank; Olynick, Deirdre L.; Dhuey, Scott; Stadler, Bethanie J. H.; Schwartzberg, Adam M.

    2016-09-01

    This work presents the low temperature plasma-enhanced atomic layer deposition (PE-ALD) of TiN, a promising plasmonic synthetic metal. The plasmonics community has immediate needs for alternatives to traditional plasmonic materials (e.g. Ag and Au), which lack chemical, thermal, and mechanical stability. Plasmonic alloys and synthetic metals have significantly improved stability, but their growth can require high-temperatures (>400 °C), and it is difficult to control the thickness and directionality of the resulting film, especially on technologically important substrates. Such issues prevent the application of alternative plasmonic materials for both fundamental studies and large-scale industrial applications. Alternatively, PE-ALD allows for conformal deposition on a variety of substrates with consistent material properties. This conformal coating will allow the creation of exotic three-dimensional structures, and low-temperature deposition techniques will provide unrestricted usage across a variety of platforms. The characterization of this new plasmonic material was performed with in-situ spectroscopic ellipsometry as well as Auger electron spectroscopy for analysis of TiN film sensitivity to oxide cross-contamination. Plasmonic TiN films were fabricated, and a chlorine plasma etch was found to pattern two dimensional gratings as a test structure. Optical measurements of 900 nm period gratings showed reasonable agreement with theoretical modeling of the fabricated structures, indicating that ellipsometry models of the TiN were indeed accurate.

  18. Broadband atomic-layer MoS2 optical modulators for ultrafast pulse generations in the visible range.

    PubMed

    Zhang, Yuxia; Yu, Haohai; Zhang, Rui; Zhao, Gang; Zhang, Huaijin; Chen, Yanxue; Mei, Liangmo; Tonelli, Mauro; Wang, Jiyang

    2017-02-01

    Visible lasers are a fascinating regime, and their significance is illustrated by the 2014 Noble prizes in physics and chemistry. With the development of blue laser diodes (LDs), the LD-pumped solid-state visible lasers become a burgeoning direction today. Constrained by the scarce visible optical modulators, the solid-state ultrafast visible lasers are rarely realized. Based on the bandgap structure and optoelectronic properties of atomic-layer MoS2, it can be proposed that MoS2 has the potential as a visible optical modulator. Here, by originally revealing layer-dependent nonlinear absorption of the atomic-layer MoS2 in the visible range, broadband atomic-layer MoS2 optical modulators for the visible ultrafast pulse generation are developed and selected based on the proposed design criteria for novel two-dimensional (2D) optical modulators. By applying the selected MoS2 optical modulators in the solid-state praseodymium lasers, broadband mode-locked ultrafast lasers from 522 to 639 nm are originally realized. We believe that this Letter should promote the development of visible ultrafast photonics and further applications of 2D optoelectronic materials.

  19. Atomic Layer Deposition on Gram Quantities of Multi-Walled Carbon Nanotubes

    DTIC Science & Technology

    2009-06-03

    the amount of reactant that is lost to the vacuum pump . Recent work has demonstrated the feasibility of ALD on gram quantities of nanopowders in a...and left to outgas under vacuum for 24 h. Vacuum was obtained using a dual-stage rotary vane pump . Pressure was monitored with a Baratron capacitance...Atomic layer deposition on gram quantities of multi-walled carbon nanotubes This article has been downloaded from IOPscience. Please scroll down to

  20. Large-scale synthesis of high-quality hexagonal boron nitride nanosheets for large-area graphene electronics.

    PubMed

    Lee, Kang Hyuck; Shin, Hyeon-Jin; Lee, Jinyeong; Lee, In-yeal; Kim, Gil-Ho; Choi, Jae-Young; Kim, Sang-Woo

    2012-02-08

    Hexagonal boron nitride (h-BN) has received a great deal of attention as a substrate material for high-performance graphene electronics because it has an atomically smooth surface, lattice constant similar to that of graphene, large optical phonon modes, and a large electrical band gap. Herein, we report the large-scale synthesis of high-quality h-BN nanosheets in a chemical vapor deposition (CVD) process by controlling the surface morphologies of the copper (Cu) catalysts. It was found that morphology control of the Cu foil is much critical for the formation of the pure h-BN nanosheets as well as the improvement of their crystallinity. For the first time, we demonstrate the performance enhancement of CVD-based graphene devices with large-scale h-BN nanosheets. The mobility of the graphene device on the h-BN nanosheets was increased 3 times compared to that without the h-BN nanosheets. The on-off ratio of the drain current is 2 times higher than that of the graphene device without h-BN. This work suggests that high-quality h-BN nanosheets based on CVD are very promising for high-performance large-area graphene electronics. © 2012 American Chemical Society

  1. Studies of Large-Area Inversion-Layer Metal-Insulator-Semiconductor (IL/MIS) Solar Cells and Arrays

    NASA Technical Reports Server (NTRS)

    Ho, Fat Duen

    1996-01-01

    Many inversion-layer metal-insulator-semiconductor (IL/MIS) solar cells have been fabricated. There are around eighteen 1 cm(exp 2) IL/MIS solar cells which have efficiencies greater than 7%. There are only about three 19 cm(exp 2) IL/MIS cells which have efficiencies greater than 4%. The more accurate control of the thickness of the thin layer of oxide between aluminum and silicon of the MIS contacts has been achieved. A lot of effort and progress have been made in this area. A comprehensive model for MIS contacts under dark conditions has been developed that covers a wide range of parameters. It has been applied to MIS solar cells. One of the main advantages of these models is the prediction of the range of the thin oxide thickness versus the maximum efficiencies of the MIS solar cells. This is particularly important when the thickness is increased to 25 A. This study is very useful for our investigation of the IL/MIS solar cells. The two-dimensional numerical model for the IL/MIS solar cells has been tried to develop and the results are presented in this report.

  2. Molecular beam epitaxy of large-area SnSe2 with monolayer thickness fluctuation

    NASA Astrophysics Data System (ADS)

    Park, Young Woon; Jerng, Sahng-Kyoon; Jeon, Jae Ho; Roy, Sanjib Baran; Akbar, Kamran; Kim, Jeong; Sim, Yumin; Seong, Maeng-Je; Kim, Jung Hwa; Lee, Zonghoon; Kim, Minju; Yi, Yeonjin; Kim, Jinwoo; Noh, Do Young; Chun, Seung-Hyun

    2017-03-01

    The interest in layered materials is largely based on the expectation that they will be beneficial for a variety of applications, from low-power-consuming, wearable electronics to energy harvesting. However, the properties of layered materials are highly dependent on thickness, and the difficulty of controlling thickness over a large area has been a bottleneck for commercial applications. Here, we report layer-by-layer growth of SnSe2, a layered semiconducting material, via van der Waals epitaxy. The films were fabricated on insulating mica substrates with substrate temperatures in the range of 210 °C-370 °C. The surface consists of a mixture of N and (N ± 1) layers, showing that the thickness of the film can be defined with monolayer accuracy (±0.6 nm). High-resolution transmission electron microscopy reveals a polycrystalline film with a grain size of ˜100 nm and clear Moiré patterns from overlapped grains with similar thickness. We also report field effect mobility values of 3.7 cm2 V-1 s-1 and 6.7 cm2 V-1 s-1 for 11 and 22 nm thick SnSe2, respectively. SnSe2 films with customizable thickness can provide valuable platforms for industry and academic researchers to fully exploit the potential of layered materials.

  3. Wide-band 'black silicon' with atomic layer deposited NbN.

    PubMed

    Isakov, Kirill; Perros, Alexander Pyymaki; Shah, Ali; Lipsanen, Harri

    2018-08-17

    Antireflection surfaces are often utilized in optical components to reduce undesired reflection and increase absorption. We report on black silicon (b-Si) with dramatically enhanced absorption over a broad wavelength range (250-2500 nm) achieved by applying a 10-15 nm conformal coating of NbN with atomic layer deposition (ALD). The improvement is especially pronounced in the near infrared (NIR) range of 1100-2500 nm where absorption is increased by >90%. A significant increase of absorption is also observed over the ultraviolet range of 200-400 nm. Preceding NbN deposition with a nanostructured ALD Al 2 O 3 (n-Al 2 O 3 ) coating to enhance the NbN texture was also examined. Such texturing further improves absorption in the NIR, especially at longer wavelengths, strong absorption up to 4-5 μm wavelengths has been attested. For comparison, double side polished silicon and sapphire coated with 10 nm thick NbN exhibited absorption of only ∼55% in the NIR range of 1100-2500 nm. The results suggest a positive correlation between the surface area of NbN coating and optical absorption. Based on the wide-band absorption, the presented NbN-coated b-Si may be an attractive candidate for use in e.g. spectroscopic systems, infrared microbolometers.

  4. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    NASA Astrophysics Data System (ADS)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  5. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  6. Photovoltaic Cell Having A P-Type Polycrystalline Layer With Large Crystals

    DOEpatents

    Albright, Scot P.; Chamberlin, Rhodes R.

    1996-03-26

    A photovoltaic cell has an n-type polycrystalline layer and a p-type polycrystalline layer adjoining the n-type polycrystalline layer to form a photovoltaic junction. The p-type polycrystalline layer comprises a substantially planar layer portion having relatively large crystals adjoining the n-type polycrystalline layer. The planar layer portion includes oxidized impurities which contribute to obtainment of p-type electrical properties in the planar layer portion.

  7. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    PubMed

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  8. Tuning negative differential resistance in single-atomic layer boron-silicon sheets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Ming-Yue; Liu, Chun-Sheng, E-mail: csliu@njupt.edu.cn, E-mail: yanxh@njupt.edu.cn; Key Laboratory of Radio Frequency and Micro-Nano Electronics of Jiangsu Province, Nanjing 210023, Jiangsu

    2015-03-21

    Using density functional theory and nonequilibrium Green's function formalism for quantum transport calculation, we have quantified the ballistic transport properties along different directions in two-dimensional boron-silicon (B-Si) compounds, as well as the current response to bias voltage. The conductance of the most B-Si devices is higher than the conductance of one-atom-thick boron and silicene. Furthermore, the negative differential resistance phenomenon can be found at certain B-Si stoichiometric composition, and it occurs at various bias voltages. Also, the peak-to-valley ratio is sensitive to the B-Si composition and dependent of the direction considered for B-Si monolayers. The present findings could be helpfulmore » for applications of the single-atomic layer B-Si sheets in the field of semiconductor devices or low-dimensional electronic devices.« less

  9. Circular Dichroism Control of Tungsten Diselenide (WSe2) Atomic Layers with Plasmonic Metamolecules.

    PubMed

    Lin, Hsiang-Ting; Chang, Chiao-Yun; Cheng, Pi-Ju; Li, Ming-Yang; Cheng, Chia-Chin; Chang, Shu-Wei; Li, Lance L J; Chu, Chih-Wei; Wei, Pei-Kuen; Shih, Min-Hsiung

    2018-05-09

    Controlling circularly polarized (CP) states of light is critical to the development of functional devices for key and emerging applications such as display technology and quantum communication, and the compact circular polarization-tunable photon source is one critical element to realize the applications in the chip-scale integrated system. The atomic layers of transition metal dichalcogenides (TMDCs) exhibit intrinsic CP emissions and are potential chiroptical materials for ultrathin CP photon sources. In this work, we demonstrated CP photon sources of TMDCs with device thicknesses approximately 50 nm. CP photoluminescence from the atomic layers of tungsten diselenide (WSe 2 ) was precisely controlled with chiral metamolecules (MMs), and the optical chirality of WSe 2 was enhanced more than 4 times by integrating with the MMs. Both the enhanced and reversed circular dichroisms had been achieved. Through integrations of the novel gain material and plasmonic structure which are both low-dimensional, a compact device capable of efficiently manipulating emissions of CP photon was realized. These ultrathin devices are suitable for important applications such as the optical information technology and chip-scale biosensing.

  10. Atomic layer deposition synthesized TiO{sub x} thin films and their application as microbolometer active materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanrikulu, Mahmud Yusuf, E-mail: mytanrikulu@adanabtu.edu.tr; Rasouli, Hamid Reza; Ghaffari, Mohammad

    2016-05-15

    This paper demonstrates the possible usage of TiO{sub x} thin films synthesized by atomic layer deposition as a microbolometer active material. Thin film electrical resistance is investigated as a function of thermal annealing. It is found that the temperature coefficient of resistance values can be controlled by coating/annealing processes, and the value as high as −9%/K near room temperature is obtained. The noise properties of TiO{sub x} films are characterized. It is shown that TiO{sub x} films grown by atomic layer deposition technique could have a significant potential to be used as a new active material for microbolometer-based applications.

  11. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    NASA Astrophysics Data System (ADS)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  13. Atomic layer deposition on phase-shift lithography generated photoresist patterns for 1D nanochannel fabrication.

    PubMed

    Güder, Firat; Yang, Yang; Krüger, Michael; Stevens, Gregory B; Zacharias, Margit

    2010-12-01

    A versatile, low-cost, and flexible approach is presented for the fabrication of millimeter-long, sub-100 nm wide 1D nanochannels with tunable wall properties (wall thickness and material) over wafer-scale areas on glass, alumina, and silicon surfaces. This approach includes three fabrication steps. First, sub-100 nm photoresist line patterns were generated by near-field contact phase-shift lithography (NFC-PSL) using an inexpensive homemade borosilicate mask (NFC-PSM). Second, various metal oxides were directly coated on the resist patterns with low-temperature atomic layer deposition (ALD). Finally, the remaining photoresist was removed via an acetone dip, and then planar nanochannel arrays were formed on the substrate. In contrast to all the previous fabrication routes, the sub-100 nm photoresist line patterns produced by NFC-PSL are directly employed as a sacrificial layer for the creation of nanochannels. Because both the NFC-PSL and the ALD deposition are highly reproducible processes, the strategy proposed here can be regarded as a general route for nanochannel fabrication in a simplified and reliable manner. In addition, the fabricated nanochannels were used as templates to synthesize various organic and inorganic 1D nanostructures on the substrate surface.

  14. Atomic-Level Sculpting of Crystalline Oxides: Toward Bulk Nanofabrication with Single Atomic Plane Precision

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jesse, Stephen; He, Qian; Lupini, Andrew R.

    2015-10-19

    We demonstrate atomic-level sculpting of 3D crystalline oxide nanostructures from metastable amorphous layer in a scanning transmission electron microscope (STEM). Strontium titanate nanostructures grow epitaxially from the crystalline substrate following the beam path. This method can be used for fabricating crystalline structures as small as 1-2 nm and the process can be observed in situ with atomic resolution. We further demonstrate fabrication of arbitrary shape structures via control of the position and scan speed of the electron beam. Combined with broad availability of the atomic resolved electron microscopy platforms, these observations suggest the feasibility of large scale implementation of bulkmore » atomic-level fabrication as a new enabling tool of nanoscience and technology, providing a bottom-up, atomic-level complement to 3D printing.« less

  15. Structural modifications of graphyne layers consisting of carbon atoms in the sp- and sp{sup 2}-hybridized states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenkov, E. A., E-mail: belenkov@csu.ru; Mavrinskii, V. V.; Belenkova, T. E.

    2015-05-15

    A model scheme is proposed for obtaining layered compounds consisting of carbon atoms in the sp- and (vnsp){sup 2}-hybridized states. This model is used to find the possibility of existing the following seven basic structural modifications of graphyne: α-, β1-, β2-, β3-, γ1-, γ2-, and γ3-graphyne. Polymorphic modifications β3 graphyne and γ3 graphyne are described. The basic structural modifications of graphyne contain diatomic polyyne chains and consist only of carbon atoms in two different crystallographically equivalent states. Other nonbasic structural modifications of graphyne can be formed via the elongation of the carbyne chains that connect three-coordinated carbon atoms and viamore » the formation of graphyne layers with a mixed structure consisting of basic layer fragments, such as α-β-graphyne, α-γ-graphyne, and β-γ-graphyne. The semiempirical quantum-mechanical MNDO, AM1, and PM3 methods and ab initio STO6-31G basis calculations are used to find geometrically optimized structures of the basic graphyne layers, their structural parameters, and energies of their sublimation. The energy of sublimation is found to be maximal for γ2-graphyne, which should be the most stable structural modification of graphyne.« less

  16. Investigating the Impact of Surface Heterogeneity on the Convective Boundary Layer Over Urban Areas Through Coupled Large-Eddy Simulation and Remote Sensing

    NASA Technical Reports Server (NTRS)

    Dominguez, Anthony; Kleissl, Jan P.; Luvall, Jeffrey C.

    2011-01-01

    Large-eddy Simulation (LES) was used to study convective boundary layer (CBL) flow through suburban regions with both large and small scale heterogeneities in surface temperature. Constant remotely sensed surface temperatures were applied at the surface boundary at resolutions of 10 m, 90 m, 200 m, and 1 km. Increasing the surface resolution from 1 km to 200 m had the most significant impact on the mean and turbulent flow characteristics as the larger scale heterogeneities became resolved. While previous studies concluded that scales of heterogeneity much smaller than the CBL inversion height have little impact on the CBL characteristics, we found that further increasing the surface resolution (resolving smaller scale heterogeneities) results in an increase in mean surface heat flux, thermal blending height, and potential temperature profile. The results of this study will help to better inform sub-grid parameterization for meso-scale meteorological models. The simulation tool developed through this study (combining LES and high resolution remotely sensed surface conditions) is a significant step towards future studies on the micro-scale meteorology in urban areas.

  17. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE PAGES

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; ...

    2017-04-05

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  18. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  19. Synthesis of platinum nanoparticle electrocatalysts by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lubers, Alia Marie

    Demand for energy continues to increase, and without alternatives to fossil fuel combustion the effects on our environment will become increasingly severe. Fuel cells offer a promising improvement on current methods of energy generation; they are able to convert hydrogen fuel into electricity with a theoretical efficiency of up to 83% and interface smoothly with renewable hydrogen production. Fuel cells can replace internal combustion engines in vehicles and are used in stationary applications to power homes and businesses. The efficiency of a fuel cell is maximized by its catalyst, which is often composed of platinum nanoparticles supported on carbon. Economical production of fuel cell catalysts will promote adoption of this technology. Atomic layer deposition (ALD) is a possible method for producing catalysts at a large scale when employed in a fluidized bed. ALD relies on sequential dosing of gas-phase precursors to grow a material layer by layer. We have synthesized platinum nanoparticles on a carbon particle support (Pt/C) by ALD for use in proton exchange membrane fuel cells (PEMFCs) and electrochemical hydrogen pumps. Platinum nanoparticles with different characteristics were deposited by changing two chemistries: the carbon substrate through functionalization; and the deposition process by use of either oxygen or hydrogen as ligand removing reactants. The metal depositing reactant was trimethyl(methylcyclopentadienyl)platinum(IV). Functionalizing the carbon substrate increased nucleation during deposition resulting in smaller and more dispersed nanoparticles. Use of hydrogen produced smaller nanoparticles than oxygen, due to a gentler hydrogenation reaction compared to using oxygen's destructive combustion reaction. Synthesized Pt/C materials were used as catalysts in an electrochemical hydrogen pump, a device used to separate hydrogen fuel from contaminants. Catalysts deposited by ALD on functionalized carbon using a hydrogen chemistry were the most

  20. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    NASA Astrophysics Data System (ADS)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  1. Fermi Large Area Telescope Observations of the Dark Accelerator HESS J1745-303

    NASA Astrophysics Data System (ADS)

    Yeung, Paul

    2016-12-01

    Reviewing the two MeV-GeV investigations in the field of the HESS J1745-303 performed using Fermi Large Area Telescope data, we confirmed that the emission peak comfortably coincides with ‘Region A’ in the TeV regime, which is the brightest part of this feature. The MeV-TeV spectrum can be precisely described by a single power-law. Also, recent investigation has shown that the MeV-GeV feature is elongated from ‘Region A’ toward the north-west, which is similar to the case of large- scale atomic/molecular gas distribution.

  2. Anomalous Insulator-Metal Transition in Boron Nitride-Graphene Hybrid Atomic Layers

    DTIC Science & Technology

    2012-08-13

    REPORT Anomalous insulator-metal transition in boron nitride-graphene hybrid atomic layers 14 . ABSTRACT 16. SECURITY CLASSIFICATION OF: The study of...from the DFT calculation. The calculated transmission through a N terminated zigzag edged h-BN nanodomain embedded in graphene is shown in Fig. 14 , with...Energy ε − ε F (eV) 0 0.5 1 1.5 2 Tr an sm is si on FIG. 14 . (Color online) Transmission through a N terminated zigzag edged h-BN nanodomain embedded in

  3. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  4. Inherent substrate-dependent growth initiation and selective-area atomic layer deposition of TiO{sub 2} using “water-free” metal-halide/metal alkoxide reactants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Atanasov, Sarah E.; Kalanyan, Berç; Parsons, Gregory N., E-mail: gnp@ncsu.edu

    2016-01-15

    Titanium dioxide atomic layer deposition (ALD) is shown to proceed selectively on oxidized surfaces with minimal deposition on hydrogen-terminated silicon using titanium tetrachloride (TiCl{sub 4}) and titanium tetra-isopropoxide [Ti(OCH(CH{sub 3}){sub 2}){sub 4}, TTIP] precursors. Ex situ x-ray photoelectron spectroscopy shows a more rapid ALD nucleation rate on both Si–OH and Si–H surfaces when water is the oxygen source. Eliminating water delays the oxidation of the hydrogen-terminated silicon, thereby impeding TiO{sub 2} film growth. For deposition at 170 °C, the authors achieve ∼2 nm of TiO{sub 2} on SiO{sub 2} before substantial growth takes place on Si–H. On both Si–H and Si–OH, themore » surface reactions proceed during the first few TiCl{sub 4}/TTIP ALD exposure steps where the resulting products act to impede subsequent growth, especially on Si–H surfaces. Insight from this work helps expand understanding of “inherent” substrate selective ALD, where native differences in substrate surface reaction chemistry are used to promote desired selective-area growth.« less

  5. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  6. New approach for producing chemical templates over large area by Molecular Transfer Printing

    NASA Astrophysics Data System (ADS)

    Inoue, Takejiro; Janes, Dustin; Ren, Jiaxing; Willson, Grant; Ellison, Christopher; Nealey, Paul

    2014-03-01

    Fabrication of well-defined chemically patterned surfaces is crucially important to the development of next generation microprocessors, hard disk memory devices, photonic/plasmonic devices, separation membranes, and biological microarrays. One promising patterning method in these fields is Molecular Transfer Printing (MTP), which replicates chemical patterns with feature dimensions of the order of 10nm utilizing a master template defined by the microphase separated domains of a block copolymer thin film. The total transfer printing area achievable by MTP has so far been limited by the contact area between two rigid substrates. Therefore, strategies to make conformal contact between substrates could be practically useful because a single lithographically-defined starting pattern could be used to fabricate many replicates by a low-cost process. Here we show a new approach that utilizes a chemically deposited SiN layer and a liquid conformal layer to enable transfer printing of chemical patterns upon thermal annealing over large, continuous areas. We anticipate that our process could be integrated into Step and Flash Imprint Lithography (SFIL) tools to achieve conformal layer thicknesses thin and uniform enough to permit pattern transfer through a dry-etch protocol.

  7. Electrohydrodynamically driven large-area liquid ion sources

    DOEpatents

    Pregenzer, Arian L.

    1988-01-01

    A large-area liquid ion source comprises means for generating, over a large area of the surface of a liquid, an electric field of a strength sufficient to induce emission of ions from a large area of said liquid. Large areas in this context are those distinct from emitting areas in unidimensional emitters.

  8. Modeling and in Situ Probing of Surface Reactions in Atomic Layer Deposition.

    PubMed

    Zheng, Yuanxia; Hong, Sungwook; Psofogiannakis, George; Rayner, G Bruce; Datta, Suman; van Duin, Adri C T; Engel-Herbert, Roman

    2017-05-10

    Atomic layer deposition (ALD) has matured into a preeminent thin film deposition technique by offering a highly scalable and economic route to integrate chemically dissimilar materials with excellent thickness control down to the subnanometer regime. Contrary to its extensive applications, a quantitative and comprehensive understanding of the reaction processes seems intangible. Complex and manifold reaction pathways are possible, which are strongly affected by the surface chemical state. Here, we report a combined modeling and experimental approach utilizing ReaxFF reactive force field simulation and in situ real-time spectroscopic ellipsometry to gain insights into the ALD process of Al 2 O 3 from trimethylaluminum and water on hydrogenated and oxidized Ge(100) surfaces. We deciphered the origin for the different peculiarities during initial ALD cycles for the deposition on both surfaces. While the simulations predicted a nucleation delay for hydrogenated Ge(100), a self-cleaning effect was discovered on oxidized Ge(100) surfaces and resulted in an intermixed Al 2 O 3 /GeO x layer that effectively suppressed oxygen diffusion into Ge. In situ spectroscopic ellipsometry in combination with ex situ atomic force microscopy and X-ray photoelectron spectroscopy confirmed these simulation results. Electrical impedance characterizations evidenced the critical role of the intermixed Al 2 O 3 /GeO x layer to achieve electrically well-behaved dielectric/Ge interfaces with low interface trap density. The combined approach can be generalized to comprehend the deposition and reaction kinetics of other ALD precursors and surface chemistry, which offers a path toward a theory-aided rational design of ALD processes at a molecular level.

  9. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers.

    PubMed

    Andringa, Anne-Marije; Perrotta, Alberto; de Peuter, Koen; Knoops, Harm C M; Kessels, Wilhelmus M M; Creatore, Mariadriana

    2015-10-14

    Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred

  10. The properties of plasma-enhanced atomic layer deposition (ALD) ZnO thin films and comparison with thermal ALD

    NASA Astrophysics Data System (ADS)

    Kim, Doyoung; Kang, Hyemin; Kim, Jae-Min; Kim, Hyungjun

    2011-02-01

    Zinc oxide (ZnO) thin films were prepared by plasma-enhanced atomic layer deposition (PE-ALD) using oxygen plasma as a reactant and the properties were compared with those of thermal atomic layer deposition (TH-ALD) ZnO thin films. While hexagonal wurzite phase with preferential (0 0 2) orientation was obtained for both cases, significant differences were observed in various aspects of film properties including resistivity values between these two techniques. Photoluminescence (PL) measurements have shown that high resistivity of PE-ALD ZnO thin films is due to the oxygen interstitials at low growth temperature of 200 °C, whose amount decreases with increasing growth temperature. Thin film transistors (TFT) using TH- and PE-ALD ZnO as an active layer were also fabricated and the device properties were evaluated comparatively.

  11. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  12. Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks

    PubMed Central

    Meng, Xin; Byun, Young-Chul; Kim, Harrison S.; Lee, Joy S.; Lucero, Antonio T.; Cheng, Lanxia; Kim, Jiyoung

    2016-01-01

    With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. These benefits include not only high conformality and atomic-scale thickness control, but also low deposition temperatures. Over the past 20 years, recognition of the remarkable features of SiNx ALD, reinforced by experimental and theoretical investigations of the underlying surface reaction mechanism, has contributed to the development and widespread use of ALD SiNx thin films in both laboratory studies and industrial applications. Such recognition has spurred ever-increasing opportunities for the applications of the SiNx ALD technique in various arenas. Nevertheless, this technique still faces a number of challenges, which should be addressed through a collaborative effort between academia and industry. It is expected that the SiNx ALD will be further perceived as an indispensable technique for scaling next-generation ultra-large-scale integration (ULSI) technology. In this review, the authors examine the current research progress, challenges and future prospects of the SiNx ALD technique. PMID:28774125

  13. Uniform large-area growth of nanotemplated high-quality monolayer MoS2

    NASA Astrophysics Data System (ADS)

    Young, Justin R.; Chilcote, Michael; Barone, Matthew; Xu, Jinsong; Katoch, Jyoti; Luo, Yunqiu Kelly; Mueller, Sara; Asel, Thaddeus J.; Fullerton-Shirey, Susan K.; Kawakami, Roland; Gupta, Jay A.; Brillson, Leonard J.; Johnston-Halperin, Ezekiel

    2017-06-01

    Over the past decade, it has become apparent that the extreme sensitivity of 2D crystals to surface interactions presents a unique opportunity to tune material properties through surface functionalization and the mechanical assembly of 2D heterostructures. However, this opportunity carries with it a concurrent challenge: an enhanced sensitivity to surface contamination introduced by standard patterning techniques that is exacerbated by the difficulty in cleaning these atomically thin materials. Here, we report a templated MoS2 growth technique wherein Mo is deposited onto atomically stepped sapphire substrates through a SiN stencil with feature sizes down to 100 nm and subsequently sulfurized at high temperature. These films have a quality comparable to the best MoS2 prepared by other methodologies, and the thickness of the resulting MoS2 patterns can be tuned layer-by-layer by controlling the initial Mo deposition. The quality and thickness of the films are confirmed by scanning electron, scanning tunneling, and atomic force microscopies; Raman, photoluminescence, and x-ray photoelectron spectroscopies; and electron transport measurements. This approach critically enables the creation of patterned, single-layer MoS2 films with pristine surfaces suitable for subsequent modification via functionalization and mechanical stacking. Further, we anticipate that this growth technique should be broadly applicable within the family of transition metal dichalcogenides.

  14. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    PubMed

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  15. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  16. Thermocapillary Bubble Migration: Thermal Boundary Layers for Large Marangoni Numbers

    NASA Technical Reports Server (NTRS)

    Balasubramaniam, R.; Subramanian, R. S.

    1996-01-01

    The migration of an isolated gas bubble in an immiscible liquid possessing a temperature gradient is analyzed in the absence of gravity. The driving force for the bubble motion is the shear stress at the interface which is a consequence of the temperature dependence of the surface tension. The analysis is performed under conditions for which the Marangoni number is large, i.e. energy is transferred predominantly by convection. Velocity fields in the limit of both small and large Reynolds numbers are used. The thermal problem is treated by standard boundary layer theory. The outer temperature field is obtained in the vicinity of the bubble. A similarity solution is obtained for the inner temperature field. For both small and large Reynolds numbers, the asymptotic values of the scaled migration velocity of the bubble in the limit of large Marangoni numbers are calculated. The results show that the migration velocity has the same scaling for both low and large Reynolds numbers, but with a different coefficient. Higher order thermal boundary layers are analyzed for the large Reynolds number flow field and the higher order corrections to the migration velocity are obtained. Results are also presented for the momentum boundary layer and the thermal wake behind the bubble, for large Reynolds number conditions.

  17. Oxygen-free atomic layer deposition of indium sulfide

    DOEpatents

    Martinson, Alex B.; Hock, Adam S.; McCarthy, Robert; Weimer, Matthew S.

    2016-07-05

    A method for synthesizing an In(III) N,N'-diisopropylacetamidinate precursor including cooling a mixture comprised of diisopropylcarbodiimide and diethyl ether to approximately -30.degree. C., adding methyllithium drop-wise into the mixture, allowing the mixture to warm to room temperature, adding indium(III) chloride as a solid to the mixture to produce a white solid, dissolving the white solid in pentane to form a clear and colorless solution, filtering the mixture over a celite plug, and evaporating the solution under reduced pressure to obtain a solid In(III) N,N'-diisopropylacetamidinate precursor. This precursor has been further used to develop a novel atomic layer deposition technique for indium sulfide by dosing a reactor with the precursor, purging with nitrogen, dosing with dilute hydrogen sulfide, purging again with nitrogen, and repeating these steps to increase growth.

  18. Atom-scale depth localization of biologically important chemical elements in molecular layers

    PubMed Central

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-01-01

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers’ global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces. PMID:27503887

  19. Coating and Patterning Functional Materials for Large Area Electrofluidic Arrays.

    PubMed

    Wu, Hao; Tang, Biao; Hayes, Robert A; Dou, Yingying; Guo, Yuanyuan; Jiang, Hongwei; Zhou, Guofu

    2016-08-19

    Industrialization of electrofluidic devices requires both high performance coating laminates and efficient material utilization on large area substrates. Here we show that screen printing can be effectively used to provide homogeneous pin-hole free patterned amorphous fluoropolymer dielectric layers to provide both the insulating and fluidic reversibility required for devices. Subsequently, we over-coat photoresist using slit coating on this normally extremely hydrophobic layer. In this way, we are able to pattern the photoresist by conventional lithography to provide the chemical contrast required for liquids dosing by self-assembly and highly-reversible electrofluidic switching. Materials, interfacial chemistry, and processing all contribute to the provision of the required engineered substrate properties. Coating homogeneity as characterized by metrology and device performance data are used to validate the methodology, which is well-suited for transfer to high volume production in existing LCD cell-making facilities.

  20. Ultrasensitive gas detection of large-area boron-doped graphene

    DOE PAGES

    Lv, Ruitao; Chen, Gugang; Li, Qing; ...

    2015-11-02

    Heteroatom doping is an efficient way to modify the chemical and electronic properties of graphene. In particular, boron doping is expected to induce a p-type conducting behavior to pristine (undoped) graphene which could lead to diverse applications. But, the experimental progress on atomic scale visualization and sensing properties of large-area boron-doped graphene (BG) sheets is still very scarce. This work describes the controlled growth of centimeter size, high-crystallinity BG sheets. Scanning tunneling microscopy and spectroscopy are used to visualize the atomic structure and the local density of states around boron dopants. We confirmed that BG behaves as a p-type conductormore » and a unique croissant-like feature is frequently observed within the BG lattice, which is caused by the presence of B-C trimmers embedded within the hexagonal lattice. Interestingly, it is demonstrated for the first time that BG exhibits unique sensing capabilities when detecting toxic gases, such as NO 2 and NH 3 , being able to detect extremely low concentrations (e.g. parts per trillion, parts per billion). Our work envisions that other attractive applications could now be explored based on as-synthesized BG.« less

  1. Photo-Sensitivity of Large Area Physical Vapor Deposited Mono and Bilayer MoS2 (Postprint)

    DTIC Science & Technology

    2014-07-01

    layer MoS2 without any apparent rectifying junctions , making device fabrication straightforward. For bi-layers, no such effect was present, suggesting...layer MoS2 without any apparent rectifying junctions , making device fabrication straightforward. For bi-layers, no such effect was present, suggesting...pressure below 5×10−9 Torr for atomically sharp and clean interfaces. The mono and bi-layer specimens were grown on 100 nm thick thermal oxide coated silicon

  2. Modulation of electrical potential and conductivity in an atomic-layer semiconductor heterojunction

    PubMed Central

    Kobayashi, Yu; Yoshida, Shoji; Sakurada, Ryuji; Takashima, Kengo; Yamamoto, Takahiro; Saito, Tetsuki; Konabe, Satoru; Taniguchi, Takashi; Watanabe, Kenji; Maniwa, Yutaka; Takeuchi, Osamu; Shigekawa, Hidemi; Miyata, Yasumitsu

    2016-01-01

    Semiconductor heterojunction interfaces have been an important topic, both in modern solid state physics and in electronics and optoelectronics applications. Recently, the heterojunctions of atomically-thin transition metal dichalcogenides (TMDCs) are expected to realize one-dimensional (1D) electronic systems at their heterointerfaces due to their tunable electronic properties. Herein, we report unique conductivity enhancement and electrical potential modulation of heterojunction interfaces based on TMDC bilayers consisted of MoS2 and WS2. Scanning tunneling microscopy/spectroscopy analyses showed the formation of 1D confining potential (potential barrier) in the valence (conduction) band, as well as bandgap narrowing around the heterointerface. The modulation of electronic properties were also probed as the increase of current in conducting atomic force microscopy. Notably, the observed band bending can be explained by the presence of 1D fixed charges around the heterointerface. The present findings indicate that the atomic layer heterojunctions provide a novel approach to realizing tunable 1D electrical potential for embedded quantum wires and ultrashort barriers of electrical transport. PMID:27515115

  3. Large magnetoresistance ratio of 10% by Fe50Co50 layers for current-confined-path current-perpendicular-to-plane giant magnetoresistance spin-valve films

    NASA Astrophysics Data System (ADS)

    Fukuzawa, Hideaki; Yuasa, Hiromi; Hashimoto, Susumu; Iwasaki, Hitoshi; Tanaka, Yoichiro

    2005-08-01

    We have realized a large magnetoresistance (MR) ratio of 10.2% by current-perpendicular-to-plane giant magnetoresistance (CPP-GMR) spin-valve films having current-confined-path (CCP) structure formed by AlCu-NOL (nano-oxide-layer). CPP-GMR with conventional Co90Fe10 pinned and free layers showed an MR ratio and a ΔRA (the change of resistance area product) were 4% and 20mΩμm2, respectively, at a small RA (resistance area product) of 500mΩμm2. By replacing the Co90Fe10 layers by Fe50Co50 layers both for pinned and free layers, we have successfully realized a MR ratio and a ΔRA of 7.5% and 37.5mΩμm2, respectively, at a small RA of 500mΩμm2. Moreover, a large MR ratio of 10.2% and a large ΔRA of 418mΩμm2 were realized at a relatively large RA of 4100mΩμm2. This large MR ratio by using Fe50Co50 layers was due to a larger spin-dependent interface scattering factor γ of 0.72 for the interface between Fe50Co50 and Cu, which was improved from a γ of 0.62 for the interface between Co90Fe10 and Cu.

  4. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    PubMed

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  5. Atomic layer deposition of TIO{sub 2} thin films on nanoporous alumina templates : medical applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Narayan, R. J.; Monteiro-Riviere, N. A.; Brigmon, R. L.

    2009-06-01

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of a nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Neither the 20 nm nor the 100 nm TiO{sub 2}-coated nanoporous alumina membranes exhibited statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. Nanostructured materialsmore » prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.« less

  6. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  7. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  8. Large-area synthesis of high-quality monolayer 1T’-WTe2 flakes

    NASA Astrophysics Data System (ADS)

    Naylor, Carl H.; Parkin, William M.; Gao, Zhaoli; Kang, Hojin; Noyan, Mehmet; Wexler, Robert B.; Tan, Liang Z.; Kim, Youngkuk; Kehayias, Christopher E.; Streller, Frank; Zhou, Yu Ren; Carpick, Robert; Luo, Zhengtang; Park, Yung Woo; Rappe, Andrew M.; Drndić, Marija; Kikkawa, James M.; Johnson, A. T. Charlie

    2017-06-01

    Large-area growth of monolayer films of the transition metal dichalcogenides is of the utmost importance in this rapidly advancing research area. The mechanical exfoliation method offers high quality monolayer material but it is a problematic approach when applied to materials that are not air stable. One important example is 1T’-WTe2, which in multilayer form is reported to possess a large non saturating magnetoresistance, pressure induced superconductivity, and a weak antilocalization effect, but electrical data for the monolayer is yet to be reported due to its rapid degradation in air. Here we report a reliable and reproducible large-area growth process for obtaining many monolayer 1T’-WTe2 flakes. We confirmed the composition and structure of monolayer 1T’-WTe2 flakes using x-ray photoelectron spectroscopy, energy-dispersive x-ray spectroscopy, atomic force microscopy, Raman spectroscopy and aberration corrected transmission electron microscopy. We studied the time dependent degradation of monolayer 1T’-WTe2 under ambient conditions, and we used first-principles calculations to identify reaction with oxygen as the degradation mechanism. Finally we investigated the electrical properties of monolayer 1T’-WTe2 and found metallic conduction at low temperature along with a weak antilocalization effect that is evidence for strong spin-orbit coupling.

  9. Electrocatalysts by atomic layer deposition for fuel cell applications

    DOE PAGES

    Cheng, Niancai; Shao, Yuyan; Liu, Jun; ...

    2016-01-22

    Here, fuel cells are a promising technology solution for reliable and clean energy because they offer high energy conversion efficiency and low emission of pollutants. However, high cost and insufficient durability are considerable challenges for widespread adoption of polymer electrolyte membrane fuel cells (PEMFCs) in practical applications. Current PEMFCs catalysts have been identified as major contributors to both the high cost and limited durability. Atomic layer deposition (ALD) is emerging as a powerful technique for solving these problems due to its exclusive advantages over other methods. In this review, we summarize recent developments of ALD in PEMFCs with a focusmore » on design of materials for improved catalyst activity and durability. New research directions and future trends have also been discussed.« less

  10. Design and analysis of three-layer-core optical fiber

    NASA Astrophysics Data System (ADS)

    Zheng, Siwen; Liu, Yazhuo; Chang, Guangjian

    2018-03-01

    A three-layer-core single-mode large-mode-area fiber is investigated. The three-layer structure in the core, which is composed of a core-index layer, a cladding-index layer, and a depression-index layer, could achieve a large effective area Aeff while maintaining an ultralow bending loss without deteriorating cutoff behaviors. The single-mode large mode area of 100 to 330 μm2 could be achieved in the fiber. The effective area Aeff can be further enlarged by adjusting the layer parameters. Furthermore, the bending property could be improved in this three-layer-core structure. The bending loss could decrease by 2 to 4 orders of magnitude compared with the conventional step-index fiber with the same Aeff. These characteristics of three-layer-core fiber suggest that it can be used in large-mode-area wide-bandwidth high-capacity transmission or high-power optical fiber laser and amplifier in optical communications, which could be used for the basic physical layer structure of big data storage, reading, calculation, and transmission applications.

  11. Continuous blade coating for multi-layer large-area organic light-emitting diode and solar cell

    NASA Astrophysics Data System (ADS)

    Chen, Chun-Yu; Chang, Hao-Wen; Chang, Yu-Fan; Chang, Bo-Jie; Lin, Yuan-Sheng; Jian, Pei-Siou; Yeh, Han-Cheng; Chien, Hung-Ta; Chen, En-Chen; Chao, Yu-Chiang; Meng, Hsin-Fei; Zan, Hsiao-Wen; Lin, Hao-Wu; Horng, Sheng-Fu; Cheng, Yen-Ju; Yen, Feng-Wen; Lin, I.-Feng; Yang, Hsiu-Yuan; Huang, Kuo-Jui; Tseng, Mei-Rurng

    2011-11-01

    A continuous roll-to-roll compatible blade-coating method for multi-layers of general organic semiconductors is developed. Dissolution of the underlying film during coating is prevented by simultaneously applying heating from the bottom and gentle hot wind from the top. The solvent is immediately expelled and reflow inhibited. This method succeeds for polymers and small molecules. Uniformity is within 10% for 5 cm by 5 cm area with a mean value of tens of nanometers for both organic light-emitting diode (OLED) and solar cell structure with little material waste. For phosphorescent OLED 25 cd/A is achieved for green, 15 cd/A for orange, and 8 cd/A for blue. For fluorescent OLED 4.3 cd/A is achieved for blue, 9 cd/A for orange, and 6.9 cd/A for white. For OLED with 2 cm by 3 cm active area, the luminance variation is within 10%. Power conversion efficiency of 4.1% is achieved for polymer solar cell, similar to spin coating using the same materials. Very-low-cost and high-throughput fabrication of efficient organic devices is realized by the continuous blade-only method.

  12. Reliable solution processed planar perovskite hybrid solar cells with large-area uniformity by chloroform soaking and spin rinsing induced surface precipitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chern, Yann-Cherng; Wu, Hung-Ruei; Chen, Yen-Chu

    2015-08-15

    A solvent soaking and rinsing method, in which the solvent was allowed to soak all over the surface followed by a spinning for solvent draining, was found to produce perovskite layers with high uniformity on a centimeter scale and with much improved reliability. Besides the enhanced crystallinity and surface morphology due to the rinsing induced surface precipitation that constrains the grain growth underneath in the precursor films, large-area uniformity with film thickness determined exclusively by the rotational speed of rinsing spinning for solvent draining was observed. With chloroform as rinsing solvent, highly uniform and mirror-like perovskite layers of area asmore » large as 8 cm × 8 cm were produced and highly uniform planar perovskite solar cells with power conversion efficiency of 10.6 ± 0.2% as well as much prolonged lifetime were obtained. The high uniformity and reliability observed with this solvent soaking and rinsing method were ascribed to the low viscosity of chloroform as well as its feasibility of mixing with the solvent used in the precursor solution. Moreover, since the surface precipitation forms before the solvent draining, this solvent soaking and rinsing method may be adapted to spinless process and be compatible with large-area and continuous production. With the large-area uniformity and reliability for the resultant perovskite layers, this chloroform soaking and rinsing approach may thus be promising for the mass production and commercialization of large-area perovskite solar cells.« less

  13. Probing the initiation of voltage decay in Li-rich layered cathode materials at the atomic scale

    DOE PAGES

    Wu, Yan; Ma, Cheng; Yang, Jihui; ...

    2015-01-21

    Li-rich layered oxides hold great promise for improving the energy density of present-day Li-ion batteries. However, their application is limited by the voltage decay upon cycling, and the origin of such a phenomenon is poorly understood. A major issue is determining the voltage range over which detrimental reactions originate. In the present study, a unique yet effective approach was employed to probe this issue. Instead of studying the materials during the first cycle, electrochemical behavior and evolution of the atomic structures were compared in extensively cycled specimens under varied charge/discharge voltages. With the upper cutoff voltage lowered from 4.8 tomore » 4.4 V, the voltage decay ceased to occur even after 60 cycles. In the meantime, the material maintained its layered structure without any spinel phase emerging at the surface, which is unambiguously shown by the atomic-resolution Z-contrast imaging and electron energy loss spectroscopy. These results have conclusively demonstrated that structural/chemical changes responsible for the voltage decay began between 4.4 and 4.8 V, where the layered-to-spinel transition was the most dramatic structural change observed. Thus, this discovery lays important groundwork for the mechanistic understanding of the voltage decay in Li-rich layered cathode materials.« less

  14. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    PubMed

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Interface Energy Alignment of Atomic-Layer-Deposited VOx on Pentacene: an in Situ Photoelectron Spectroscopy Investigation.

    PubMed

    Zhao, Ran; Gao, Yuanhong; Guo, Zheng; Su, Yantao; Wang, Xinwei

    2017-01-18

    Ultrathin atomic-layer-deposited (ALD) vanadium oxide (VO x ) interlayer has recently been demonstrated for remarkably reducing the contact resistance in organic electronic devices (Adv. Funct. Mater. 2016, 26, 4456). Herein, we present an in situ photoelectron spectroscopy investigation (including X-ray and ultraviolet photoelectron spectroscopies) of ALD VO x grown on pentacene to understand the role of the ALD VO x interlayer for the improved contact resistance. The in situ photoelectron spectroscopy characterizations allow us to monitor the ALD growth process of VO x and trace the evolutions of the work function, pentacene HOMO level, and VO x defect states during the growth. The initial VO x growth is found to be partially delayed on pentacene in the first ∼20 ALD cycles. The underneath pentacene layer is largely intact after ALD. The ALD VO x is found to contain a high density of defect states starting from 0.67 eV below the Fermi level, and the energy level of these defect states is in excellent alignment with the HOMO level of pentacene, which therefore allows these VO x defect states to provide an efficient hole-injection pathway at the contact interface.

  16. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  17. Engineering topological superconductors using surface atomic-layer/molecule hybrid materials

    NASA Astrophysics Data System (ADS)

    Uchihashi, Takashi

    2015-08-01

    Surface atomic-layer (SAL) superconductors consisting of epitaxially grown metal adatoms on a clean semiconductor surface have been recently established. Compared to conventional metal thin films, they have two important features: (i) space-inversion symmetry-breaking throughout the system and (ii) high sensitivity to surface adsorption of foreign species. These potentially lead to manifestation of the Rashba effect and a Zeeman field exerted by adsorbed magnetic organic molecules. After introduction of the archetypical SAL superconductor Si(111)-(√7 × √3)-In, we describe how these features are utilized to engineer a topological superconductor with Majorana fermions and discuss its promises and expected challenges.

  18. AtomicChargeCalculator: interactive web-based calculation of atomic charges in large biomolecular complexes and drug-like molecules.

    PubMed

    Ionescu, Crina-Maria; Sehnal, David; Falginella, Francesco L; Pant, Purbaj; Pravda, Lukáš; Bouchal, Tomáš; Svobodová Vařeková, Radka; Geidl, Stanislav; Koča, Jaroslav

    2015-01-01

    Partial atomic charges are a well-established concept, useful in understanding and modeling the chemical behavior of molecules, from simple compounds, to large biomolecular complexes with many reactive sites. This paper introduces AtomicChargeCalculator (ACC), a web-based application for the calculation and analysis of atomic charges which respond to changes in molecular conformation and chemical environment. ACC relies on an empirical method to rapidly compute atomic charges with accuracy comparable to quantum mechanical approaches. Due to its efficient implementation, ACC can handle any type of molecular system, regardless of size and chemical complexity, from drug-like molecules to biomacromolecular complexes with hundreds of thousands of atoms. ACC writes out atomic charges into common molecular structure files, and offers interactive facilities for statistical analysis and comparison of the results, in both tabular and graphical form. Due to high customizability and speed, easy streamlining and the unified platform for calculation and analysis, ACC caters to all fields of life sciences, from drug design to nanocarriers. ACC is freely available via the Internet at http://ncbr.muni.cz/ACC.

  19. Hydroquinone-ZnO nano-laminate deposited by molecular-atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Huang, Jie; Lucero, Antonio T.; Cheng, Lanxia; Hwang, Hyeon Jun; Ha, Min-Woo; Kim, Jiyoung

    2015-03-01

    In this study, we have deposited organic-inorganic hybrid semiconducting hydroquinone (HQ)/zinc oxide (ZnO) superlattices using molecular-atomic layer deposition, which enables accurate control of film thickness, excellent uniformity, and sharp interfaces at a low deposition temperature (150 °C). Self-limiting growth of organic layers is observed for the HQ precursor on ZnO surface. Nano-laminates were prepared by varying the number of HQ to ZnO cycles in order to investigate the physical and electrical effects of different HQ to ZnO ratios. It is indicated that the addition of HQ layer results in enhanced mobility and reduced carrier concentration. The highest Hall mobility of approximately 2.3 cm2/V.s and the lowest n-type carrier concentration of approximately 1.0 × 1018/cm3 were achieved with the organic-inorganic superlattice deposited with a ratio of 10 ZnO cycles to 1 HQ cycle. This study offers an approach to tune the electrical transport characteristics of ALD ZnO matrix thin films using an organic dopant. Moreover, with organic embedment, this nano-laminate material may be useful for flexible electronics.

  20. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. Inmore » addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.« less

  1. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  2. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  3. Layer-by-Layer Molecular Assemblies for Dye-Sensitized Photoelectrosynthesis Cells Prepared by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Degao; Sheridan, Matthew V.; Shan, Bing

    2017-08-30

    In a Dye Sensitized Photoelectrosynthesis Cell (DSPEC) the relative orientation of catalyst and chromophore play important roles. Here we introduce a new, robust, Atomic Layer Deposition (ALD) procedure for the preparation of assemblies on wide bandgap semiconductors. In the procedure, phosphonated metal complex precursors react with metal ion bridging to an external chromophore or catalyst to give assemblies bridged by Al(III), Sn(IV), Ti(IV), or Zr(IV) metal oxide units as bridges. The procedure has been extended to chromophore-catalyst assemblies for water oxidation catalysis. A SnO2 bridged assembly on SnO2/TiO2 core/shell electrodes undergoes water splitting with an incident photon conversion efficiency (IPCE)more » of 17.1% at 440 nm. Reduction of water at a Ni(II)-based catalyst on NiO films has been shown to give H2. Compared to conventional solution-based procedures, the ALD approach offers significant advantages in scope and flexibility for the preparation of stable surface structures.« less

  4. Superradiance in a Large and Dilute Cloud of Cold Atoms in the Linear-Optics Regime.

    PubMed

    Araújo, Michelle O; Krešić, Ivor; Kaiser, Robin; Guerin, William

    2016-08-12

    Superradiance has been extensively studied in the 1970s and 1980s in the regime of superfluorescence, where a large number of atoms are initially excited. Cooperative scattering in the linear-optics regime, or "single-photon superradiance," has been investigated much more recently, and superradiant decay has also been predicted, even for a spherical sample of large extent and low density, where the distance between atoms is much larger than the wavelength. Here, we demonstrate this effect experimentally by directly measuring the decay rate of the off-axis fluorescence of a large and dilute cloud of cold rubidium atoms after the sudden switch off of a low-intensity laser driving the atomic transition. We show that, at large detuning, the decay rate increases with the on-resonance optical depth. In contrast to forward scattering, the superradiant decay of off-axis fluorescence is suppressed near resonance due to attenuation and multiple-scattering effects.

  5. High-surface-area nitrogen-doped reduced graphene oxide for electric double-layer capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Youn, Hee-Chang; Bak, Seong-Min; Kim, Myeong-Seong

    A two-step method consisting of solid-state microwave irradiation and heat treatment under NH₃ gas was used to prepare nitrogen-doped reduced graphene oxide (N-RGO) with a high specific surface area (1007m²g⁻¹), high electrical conductivity (1532S m⁻¹), and low oxygen content (1.5 wt%) for electric double-layer capacitor applications. The specific capacitance of N-RGO was 291 Fg⁻¹ at a current density of 1 A g⁻¹, and a capacitance of 261 F g⁻¹ was retained at 50 A g⁻¹, indicating a very good rate capability. N-RGO also showed excellent cycling stability, preserving 96% of the initial specific capacitance after 100,000 cycles. Near-edge X-ray absorptionmore » fine-structure spectroscopy evidenced the recover of π-conjugation in the carbon networks with the removal of oxygenated groups and revealed the chemical bonding of the nitrogen atoms in N-RGO. The good electrochemical performance of N-RGO is attributed to its high surface area, high electrical conductivity, and low oxygen content.« less

  6. High-surface-area nitrogen-doped reduced graphene oxide for electric double-layer capacitors

    DOE PAGES

    Youn, Hee-Chang; Bak, Seong-Min; Kim, Myeong-Seong; ...

    2015-06-08

    A two-step method consisting of solid-state microwave irradiation and heat treatment under NH₃ gas was used to prepare nitrogen-doped reduced graphene oxide (N-RGO) with a high specific surface area (1007m²g⁻¹), high electrical conductivity (1532S m⁻¹), and low oxygen content (1.5 wt%) for electric double-layer capacitor applications. The specific capacitance of N-RGO was 291 Fg⁻¹ at a current density of 1 A g⁻¹, and a capacitance of 261 F g⁻¹ was retained at 50 A g⁻¹, indicating a very good rate capability. N-RGO also showed excellent cycling stability, preserving 96% of the initial specific capacitance after 100,000 cycles. Near-edge X-ray absorptionmore » fine-structure spectroscopy evidenced the recover of π-conjugation in the carbon networks with the removal of oxygenated groups and revealed the chemical bonding of the nitrogen atoms in N-RGO. The good electrochemical performance of N-RGO is attributed to its high surface area, high electrical conductivity, and low oxygen content.« less

  7. Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns

    NASA Astrophysics Data System (ADS)

    Miyano, Yumiko; Narasaki, Ryota; Ichikawa, Takashi; Fukumoto, Atsushi; Aiso, Fumiki; Tamaoki, Naoki

    2018-06-01

    A multiscale simulation model is developed for optimizing the parameters of SiO2 plasma-enhanced atomic layer deposition of high-aspect-ratio hole patterns in three-dimensional (3D) stacked memory. This model takes into account the diffusion of a precursor in a reactor, that in holes, and the adsorption onto the wafer. It is found that the change in the aperture ratio of the holes on the wafer affects the concentration of the precursor near the top of the wafer surface, hence the deposition profile in the hole. The simulation results reproduced well the experimental results of the deposition thickness for the various hole aperture ratios. By this multiscale simulation, we can predict the deposition profile in a high-aspect-ratio hole pattern in 3D stacked memory. The atomic layer deposition parameters for conformal deposition such as precursor feeding time and partial pressure of precursor for wafers with various hole aperture ratios can be estimated.

  8. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cerbu, F.; Madia, O.; Afanas'ev, V. V.

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behaviormore » of HfO{sub 2}, suggesting that alternative defect models should be considered.« less

  9. Coating and Patterning Functional Materials for Large Area Electrofluidic Arrays

    PubMed Central

    Wu, Hao; Tang, Biao; Hayes, Robert A.; Dou, Yingying; Guo, Yuanyuan; Jiang, Hongwei; Zhou, Guofu

    2016-01-01

    Industrialization of electrofluidic devices requires both high performance coating laminates and efficient material utilization on large area substrates. Here we show that screen printing can be effectively used to provide homogeneous pin-hole free patterned amorphous fluoropolymer dielectric layers to provide both the insulating and fluidic reversibility required for devices. Subsequently, we over-coat photoresist using slit coating on this normally extremely hydrophobic layer. In this way, we are able to pattern the photoresist by conventional lithography to provide the chemical contrast required for liquids dosing by self-assembly and highly-reversible electrofluidic switching. Materials, interfacial chemistry, and processing all contribute to the provision of the required engineered substrate properties. Coating homogeneity as characterized by metrology and device performance data are used to validate the methodology, which is well-suited for transfer to high volume production in existing LCD cell-making facilities. PMID:28773826

  10. Cross-Sectional Imaging of Boundary Lubrication Layer Formed by Fatty Acid by Means of Frequency-Modulation Atomic Force Microscopy.

    PubMed

    Hirayama, Tomoko; Kawamura, Ryota; Fujino, Keita; Matsuoka, Takashi; Komiya, Hiroshi; Onishi, Hiroshi

    2017-10-10

    To observe in situ the adsorption of fatty acid onto metal surfaces, cross-sectional images of the adsorption layer were acquired by frequency-modulation atomic force microscopy (FM-AFM). Hexadecane and palmitic acid were used as the base oil and typical fatty acid, respectively. A Cu-coated silicon wafer was prepared as the target substrate. The solvation structure formed by hexadecane molecules at the interface between the Cu substrate and the hexadecane was observed, and the layer pitch was found to be about 0.6 nm, which corresponds to the height of hexadecane molecules. This demonstrates that hexadecane molecules physically adsorbed onto the surface due to van der Waals forces with lying orientation because hexadecane is a nonpolar hydrocarbon. When hexadecane with palmitic acid was put on the Cu substrate instead of pure hexadecane, an adsorption layer of palmitic acid was observed at the interface. The layer pitch was about 2.5-2.8 nm, which matches the chain length of palmitic acid molecules well. This indicates that the original adsorption layer was monolayer or single bilayer in the local area. In addition, a cross-sectional image captured 1 h after observation started to reveal that the adsorbed additive layer gradually grew up to be thicker than about 20 nm due to an external stimulus, such as cantilever oscillation. This is the first report of in situ observation of an adsorbed layer by FM-AFM in the tribology field and demonstrates that FM-AFM is useful for clarifying the actual boundary lubrication mechanism.

  11. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  12. Reliable Exfoliation of Large-Area High-Quality Flakes of Graphene and Other Two-Dimensional Materials.

    PubMed

    Huang, Yuan; Sutter, Eli; Shi, Norman N; Zheng, Jiabao; Yang, Tianzhong; Englund, Dirk; Gao, Hong-Jun; Sutter, Peter

    2015-11-24

    Mechanical exfoliation has been a key enabler of the exploration of the properties of two-dimensional materials, such as graphene, by providing routine access to high-quality material. The original exfoliation method, which remained largely unchanged during the past decade, provides relatively small flakes with moderate yield. Here, we report a modified approach for exfoliating thin monolayer and few-layer flakes from layered crystals. Our method introduces two process steps that enhance and homogenize the adhesion force between the outermost sheet in contact with a substrate: Prior to exfoliation, ambient adsorbates are effectively removed from the substrate by oxygen plasma cleaning, and an additional heat treatment maximizes the uniform contact area at the interface between the source crystal and the substrate. For graphene exfoliation, these simple process steps increased the yield and the area of the transferred flakes by more than 50 times compared to the established exfoliation methods. Raman and AFM characterization shows that the graphene flakes are of similar high quality as those obtained in previous reports. Graphene field-effect devices were fabricated and measured with back-gating and solution top-gating, yielding mobilities of ∼4000 and 12,000 cm(2)/(V s), respectively, and thus demonstrating excellent electrical properties. Experiments with other layered crystals, e.g., a bismuth strontium calcium copper oxide (BSCCO) superconductor, show enhancements in exfoliation yield and flake area similar to those for graphene, suggesting that our modified exfoliation method provides an effective way for producing large area, high-quality flakes of a wide range of 2D materials.

  13. Presumption of large-scale heterogeneity at the top of the outer core basal layer

    NASA Astrophysics Data System (ADS)

    Souriau, Annie

    2015-04-01

    A layer of reduced P-velocity gradient with thickness of about 100-200 km has been identified at the base of the liquid core from seismological methods. It has been interpreted as a dense layer resulting from partial re-melting of the inner core, which is depleted in light elements with respect to the liquid core during freezing. In an attempt to specify where freezing and re-melting occur, the structure of this basal layer is investigated with the seismological core phase PKPbc which has its turning point in the lower third of the outer core. The large PKPbc data set of the EHB catalog distributed by the International Seismological Centre is analyzed. In order to compensate for the uneven distribution of the data and to minimize the influence of mantle heterogeneities, the travel time anomalies are binned inside equal area and equal azimuth sectors sampling the base of the liquid core at different depths. Most of the observed variations in the binned travel time residuals are not significant according to their confidence level. The only features which could be significant are a large patch with a velocity increase of about 0.5% located at the top of the basal layer beneath the eastern hemisphere, and the complementary velocity decrease beneath the western hemisphere and the South pole. This observation suggests that some freezing or re-melting processes occur at the top of the basal layer with a hemispherical dissymmetry. If confirmed, it may give strong constraints on the fate of the light elements during the freezing and re-melting process and on their interaction with the basal layer and the overlying liquid core.

  14. Atomically Precise Growth of Catalytically Active Cobalt Sulfide on Flat Surfaces and within a Metal–Organic Framework via Atomic Layer Deposition

    DOE PAGES

    Peters, Aaron W.; Li, Zhanyong; Farha, Omar K.; ...

    2015-08-04

    Atomic layer deposition (ALD) has been employed as a new synthetic route to thin films of cobalt sulfide on silicon and fluorine-doped tin oxide platforms. The self-limiting nature of the stepwise synthesis is established through growth rate studies at different pulse times and temperatures. Additionally, characterization of the materials by X-ray diffraction and X-ray photoelectron spectroscopy indicates that the crystalline phase of these films has the composition Co 9S 8. The nodes of the metal–organic framework (MOF) NU-1000 were then selectively functionalized with cobalt sulfide via ALD in MOFs (AIM). Spectroscopic techniques confirm uniform deposition of cobalt sulfide throughout themore » crystallites, with no loss in crystallinity or porosity. The resulting material, CoS-AIM, is catalytically active for selective hydrogenation of m-nitrophenol to m-aminophenol, and outperforms the analogous oxide AIM material (CoO-AIM) as well as an amorphous CoS x reference material. Here, these results reveal AIM to be an effective method of incorporating high surface area and catalytically active cobalt sulfide in metal–organic frameworks.« less

  15. Evolution of the Contact Area with Normal Load for Rough Surfaces: from Atomic to Macroscopic Scales.

    PubMed

    Huang, Shiping

    2017-11-13

    The evolution of the contact area with normal load for rough surfaces has great fundamental and practical importance, ranging from earthquake dynamics to machine wear. This work bridges the gap between the atomic scale and the macroscopic scale for normal contact behavior. The real contact area, which is formed by a large ensemble of discrete contacts (clusters), is proven to be much smaller than the apparent surface area. The distribution of the discrete contact clusters and the interaction between them are key to revealing the mechanism of the contacting solids. To this end, Green's function molecular dynamics (GFMD) is used to study both how the contact cluster evolves from the atomic scale to the macroscopic scale and the interaction between clusters. It is found that the interaction between clusters has a strong effect on their formation. The formation and distribution of the contact clusters is far more complicated than that predicted by the asperity model. Ignorance of the interaction between them leads to overestimating the contacting force. In real contact, contacting clusters are smaller and more discrete due to the interaction between the asperities. Understanding the exact nature of the contact area with the normal load is essential to the following research on friction.

  16. Evolution of the Contact Area with Normal Load for Rough Surfaces: from Atomic to Macroscopic Scales

    NASA Astrophysics Data System (ADS)

    Huang, Shiping

    2017-11-01

    The evolution of the contact area with normal load for rough surfaces has great fundamental and practical importance, ranging from earthquake dynamics to machine wear. This work bridges the gap between the atomic scale and the macroscopic scale for normal contact behavior. The real contact area, which is formed by a large ensemble of discrete contacts (clusters), is proven to be much smaller than the apparent surface area. The distribution of the discrete contact clusters and the interaction between them are key to revealing the mechanism of the contacting solids. To this end, Green's function molecular dynamics (GFMD) is used to study both how the contact cluster evolves from the atomic scale to the macroscopic scale and the interaction between clusters. It is found that the interaction between clusters has a strong effect on their formation. The formation and distribution of the contact clusters is far more complicated than that predicted by the asperity model. Ignorance of the interaction between them leads to overestimating the contacting force. In real contact, contacting clusters are smaller and more discrete due to the interaction between the asperities. Understanding the exact nature of the contact area with the normal load is essential to the following research on friction.

  17. Atomic Resolution Structural and Chemical Imaging Revealing the Sequential Migration of Ni, Co, and Mn upon the Battery Cycling of Layered Cathode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Ji-Guang

    Layered lithium transition metal oxides (LTMO) are promising candidate cathode materials for next generation high energy density lithium ion battery. The challenge for using this category of cathode is the capacity and voltage fading, which is believed to be associated with the layered structure disordering, a process that is initiated from the surface or solid-electrolyte interface and facilitated by transition metal (TM) reduction and oxygen vacancy formation. However, the atomic level dynamic mechanism of such a layered structure disordering is still not fully clear. In this work, utilizing atomic resolution electron energy loss spectroscopy (EELS), we map, for the firstmore » time at atomic scale, the spatial evolution of Ni, Co and Mn in a cycled LiNi1/3M1/3Co1/3O2 layered cathode. In combination with atomic level structural imaging, we discovered the direct correlation of TM ions migration behavior with lattice disordering, featuring the residing of TM ions in the tetrahedral site and a sequential migration of Ni, Co, and Mn upon the increased lattice disordering of the layered structure. This work highlights that Ni ions, though acting as the dominant redox species in many LTMO, are labile to migrate to cause lattice disordering upon battery cycling; while the Mn ions are more stable as compared with Ni and Co and can act as pillar to stabilize layered structure. Direct visualization of the behavior of TM ions during the battery cycling provides insight for designing of cathode with structural stability and correspondingly a superior performance.« less

  18. Stability issues pertaining large area perovskite and dye-sensitized solar cells and modules

    NASA Astrophysics Data System (ADS)

    Castro-Hermosa, S.; Yadav, S. K.; Vesce, L.; Guidobaldi, A.; Reale, A.; Di Carlo, A.; Brown, T. M.

    2017-01-01

    Perovskite and dye-sensitized solar cells are PV technologies which hold promise for PV application. Arguably, the biggest issue facing these technologies is stability. The vast majority of studies have been limited to small area laboratory cells. Moisture, oxygen, UV light, thermal and electrical stresses are leading the degradation causes. There remains a shortage of stability investigations on large area devices, in particular modules. At the module level there exist particular challenges which can be different from those at the small cell level such as encapsulation (not only of the unit cells but of interconnections and contacts), non-uniformity of the layer stacks and unit cells, reverse bias stresses, which are important to investigate for technologies that aim for industrial acceptance. Herein we present a review of stability investigations published in the literature pertaining large area perovskite and dye-sensitized solar devices fabricated both on rigid (glass) and flexible substrates.

  19. Large Fluctuations for Spatial Diffusion of Cold Atoms

    NASA Astrophysics Data System (ADS)

    Aghion, Erez; Kessler, David A.; Barkai, Eli

    2017-06-01

    We use a new approach to study the large fluctuations of a heavy-tailed system, where the standard large-deviations principle does not apply. Large-deviations theory deals with tails of probability distributions and the rare events of random processes, for example, spreading packets of particles. Mathematically, it concerns the exponential falloff of the density of thin-tailed systems. Here we investigate the spatial density Pt(x ) of laser-cooled atoms, where at intermediate length scales the shape is fat tailed. We focus on the rare events beyond this range, which dominate important statistical properties of the system. Through a novel friction mechanism induced by the laser fields, the density is explored with the recently proposed non-normalized infinite-covariant density approach. The small and large fluctuations give rise to a bifractal nature of the spreading packet. We derive general relations which extend our theory to a class of systems with multifractal moments.

  20. Atomically thin heterostructures based on single-layer tungsten diselenide and graphene.

    PubMed

    Lin, Yu-Chuan; Chang, Chih-Yuan S; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J; Robinson, Jeremy T; Wallace, Robert M; Mayer, Theresa S; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A

    2014-12-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  1. Hydrogen Sorption Kinetics on Bare and Platinum-Modified Palladium Nanofilms, Grown by Electrochemical Atomic Layer Deposition (E-ALD)

    DOE PAGES

    Jagannathan, Kaushik; Benson, David M.; Robinson, David B.; ...

    2016-01-01

    Nanofilms of Pd were grown using an electrochemical form of atomic layer deposition (E-ALD) on 100 nm evaporated Au films on glass. Multiple cycles of surface-limited redox replacement (SLRR) were used to grow deposits. Each SLRR involved the underpotential deposition (UPD) of a Cu atomic layer, followed by open circuit replacement via redox exchange with tetrachloropalladate, forming a Pd atomic layer: one E-ALD deposition cycle. That cycle was repeated in order to grow deposits of a desired thickness. 5 cycles of Pd deposition were performed on the Au on glass substrates, resulting in the formation of 2.5 monolayers of Pd.more » Those Pd films were then modified with varying coverages of Pt, also formed using SLRR. The amount of Pt was controlled by changing the potential for Cu UPD, and by increasing the number of Pt deposition cycles. Hydrogen absorption was studied using coulometry and cyclic voltammetry in 0.1 M H 2SO 4 as a function of Pt coverage. The presence of even a small fraction of a Pt monolayer dramatically increased the rate of hydrogen desorption. However, this did not reduce the films’ hydrogen storage capacity. The increase in desorption rate in the presence of Pt was over an order of magnitude.« less

  2. Atomic Layer Deposition of Stable LiAlF4 Lithium Ion Conductive Interfacial Layer for Stable Cathode Cycling.

    PubMed

    Xie, Jin; Sendek, Austin D; Cubuk, Ekin D; Zhang, Xiaokun; Lu, Zhiyi; Gong, Yongji; Wu, Tong; Shi, Feifei; Liu, Wei; Reed, Evan J; Cui, Yi

    2017-07-25

    Modern lithium ion batteries are often desired to operate at a wide electrochemical window to maximize energy densities. While pushing the limit of cutoff potentials allows batteries to provide greater energy densities with enhanced specific capacities and higher voltage outputs, it raises key challenges with thermodynamic and kinetic stability in the battery. This is especially true for layered lithium transition-metal oxides, where capacities can improve but stabilities are compromised as wider electrochemical windows are applied. To overcome the above-mentioned challenges, we used atomic layer deposition to develop a LiAlF 4 solid thin film with robust stability and satisfactory ion conductivity, which is superior to commonly used LiF and AlF 3 . With a predicted stable electrochemical window of approximately 2.0 ± 0.9 to 5.7 ± 0.7 V vs Li + /Li for LiAlF 4 , excellent stability was achieved for high Ni content LiNi 0.8 Mn 0.1 Co 0.1 O 2 electrodes with LiAlF 4 interfacial layer at a wide electrochemical window of 2.75-4.50 V vs Li + /Li.

  3. Indium Oxide Thin Films by Atomic Layer Deposition Using Trimethylindium and Ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mane, Anil U.; Allen, Amy J.; Kanjolia, Ravindra K.

    We investigated the atomic layer deposition (ALD) of indium oxide (In2O3) thin films using alternating exposures of trimethylindium (TMIn) and a variety of oxygen sources: ozone (O-3), O-2, deionized H2O, and hydrogen peroxide (H2O2). We used in situ quartz crystal microbalance measurements to evaluate the effectiveness of the different oxygen sources and found that only O-3 yielded viable and sustained 111203 growth with TMIn. These measurements also provided details about the In2O3 growth mechanism and enabled us to verify that both the TMIn and O-3 surface reactions were self-limiting. In2O3 thin films were prepared and characterized using X-ray diffraction, ultravioletmore » visible spectrophotometry, spectroscopic ellipsometry, X-ray photoelectron spectroscopy, and scanning electron microscopy. The electrical transport properties of these layers were studied by Hall probe measurements. We found that, at deposition temperatures within the range of 100-200 degrees C, the In2O3 growth per cycle was nearly constant at 0.46 angstrom/cycle and the films were dense and pure. The film thickness was highly uniform (<0.3% variation) along the 45 cm length of our tubular ALD reactor. At higher growth temperatures the In2O3 growth per cycle increased due to thermal decomposition of the TMIn. The ALD In2O3 films showed resistivities as low as 3.2 x 10(-3) Omega cm, and carrier concentrations as large as 7.0 x 10(19) cm(-3). This TMIn/O-3 process for In2O3 ALD should be suitable for eventual scale-up in photovoltaics.« less

  4. Three-dimensional hydration layer mapping on the (10.4) surface of calcite using amplitude modulation atomic force microscopy.

    PubMed

    Marutschke, Christoph; Walters, Deron; Walters, Deron; Hermes, Ilka; Bechstein, Ralf; Kühnle, Angelika

    2014-08-22

    Calcite, the most stable modification of calcium carbonate, is a major mineral in nature. It is, therefore, highly relevant in a broad range of fields such as biomineralization, sea water desalination and oil production. Knowledge of the surface structure and reactivity of the most stable cleavage plane, calcite (10.4), is pivotal for understanding the role of calcite in these diverse areas. Given the fact that most biological processes and technical applications take place in an aqueous environment, perhaps the most basic - yet decisive - question addresses the interaction of water molecules with the calcite (10.4) surface. In this work, amplitude modulation atomic force microscopy is used for three-dimensional (3D) mapping of the surface structure and the hydration layers above the surface. An easy-to-use scanning protocol is implemented for collecting reliable 3D data. We carefully discuss a comprehensible criterion for identifying the solid-liquid interface within our data. In our data three hydration layers form a characteristic pattern that is commensurate with the underlying calcite surface.

  5. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  6. High-Performance As-Cast Nonfullerene Polymer Solar Cells with Thicker Active Layer and Large Area Exceeding 11% Power Conversion Efficiency.

    PubMed

    Fan, Qunping; Wang, Yan; Zhang, Maojie; Wu, Bo; Guo, Xia; Jiang, Yufeng; Li, Wanbin; Guo, Bing; Ye, Chennan; Su, Wenyan; Fang, Jin; Ou, Xuemei; Liu, Feng; Wei, Zhixiang; Sum, Tze Chien; Russell, Thomas P; Li, Yongfang

    2018-02-01

    In this work, a nonfullerene polymer solar cell (PSC) based on a wide bandgap polymer donor PM6 containing fluorinated thienyl benzodithiophene (BDT-2F) unit and a narrow bandgap small molecule acceptor 2,2'-((2Z,2'Z)-((4,4,9,9-tetrahexyl-4,9-dihydro-s-indaceno[1,2-b:5,6-b']dithiophene-2,7-diyl)bis(methanylylidene))bis(3-oxo-2,3-dihydro-1H-indene-2,1-diylidene))dimalononitrile (IDIC) is developed. In addition to matched energy levels and complementary absorption spectrum with IDIC, PM6 possesses high crystallinity and strong π-π stacking alignment, which are favorable to charge carrier transport and hence suppress recombination in devices. As a result, the PM6:IDIC-based PSCs without extra treatments show an outstanding power conversion efficiency (PCE) of 11.9%, which is the record value for the as-cast PSC devices reported in the literature to date. Moreover, the device performances are insensitive to the active layer thickness (≈95-255 nm) and device area (0.20-0.81 cm 2 ) with PCEs of over 11%. Besides, the PM6:IDIC-based flexible PSCs with a large device area of 1.25 cm 2 exhibit a high PCE of 6.54%. These results indicate that the PM6:IDIC blend is a promising candidate for future roll-to-roll mass manufacturing and practical application of highly efficient PSCs. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Interlayer electron-hole pair multiplication by hot carriers in atomic layer semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Barati, Fatemeh; Grossnickle, Max; Su, Shanshan; Lake, Roger; Aji, Vivek; Gabor, Nathaniel

    Two-dimensional heterostructures composed of atomically thin transition metal dichalcogenides provide the opportunity to design novel devices for the study of electron-hole pair multiplication. We report on highly efficient multiplication of interlayer electron-hole pairs at the interface of a tungsten diselenide / molybdenum diselenide heterostructure. Electronic transport measurements of the interlayer current-voltage characteristics indicate that layer-indirect electron-hole pairs are generated by hot electron impact excitation. Our findings, which demonstrate an efficient energy relaxation pathway that competes with electron thermalization losses, make 2D semiconductor heterostructures viable for a new class of hot-carrier energy harvesting devices that exploit layer-indirect electron-hole excitations. SHINES, an Energy Frontier Research Center funded by the U.S. Department of Energy, Air Force Office of Scientific Research.

  8. A small-scale, rolled-membrane microfluidic artificial lung designed towards future large area manufacturing.

    PubMed

    Thompson, A J; Marks, L H; Goudie, M J; Rojas-Pena, A; Handa, H; Potkay, J A

    2017-03-01

    Artificial lungs have been used in the clinic for multiple decades to supplement patient pulmonary function. Recently, small-scale microfluidic artificial lungs (μAL) have been demonstrated with large surface area to blood volume ratios, biomimetic blood flow paths, and pressure drops compatible with pumpless operation. Initial small-scale microfluidic devices with blood flow rates in the μ l/min to ml/min range have exhibited excellent gas transfer efficiencies; however, current manufacturing techniques may not be suitable for scaling up to human applications. Here, we present a new manufacturing technology for a microfluidic artificial lung in which the structure is assembled via a continuous "rolling" and bonding procedure from a single, patterned layer of polydimethyl siloxane (PDMS). This method is demonstrated in a small-scale four-layer device, but is expected to easily scale to larger area devices. The presented devices have a biomimetic branching blood flow network, 10  μ m tall artificial capillaries, and a 66  μ m thick gas transfer membrane. Gas transfer efficiency in blood was evaluated over a range of blood flow rates (0.1-1.25 ml/min) for two different sweep gases (pure O 2 , atmospheric air). The achieved gas transfer data closely follow predicted theoretical values for oxygenation and CO 2 removal, while pressure drop is marginally higher than predicted. This work is the first step in developing a scalable method for creating large area microfluidic artificial lungs. Although designed for microfluidic artificial lungs, the presented technique is expected to result in the first manufacturing method capable of simply and easily creating large area microfluidic devices from PDMS.

  9. Morphology of Two-Phase Layers with Large Bubbles

    NASA Astrophysics Data System (ADS)

    Vékony, Klára; Kiss, László I.

    2010-10-01

    The understanding of formation and movement of bubbles nucleated during aluminum reduction is essential for a good control of the electrolysis process. In our experiments, we filmed and studied the formation of a bubble layer under the anode in a real-size air-water electrolysis cell model. The maximum height of the bubbles was found to be up to 2 cm because of the presence of the so-called Fortin bubbles. Also, the mean height of the bubble layer was found to be much higher than published previously. The Fortin bubbles were investigated more closely, and their shape was found to be induced by a gravity wave formed at the gas-liquid interface. In addition, large bubbles were always observed to break up into smaller parts right before escaping from under the anode. This breakup and escape led to a large momentum transfer in the bath.

  10. Performance of the Anti-Coincidence Detector on the GLAST Large Area Telescope

    NASA Technical Reports Server (NTRS)

    Thompson, D. J.; Charles, E.; Hartman, R. C.; Moiseev, A. A.; Ormes, J. F.

    2007-01-01

    The Anti-Coincidence Detector (ACD), the outermost detector layer in the Gamma-ray Large Area Space Telescope (GLAST) Large Area Telescope (LAT), is designed to detect and veto incident cosmic ray charged particles, which outnumber cosmic gamma rays by 3-4 orders of magnitude. The challenge in ACD design is that it must have high (0.9997) detection efficiency for singly-charged relativistic particles, but must also have a low probability for self-veto of high-energy gammas by backplash radiation from interactions in the LAT calorimeter. Simulations and tests demonstrate that the ACD meete its design requirements. The performance of the ACD has remained stable thrugh stand-alone environmental testing, shipment across the U.S. installation onto the LAT, shipment back across the U.S., LAT environmental testing, and shipment to Arizona. As part of the fully-assembled GLAST observatory, the ACD is being readied for final testing before launch.

  11. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  12. Chemically derived graphene oxide: towards large-area thin-film electronics and optoelectronics.

    PubMed

    Eda, Goki; Chhowalla, Manish

    2010-06-11

    Chemically derived graphene oxide (GO) possesses a unique set of properties arising from oxygen functional groups that are introduced during chemical exfoliation of graphite. Large-area thin-film deposition of GO, enabled by its solubility in a variety of solvents, offers a route towards GO-based thin-film electronics and optoelectronics. The electrical and optical properties of GO are strongly dependent on its chemical and atomic structure and are tunable over a wide range via chemical engineering. In this Review, the fundamental structure and properties of GO-based thin films are discussed in relation to their potential applications in electronics and optoelectronics.

  13. Charge injection in thin dielectric layers by atomic force microscopy: influence of geometry and material work function of the AFM tip on the injection process

    NASA Astrophysics Data System (ADS)

    Villeneuve-Faure, C.; Makasheva, K.; Boudou, L.; Teyssedre, G.

    2016-06-01

    Charge injection and retention in thin dielectric layers remain critical issues for the reliability of many electronic devices because of their association with a large number of failure mechanisms. To overcome this drawback, a deep understanding of the mechanisms leading to charge injection close to the injection area is needed. Even though the charge injection is extensively studied and reported in the literature to characterize the charge storage capability of dielectric materials, questions about charge injection mechanisms when using atomic force microscopy (AFM) remain open. In this paper, a thorough study of charge injection by using AFM in thin plasma-processed amorphous silicon oxynitride layers with properties close to that of thermal silica layers is presented. The study considers the impact of applied voltage polarity, work function of the AFM tip coating and tip curvature radius. A simple theoretical model was developed and used to analyze the obtained experimental results. The electric field distribution is computed as a function of tip geometry. The obtained experimental results highlight that after injection in the dielectric layer the charge lateral spreading is mainly controlled by the radial electric field component independently of the carrier polarity. The injected charge density is influenced by the nature of electrode metal coating (work function) and its geometry (tip curvature radius). The electron injection is mainly ruled by the Schottky injection barrier through the field electron emission mechanism enhanced by thermionic electron emission. The hole injection mechanism seems to differ from the electron one depending on the work function of the metal coating. Based on the performed analysis, it is suggested that for hole injection by AFM, pinning of the metal Fermi level with the metal-induced gap states in the studied silicon oxynitride layers starts playing a role in the injection mechanisms.

  14. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Impact of excess In-atoms at high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Imai, Daichi; Hwang, Eun-Sook

    2016-12-01

    The growth kinetics of nominally one-monolayer (˜1-ML)-thick InN wells on/in the +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the impacts of excess In atoms and/or In droplets at a high growth temperature of 650 °C. Even at a constant growth temperature of 650 °C, the thickness of the sheet-island-like InN-well layers could be controlled/varied from 1-ML to 2-ML owing to the effect of excess In atoms and/or In droplets accumulated during growth. The possible growth mechanism is discussed based on the ring-shaped bright cathodoluminescence emissions introduced along the circumference of the In droplets during growth. The effective thermal stability of N atoms below the bilayer adsorbed In atoms was increased by the presence of In droplets, resulting in the freezing of 2-ML-thick InN wells into the GaN matrix. It therefore became possible to study the difference between the emission properties of 1-ML and 2-ML-thick InN wells/GaN matrix quantum wells (QWs) having similar GaN matrix crystallinity grown at the same temperature. InN/GaN QW-samples grown under widely different In + N* supply conditions characteristically separated into two groups with distinctive emission-peak wavelengths originating from 1-ML and 2-ML-thick InN wells embedded in the GaN matrix. Reflecting the growth mechanism inherent to the D-ALEp of InN on/in the +c-GaN matrix at high temperature, either 1-ML or 2-ML-thick "binary" InN well layers tended to be frozen into the GaN matrix rather InGaN random ternary-alloys. Both the structural quality and uniformity of the 1-ML InN well sample were better than those of the 2-ML InN well sample, essentially owing to the quite thin critical thickness of around 1-ML arising from the large lattice mismatch of InN and GaN.

  15. Mechanical properties of atomic layer deposition-reinforced nanoparticle thin films.

    PubMed

    Zhang, Lei; Prosser, Jacob H; Feng, Gang; Lee, Daeyeon

    2012-10-21

    Nanoparticle thin films (NTFs) exhibit multifunctionality, making them useful for numerous advanced applications including energy storage and conversion, biosensing and photonics. Poor mechanical reliability and durability of NTFs, however, limit their industrial and commercial applications. Atomic layer deposition (ALD) represents a unique opportunity to enhance the mechanical properties of NTFs at a relatively low temperature without drastically changing their original structure and functionality. In this work, we study how ALD of different materials, Al(2)O(3), TiO(2), and SiO(2), affects the mechanical properties of TiO(2) and SiO(2) NTFs. Our results demonstrate that the mechanical properties of ALD-reinforced NTFs are dominantly influenced by the mechanical properties of the ALD materials rather than by the compositional matching between ALD and nanoparticle materials. Among the three ALD materials, Al(2)O(3) ALD provides the best enhancement in the modulus and hardness of the NTFs. Interestingly, Al(2)O(3) ALD is able to enhance not only the modulus and hardness but also the toughness of NTFs. Our study presents an additional benefit of depositing nanometer scale ALD layers in NTFs; that is, we find that the hardness and modulus of ultrathin ALD layers (<5 nm) can be estimated from the mechanical properties of ALD-reinforced NTFs using a simple mixing rule. This investigation also provides insight into the use of nanoindentation for testing the mechanical properties of ultrathin ALD-reinforced NTFs.

  16. Electrochemical Effects of Atomic Layer Deposition on Cathode Materials for Lithium Batteries

    NASA Astrophysics Data System (ADS)

    Scott, Isaac David

    One of the greatest challenges of modern society is to stabilize a consistent energy supply that will meet our growing energy demand while decreasing the use of fossil fuels and the harmful green house gases which they produce. Developing reliable and safe solutions has driven research into exploring alternative energy sources for transportation including fuel cells, hydrogen storage, and lithium-ion batteries (LIBs). For the foreseeable future, though, rechargeable batteries appear to be the most practically viable power source. To deploy LIBs in next-generation vehicles, it is essential to develop electrodes with durability, high energy density, and high power. Unfortunately, the power capability of LIBs is generally hindered by Li+-ion diffusion in micrometer-sized materials and the formation of an insulating solid electrolyte interface (SEI) layer on the surface of the active material. In addition, degradation of the battery material due to chemical and electrochemical reactions with the electrolyte lead to both capacity fade and safety concerns both at room and higher temperatures. The current study focuses on mitigating these issues for high voltage cathode materials by both using nanoscale particles to improve Li+-ion diffusion and using ultrathin nanoscale coatings to protect the battery materials from undesirable side reactions. The electrode material is coated with Al2O3 using atomic layer deposition (ALD), which is a method to grow conformal thin films with atomic thickness (angstrom level control) using sequential, self-limiting surface reactions. First, nano-LiCoO 2 is employed to demonstrate the effectiveness of ALD coatings and demonstrates a profound increase in rate performance (>250% improvement) over generally employed micrometer-sized particles. Second, the cathode materials LiNi 0.8Co0.15Al0.05O2, LiNi0.33Mn 0.33Co0.33O2, LiMn2O4, and LiNi0.5Mn1.5O4 were used to demonstrate the benefits ALD coatings have on thermal runaway. The results show a

  17. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  18. Atomic Layer Deposition of Bismuth Vanadates for Solar Energy Materials.

    PubMed

    Stefik, Morgan

    2016-07-07

    The fabrication of porous nanocomposites is key to the advancement of energy conversion and storage devices that interface with electrolytes. Bismuth vanadate, BiVO4 , is a promising oxide for solar water splitting where the controlled fabrication of BiVO4 layers within porous, conducting scaffolds has remained a challenge. Here, the atomic layer deposition of bismuth vanadates is reported from BiPh3 , vanadium(V) oxytriisopropoxide, and water. The resulting films have tunable stoichiometry and may be crystallized to form the photoactive scheelite structure of BiVO4 . A selective etching process was used with vanadium-rich depositions to enable the synthesis of phase-pure BiVO4 after spinodal decomposition. BiVO4 thin films were measured for photoelectrochemical performance under AM 1.5 illumination. The average photocurrents were 1.17 mA cm(-2) at 1.23 V versus the reversible hydrogen electrode using a hole-scavenging sulfite electrolyte. The capability to deposit conformal bismuth vanadates will enable a new generation of nanocomposite architectures for solar water splitting. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Nano/biosensors based on large-area graphene

    NASA Astrophysics Data System (ADS)

    Ducos, Pedro Jose

    Two dimensional materials have properties that make them ideal for applications in chemical and biomolecular sensing. Their high surface/volume ratio implies that all atoms are exposed to the environment, in contrast to three dimensional materials with most atoms shielded from interactions inside the bulk. Graphene additionally has an extremely high carrier mobility, even at ambient temperature and pressure, which makes it ideal as a transduction device. The work presented in this thesis describes large-scale fabrication of Graphene Field Effect Transistors (GFETs), their physical and chemical characterization, and their application as biomolecular sensors. Initially, work was focused on developing an easily scalable fabrication process. A large-area graphene growth, transfer and photolithography process was developed that allowed the scaling of production of devices from a few devices per single transfer in a chip, to over a thousand devices per transfer in a full wafer of fabrication. Two approaches to biomolecules sensing were then investigated, through nanoparticles and through chemical linkers. Gold and platinum Nanoparticles were used as intermediary agents to immobilize a biomolecule. First, gold nanoparticles were monodispersed and functionalized with thiolated probe DNA to yield DNA biosensors with a detection limit of 1 nM and high specificity against noncomplementary DNA. Second, devices are modified with platinum nanoparticles and functionalized with thiolated genetically engineered scFv HER3 antibodies to realize a HER3 biosensor. Sensors retain the high affinity from the scFv fragment and show a detection limit of 300 pM. We then show covalent and non-covalent chemical linkers between graphene and antibodies. The chemical linker 1-pyrenebutanoic acid succinimidyl ester (pyrene) stacks to the graphene by Van der Waals interaction, being a completely non-covalent interaction. The linker 4-Azide-2,3,5,6-tetrafluorobenzoic acid, succinimidyl ester (azide

  20. Piezophototronic Effect in Single-Atomic-Layer MoS2 for Strain-Gated Flexible Optoelectronics.

    PubMed

    Wu, Wenzhuo; Wang, Lei; Yu, Ruomeng; Liu, Yuanyue; Wei, Su-Huai; Hone, James; Wang, Zhong Lin

    2016-10-01

    Strain-gated flexible optoelectronics are reported based on monolayer MoS 2 . Utilizing the piezoelectric polarization created at the metal-MoS 2 interface to modulate the separation/transport of photogenerated carriers, the piezophototronic effect is applied to implement atomic-layer-thick phototransistor. Coupling between piezoelectricity and photogenerated carriers may enable the development of novel optoelectronics. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    PubMed

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  2. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    NASA Astrophysics Data System (ADS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Mankad, Ravin; Haight, Richard; Mitzi, David B.; Gunawan, Oki; Gordon, Roy G.

    2014-11-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 1019 to 1020 cm-3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 1019 to 1014 cm-3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  3. Bio-mimicked atomic-layer-deposited iron oxide-based memristor with synaptic potentiation and depression functions

    NASA Astrophysics Data System (ADS)

    Wan, Xiang; Gao, Fei; Lian, Xiaojuan; Ji, Xincun; Hu, Ertao; He, Lin; Tong, Yi; Guo, Yufeng

    2018-06-01

    In this study, an iron oxide (FeO x )-based memristor was investigated for the realization of artificial synapses. An FeO x resistive switching layer was prepared by self-limiting atomic layer deposition (ALD). The movement of oxygen vacancies enabled the device to have history-dependent synaptic functions, which was further demonstrated by device modeling and simulation. Analog synaptic potentiation/depression in conductance was emulated by applying consecutive voltage pulses in the simulation. Our results suggest that the ALD FeO x -based memristor can be used as the basic building block for neural networks, neuromorphic systems, and brain-inspired computers.

  4. Low temperature platinum atomic layer deposition on nylon-6 for highly conductive and catalytic fiber mats

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mundy, J. Zachary; Shafiefarhood, Arya; Li, Fanxing

    2016-01-15

    Low temperature platinum atomic layer deposition (Pt-ALD) via (methylcyclopentadienyl)trimethyl platinum and ozone (O{sub 3}) is used to produce highly conductive nonwoven nylon-6 (polyamide-6, PA-6) fiber mats, having effective conductivities as high as ∼5500–6000 S/cm with only a 6% fractional increase in mass. The authors show that an alumina ALD nucleation layer deposited at high temperature is required to promote Pt film nucleation and growth on the polymeric substrate. Fractional mass gain scales linearly with Pt-ALD cycle number while effective conductivity exhibits a nonlinear trend with cycle number, corresponding to film coalescence. Field-emission scanning electron microscopy reveals island growth mode ofmore » the Pt film at low cycle number with a coalesced film observed after 200 cycles. The metallic coating also exhibits exceptional resistance to mechanical flexing, maintaining up to 93% of unstressed conductivity after bending around cylinders with radii as small as 0.3 cm. Catalytic activity of the as-deposited Pt film is demonstrated via carbon monoxide oxidation to carbon dioxide. This novel low temperature processing allows for the inclusion of highly conductive catalytic material on a number of temperature-sensitive substrates with minimal mass gain for use in such areas as smart textiles and flexible electronics.« less

  5. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    PubMed

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  6. Modification in drag of turbulent boundary layers resulting from manipulation of large-scale structures

    NASA Technical Reports Server (NTRS)

    Corke, T. C.; Guezennec, Y.; Nagib, H. M.

    1981-01-01

    The effects of placing a parallel-plate turbulence manipulator in a boundary layer are documented through flow visualization and hot wire measurements. The boundary layer manipulator was designed to manage the large scale structures of turbulence leading to a reduction in surface drag. The differences in the turbulent structure of the boundary layer are summarized to demonstrate differences in various flow properties. The manipulator inhibited the intermittent large scale structure of the turbulent boundary layer for at least 70 boundary layer thicknesses downstream. With the removal of the large scale, the streamwise turbulence intensity levels near the wall were reduced. The downstream distribution of the skin friction was also altered by the introduction of the manipulator.

  7. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  8. Atomic Layer-Deposited Titanium-Doped Vanadium Oxide Thin Films and Their Thermistor Applications

    DOE PAGES

    Wang, Shuyu; Yu, Shifeng; Lu, Ming; ...

    2016-11-30

    In this paper, we report the enhancement in the temperature coefficient of resistance (TCR) of atomic layer-deposited vanadium oxide thin films through the doping of titanium oxide. The Hall effect measurement provides a potential explanation for the phenomenon. The composition and morphology of the thin films are investigated by x-ray diffraction and scanning electron microscopy techniques. The high TCR, good uniformity, and low processing temperature of the material make it a good candidate for thermistor application.

  9. Spatial atomic layer deposition of ZnO/TiO{sub 2} nanolaminates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Rong, E-mail: rongchen@mail.hust.edu.cn; Lin, Ji-Long; He, Wen-Jie

    2016-09-15

    Spatial atomic layer deposition (S-ALD) is a potential high-throughput manufacturing technique offering fast and large scale ultrathin films deposition. Here, an S-ALD system with modular injectors is introduced for fabricating binary oxides and their nanolaminates. By optimizing the deposition conditions, both ZnO and TiO{sub 2} films demonstrate linear growth and desired surface morphology. The as-deposited ZnO film has high carrier mobility, and the TiO{sub 2} film shows suitable optical transmittance and band gap. The ZnO/TiO{sub 2} nanolaminates are fabricated by alternating substrate movement between each S-ALD modular units of ZnO and TiO{sub 2}. The grazing incidence x-ray diffraction spectra ofmore » nanolaminates demonstrating the signature peaks are weaker for the same thickness nanolaminates with more bilayers, suggesting tuning nanolaminates from crystalline to amorphous. Optical transmittances of ZnO/TiO{sub 2} laminates are enhanced with the increase of the bilayers' number in the visible range. Refractive indices of nanolaminates increase with the thickness of each bilayer decreasing, which demonstrates the feasibility of obtaining desired refractive indices by controlling the bilayer number. The electronic properties, including mobility, carrier concentration, and conductivity, are also tunable with different bilayers.« less

  10. Fermi Large Area Telescope

    Science.gov Websites

    Home Mission Instrument Institutions Publications NASA Pictures Internal lock The Fermi Large Area Monitor (GBM). Wikipedia Country Funding Agencies United States NASA; Department of Energy France

  11. Two-dimensional transition metal dichalcogenides as atomically thin semiconductors: opportunities and challenges.

    PubMed

    Duan, Xidong; Wang, Chen; Pan, Anlian; Yu, Ruqin; Duan, Xiangfeng

    2015-12-21

    The discovery of graphene has ignited intensive interest in two-dimensional layered materials (2DLMs). These 2DLMs represent a new class of nearly ideal 2D material systems for exploring fundamental chemistry and physics at the limit of single-atom thickness, and have the potential to open up totally new technological opportunities beyond the reach of existing materials. In general, there are a wide range of 2DLMs in which the atomic layers are weakly bonded together by van der Waals interactions and can be isolated into single or few-layer nanosheets. The van der Waals interactions between neighboring atomic layers could allow much more flexible integration of distinct materials to nearly arbitrarily combine and control different properties at the atomic scale. The transition metal dichalcogenides (TMDs) (e.g., MoS2, WSe2) represent a large family of layered materials, many of which exhibit tunable band gaps that can undergo a transition from an indirect band gap in bulk crystals to a direct band gap in monolayer nanosheets. These 2D-TMDs have thus emerged as an exciting class of atomically thin semiconductors for a new generation of electronic and optoelectronic devices. Recent studies have shown exciting potential of these atomically thin semiconductors, including the demonstration of atomically thin transistors, a new design of vertical transistors, as well as new types of optoelectronic devices such as tunable photovoltaic devices and light emitting devices. In parallel, there have also been considerable efforts in developing diverse synthetic approaches for the rational growth of various forms of 2D materials with precisely controlled chemical composition, physical dimension, and heterostructure interface. Here we review the recent efforts, progress, opportunities and challenges in exploring the layered TMDs as a new class of atomically thin semiconductors.

  12. Highly efficient computer algorithm for identifying layer thickness of atomically thin 2D materials

    NASA Astrophysics Data System (ADS)

    Lee, Jekwan; Cho, Seungwan; Park, Soohyun; Bae, Hyemin; Noh, Minji; Kim, Beom; In, Chihun; Yang, Seunghoon; Lee, Sooun; Seo, Seung Young; Kim, Jehyun; Lee, Chul-Ho; Shim, Woo-Young; Jo, Moon-Ho; Kim, Dohun; Choi, Hyunyong

    2018-03-01

    The fields of layered material research, such as transition-metal dichalcogenides (TMDs), have demonstrated that the optical, electrical and mechanical properties strongly depend on the layer number N. Thus, efficient and accurate determination of N is the most crucial step before the associated device fabrication. An existing experimental technique using an optical microscope is the most widely used one to identify N. However, a critical drawback of this approach is that it relies on extensive laboratory experiences to estimate N; it requires a very time-consuming image-searching task assisted by human eyes and secondary measurements such as atomic force microscopy and Raman spectroscopy, which are necessary to ensure N. In this work, we introduce a computer algorithm based on the image analysis of a quantized optical contrast. We show that our algorithm can apply to a wide variety of layered materials, including graphene, MoS2, and WS2 regardless of substrates. The algorithm largely consists of two parts. First, it sets up an appropriate boundary between target flakes and substrate. Second, to compute N, it automatically calculates the optical contrast using an adaptive RGB estimation process between each target, which results in a matrix with different integer Ns and returns a matrix map of Ns onto the target flake position. Using a conventional desktop computational power, the time taken to display the final N matrix was 1.8 s on average for the image size of 1280 pixels by 960 pixels and obtained a high accuracy of 90% (six estimation errors among 62 samples) when compared to the other methods. To show the effectiveness of our algorithm, we also apply it to TMD flakes transferred on optically transparent c-axis sapphire substrates and obtain a similar result of the accuracy of 94% (two estimation errors among 34 samples).

  13. Relating electronic and geometric structure of atomic layer deposited BaTiO 3 to its electrical properties

    DOE PAGES

    Torgersen, Jan; Acharya, Shinjita; Dadlani, Anup Lal; ...

    2016-03-24

    Atomic layer deposition allows the fabrication of BaTiO 3 (BTO) ultrathin films with tunable dielectric properties, which is a promising material for electronic and optical technology. Industrial applicability necessitates a better understanding of their atomic structure and corresponding properties. Through the use of element-specific X-ray absorption near edge structure (XANES) analysis, O K-edge of BTO as a function of cation composition and underlying substrate (RuO 2 and SiO 2) is revealed. By employing density functional theory and multiple scattering simulations, we analyze the distortions in BTO’s bonding environment captured by the XANES spectra. The spectral weight shifts to lower energymore » with increasing Ti content and provides an atomic scale (microscopic) explanation for the increase in leakage current density. Differences in film morphologies in the first few layers near substrate–film interfaces reveal BTO’s homogeneous growth on RuO 2 and its distorted growth on SiO 2. As a result, this work links structural changes to BTO thin-film properties and provides insight necessary for optimizing future BTO and other ternary metal oxide-based thin-film devices.« less

  14. Low-Temperature Atomic Layer Deposition of MoS2 Films.

    PubMed

    Jurca, Titel; Moody, Michael J; Henning, Alex; Emery, Jonathan D; Wang, Binghao; Tan, Jeffrey M; Lohr, Tracy L; Lauhon, Lincoln J; Marks, Tobin J

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe 2 ) 4 with H 2 S for the low-temperature synthesis of MoS 2 . This observation motivated an investigation of Mo(NMe 2 ) 4 as a volatile precursor for the atomic layer deposition (ALD) of MoS 2 thin films. Herein we report that Mo(NMe 2 ) 4 enables MoS 2 film growth at record low temperatures-as low as 60 °C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. Onmore » the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.« less

  16. Fast solid-phase synthesis of large-area few-layer 1T'-MoTe2 films

    NASA Astrophysics Data System (ADS)

    Xie, Sheng; Chen, Lin; Zhang, Tian-Bao; Nie, Xin-Ran; Zhu, Hao; Ding, Shi-Jin; Sun, Qing-Qing; Zhang, David Wei

    2017-06-01

    In this study, we report on a novel approach to produce ∼12 nm thick few-layer monoclinic 1T'-MoTe2 films. The deposition method comprised sputtering of Mo, molecular beam epitaxy of Te, and rapid thermal processing to effect tellurization of the Mo into 1T'-MoTe2. The heating rate and annealing time are the critical factors. 30 °C s-1 heating rate and 2 min annealing at 470 °C were adopted in this work. X-ray photoelectron spectroscopy confirmed the formation of stoichiometric 1T'-MoTe2 films, while X-ray diffraction confirmed the monoclinic polymorph. Raman spectroscopy confirmed spatial uniformity over the sample size of 1 cm × 1.5 cm. Our fast synthesis approach to realize high-quality 1T'-MoTe2 paves the way towards the large-scale application of 1T'-MoTe2 in high-performance nanoelectronics and optoelectronics.

  17. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  18. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  19. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    NASA Astrophysics Data System (ADS)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  20. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment.

    PubMed

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-11

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO 2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO 2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO 2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO 2 . The ALD ZrO 2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  1. Atomically-thin molecular layers for electrode modification of organic transistors

    NASA Astrophysics Data System (ADS)

    Gim, Yuseong; Kang, Boseok; Kim, Bongsoo; Kim, Sun-Guk; Lee, Joong-Hee; Cho, Kilwon; Ku, Bon-Cheol; Cho, Jeong Ho

    2015-08-01

    Atomically-thin molecular layers of aryl-functionalized graphene oxides (GOs) were used to modify the surface characteristics of source-drain electrodes to improve the performances of organic field-effect transistor (OFET) devices. The GOs were functionalized with various aryl diazonium salts, including 4-nitroaniline, 4-fluoroaniline, or 4-methoxyaniline, to produce several types of GOs with different surface functional groups (NO2-Ph-GO, F-Ph-GO, or CH3O-Ph-GO, respectively). The deposition of aryl-functionalized GOs or their reduced derivatives onto metal electrode surfaces dramatically enhanced the electrical performances of both p-type and n-type OFETs relative to the performances of OFETs prepared without the GO modification layer. Among the functionalized rGOs, CH3O-Ph-rGO yielded the highest hole mobility of 0.55 cm2 V-1 s-1 and electron mobility of 0.17 cm2 V-1 s-1 in p-type and n-type FETs, respectively. Two governing factors: (1) the work function of the modified electrodes and (2) the crystalline microstructures of the benchmark semiconductors grown on the modified electrode surface were systematically investigated to reveal the origin of the performance improvements. Our simple, inexpensive, and scalable electrode modification technique provides a significant step toward optimizing the device performance by engineering the semiconductor-electrode interfaces in OFETs.Atomically-thin molecular layers of aryl-functionalized graphene oxides (GOs) were used to modify the surface characteristics of source-drain electrodes to improve the performances of organic field-effect transistor (OFET) devices. The GOs were functionalized with various aryl diazonium salts, including 4-nitroaniline, 4-fluoroaniline, or 4-methoxyaniline, to produce several types of GOs with different surface functional groups (NO2-Ph-GO, F-Ph-GO, or CH3O-Ph-GO, respectively). The deposition of aryl-functionalized GOs or their reduced derivatives onto metal electrode surfaces dramatically

  2. Preparation of Gelatin Layer Film with Gold Clusters in Using Photographic Film

    NASA Astrophysics Data System (ADS)

    Kuge, Ken'ichi; Arisawa, Michiko; Aoki, Naokazu; Hasegawa, Akira

    2000-12-01

    A gelatin layer film with gold clusters is produced by taking advantage of the photosensitivity of silver halide photography. Through exposure silver specks, which are called latent-image specks and are composed of several reduced silver atoms, are formed on the surface of silver halide grains in the photographic film. As the latent-image specks act as a catalyst for redox reaction, reduced gold atoms are deposited on the latent-image specks when the exposed film is immersed in a gold (I) thiocyanate complex solution for 5-20 days. Subsequently, when the silver halide grains are dissolved and removed, the gelatin layer film with gold clusters remains. The film produced by this method is purple and showed an absorption spectrum having a maximum of approximately 560 nm as a result of plasmon absorption. The clusters continued to grow with immersion time, and the growth rate increased as the concentration of the gold complex solution was increased. The cluster diameter changed from 20 nm to 100 nm. By this method, it is possible to produce a gelatin film of a large area with evenly dispersed gold clusters, and since it is produced only on the exposed area, pattern forming is also possible.

  3. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.

    2016-01-15

    In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less

  4. Method for large-scale fabrication of atomic-scale structures on material surfaces using surface vacancies

    DOEpatents

    Lim, Chong Wee; Ohmori, Kenji; Petrov, Ivan Georgiev; Greene, Joseph E.

    2004-07-13

    A method for forming atomic-scale structures on a surface of a substrate on a large-scale includes creating a predetermined amount of surface vacancies on the surface of the substrate by removing an amount of atoms on the surface of the material corresponding to the predetermined amount of the surface vacancies. Once the surface vacancies have been created, atoms of a desired structure material are deposited on the surface of the substrate to enable the surface vacancies and the atoms of the structure material to interact. The interaction causes the atoms of the structure material to form the atomic-scale structures.

  5. Strain-Tuning Atomic Substitution in Two-Dimensional Atomic Crystals.

    PubMed

    Li, Honglai; Liu, Hongjun; Zhou, Linwei; Wu, Xueping; Pan, Yuhao; Ji, Wei; Zheng, Biyuan; Zhang, Qinglin; Zhuang, Xiujuan; Zhu, Xiaoli; Wang, Xiao; Duan, Xiangfeng; Pan, Anlian

    2018-05-22

    Atomic substitution offers an important route to achieve compositionally engineered two-dimensional nanostructures and their heterostructures. Despite the recent research progress, the fundamental understanding of the reaction mechanism has still remained unclear. Here, we reveal the atomic substitution mechanism of two-dimensional atomic layered materials. We found that the atomic substitution process depends on the varying lattice constant (strain) in monolayer crystals, dominated by two strain-tuning (self-promoted and self-limited) mechanisms using density functional theory calculations. These mechanisms were experimentally confirmed by the controllable realization of a graded substitution ratio in the monolayers by controlling the substitution temperature and time and further theoretically verified by kinetic Monte Carlo simulations. The strain-tuning atomic substitution processes are of general importance to other two-dimensional layered materials, which offers an interesting route for tailoring electronic and optical properties of these materials.

  6. Large Eddy Simulation of Wake Vortices in the Convective Boundary Layer

    NASA Technical Reports Server (NTRS)

    Lin, Yuh-Lang; Han, Jongil; Zhang, Jing; Ding, Feng; Arya, S. Pal; Proctor, Fred H.

    2000-01-01

    The behavior of wake vortices in a convective boundary layer is investigated using a validated large eddy simulation model. Our results show that the vortices are largely deformed due to strong turbulent eddy motion while a sinusoidal Crow instability develops. Vortex rising is found to be caused by the updrafts (thermals) during daytime convective conditions and increases with increasing nondimensional turbulence intensity eta. In the downdraft region of the convective boundary layer, vortex sinking is found to be accelerated proportional to increasing eta, with faster speed than that in an ideal line vortex pair in an inviscid fluid. Wake vortices are also shown to be laterally transported over a significant distance due to large turbulent eddy motion. On the other hand, the decay rate of the, vortices in the convective boundary layer that increases with increasing eta, is larger in the updraft region than in the downdraft region because of stronger turbulence in the updraft region.

  7. Virtual interface substructure synthesis method for normal mode analysis of super-large molecular complexes at atomic resolution.

    PubMed

    Chen, Xuehui; Sun, Yunxiang; An, Xiongbo; Ming, Dengming

    2011-10-14

    Normal mode analysis of large biomolecular complexes at atomic resolution remains challenging in computational structure biology due to the requirement of large amount of memory space and central processing unit time. In this paper, we present a method called virtual interface substructure synthesis method or VISSM to calculate approximate normal modes of large biomolecular complexes at atomic resolution. VISSM introduces the subunit interfaces as independent substructures that join contacting molecules so as to keep the integrity of the system. Compared with other approximate methods, VISSM delivers atomic modes with no need of a coarse-graining-then-projection procedure. The method was examined for 54 protein-complexes with the conventional all-atom normal mode analysis using CHARMM simulation program and the overlap of the first 100 low-frequency modes is greater than 0.7 for 49 complexes, indicating its accuracy and reliability. We then applied VISSM to the satellite panicum mosaic virus (SPMV, 78,300 atoms) and to F-actin filament structures of up to 39-mer, 228,813 atoms and found that VISSM calculations capture functionally important conformational changes accessible to these structures at atomic resolution. Our results support the idea that the dynamics of a large biomolecular complex might be understood based on the motions of its component subunits and the way in which subunits bind one another. © 2011 American Institute of Physics

  8. Atomic Layer Deposition of the Solid Electrolyte LiPON

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozen, Alexander C.; Pearse, Alexander J.; Lin, Chuan -Fu

    We demonstrate an atomic layer deposition (ALD) process for the solid electrolyte lithium phosphorousoxynitride (LiPON) using lithium tert-butoxide (LiO tBu), H 2O, trimethylphosphate (TMP), and plasma N 2 ( PN 2) as precursors. We use in-situ spectroscopic ellipsometry to determine growth rates for process optimization to design a rational, quaternary precursor ALD process where only certain substrate–precursor chemical reactions are favorable. We demonstrate via in-situ XPS tunable nitrogen incorporation into the films by variation of the PN 2 dose and find that ALD films over approximately 4.5% nitrogen are amorphous, whereas LiPON ALD films with less than 4.5% nitrogen aremore » polycrystalline. Lastly, we characterize the ionic conductivity of the ALD films as a function of nitrogen content and demonstrate their functionality on a model battery electrode—a Si anode on a Cu current collector.« less

  9. Atomic Layer Deposition of the Solid Electrolyte LiPON

    DOE PAGES

    Kozen, Alexander C.; Pearse, Alexander J.; Lin, Chuan -Fu; ...

    2015-07-09

    We demonstrate an atomic layer deposition (ALD) process for the solid electrolyte lithium phosphorousoxynitride (LiPON) using lithium tert-butoxide (LiO tBu), H 2O, trimethylphosphate (TMP), and plasma N 2 ( PN 2) as precursors. We use in-situ spectroscopic ellipsometry to determine growth rates for process optimization to design a rational, quaternary precursor ALD process where only certain substrate–precursor chemical reactions are favorable. We demonstrate via in-situ XPS tunable nitrogen incorporation into the films by variation of the PN 2 dose and find that ALD films over approximately 4.5% nitrogen are amorphous, whereas LiPON ALD films with less than 4.5% nitrogen aremore » polycrystalline. Lastly, we characterize the ionic conductivity of the ALD films as a function of nitrogen content and demonstrate their functionality on a model battery electrode—a Si anode on a Cu current collector.« less

  10. Atomic-scale structure and electronic properties of GaN/GaAs superlattices

    NASA Astrophysics Data System (ADS)

    Goldman, R. S.; Feenstra, R. M.; Briner, B. G.; O'Steen, M. L.; Hauenstein, R. J.

    1996-12-01

    We have investigated the atomic-scale structure and electronic properties of GaN/GaAs superlattices produced by nitridation of a molecular beam epitaxially grown GaAs surface. Using cross-sectional scanning tunneling microscopy (STM) and spectroscopy, we show that the nitrided layers are laterally inhomogeneous, consisting of groups of atomic-scale defects and larger clusters. Analysis of x-ray diffraction data in terms of fractional area of clusters (determined by STM), reveals a cluster lattice constant similar to bulk GaN. In addition, tunneling spectroscopy on the defects indicates a conduction band state associated with an acceptor level of NAs in GaAs. Therefore, we identify the clusters and defects as nearly pure GaN and NAs, respectively. Together, the results reveal phase segregation in these arsenide/nitride structures, in agreement with the large miscibility gap predicted for GaAsN.

  11. Effect of Atomic Layer Deposition on the Quality Factor of Silicon Nanobeam Cavities

    DTIC Science & Technology

    2012-01-25

    Additionally, tuning of 2D photonic crystal systems has been shown using atomic layer deposition (ALD) of hafnium oxide [5] and titanium oxide [6] and plasma...μm. This region of the fiber is then carefully positioned across the nanobeam cavity. A tunable narrowband laser source is coupled into one end of the...fiber, and the trans- mitted power is detected at the other end. As the laser source is tuned into resonance with the cavity, some of the power is

  12. Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS

    PubMed Central

    Ratzsch, Stephan; Kley, Ernst-Bernhard; Tünnermann, Andreas; Szeghalmi, Adriana

    2015-01-01

    In this study, the influence of direct current (DC) biasing on the growth of titanium dioxide (TiO2) layers and their nucleation behavior has been investigated. Titania films were prepared by plasma enhanced atomic layer deposition (PEALD) using Ti(OiPr)4 as metal organic precursor. Oxygen plasma, provided by remote inductively coupled plasma, was used as an oxygen source. The TiO2 films were deposited with and without DC biasing. A strong dependence of the applied voltage on the formation of crystallites in the TiO2 layer is shown. These crystallites form spherical hillocks on the surface which causes high surface roughness. By applying a higher voltage than the plasma potential no hillock appears on the surface. Based on these results, it seems likely, that ions are responsible for the nucleation and hillock growth. Hence, the hillock formation can be controlled by controlling the ion energy and ion flux. The growth per cycle remains unchanged, whereas the refractive index slightly decreases in the absence of energetic oxygen ions. PMID:28793679

  13. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors.

    PubMed

    Bezryadin, A; Belkin, A; Ilin, E; Pak, M; Colla, Eugene V; Hubler, A

    2017-12-08

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al 2 O 3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm -1 (i.e., 1 GV m -1 ), which is much larger than the table value of the Al 2 O 3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  14. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors

    NASA Astrophysics Data System (ADS)

    Bezryadin, A.; Belkin, A.; Ilin, E.; Pak, M.; Colla, Eugene V.; Hubler, A.

    2017-12-01

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al2O3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm-1 (i.e., 1 GV m-1), which is much larger than the table value of the Al2O3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  15. Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.

    PubMed

    Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M

    2009-12-01

    We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.

  16. Skin-layer of the eruptive magnetic flux rope in large solar flares

    NASA Astrophysics Data System (ADS)

    Kichigin, G. N.; Miroshnichenko, L. I.; Sidorov, V. I.; Yazev, S. A.

    2016-07-01

    The analysis of observations of large solar flares made it possible to propose a hypothesis on existence of a skin-layer in magnetic flux ropes of coronal mass ejections. On the assumption that the Bohm coefficient determines the diffusion of magnetic field, an estimate of the skin-layer thickness of ~106 cm is obtained. According to the hypothesis, the electric field of ~0.01-0.1 V/cm, having the nonzero component along the magnetic field of flux rope, arises for ~5 min in the surface layer of the eruptive flux rope during its ejection into the upper corona. The particle acceleration by the electric field to the energies of ~100 MeV/nucleon in the skin-layer of the flux rope leads to their precipitation along field lines to footpoints of the flux rope. The skin-layer presence induces helical or oval chromospheric emission at the ends of flare ribbons. The emission may be accompanied by hard X-ray radiation and by the production of gamma-ray line at the energy of 2.223 MeV (neutron capture line in the photosphere). The magnetic reconnection in the corona leads to a shift of the skin-layer of flux rope across the magnetic field. The area of precipitation of accelerated particles at the flux-rope footpoints expands in this case from the inside outward. This effect is traced in the chromosphere and in the transient region as the expanding helical emission structures. If the emission extends to the spot, a certain fraction of accelerated particles may be reflected from the magnetic barrier (in the magnetic field of the spot). In the case of exit into the interplanetary space, these particles may be recorded in the Earth's orbit as solar proton events.

  17. Effect of Thermal Budget on the Electrical Characterization of Atomic Layer Deposited HfSiO/TiN Gate Stack MOSCAP Structure

    PubMed Central

    Khan, Z. N.; Ahmed, S.; Ali, M.

    2016-01-01

    Metal Oxide Semiconductor (MOS) capacitors (MOSCAP) have been instrumental in making CMOS nano-electronics realized for back-to-back technology nodes. High-k gate stacks including the desirable metal gate processing and its integration into CMOS technology remain an active research area projecting the solution to address the requirements of technology roadmaps. Screening, selection and deposition of high-k gate dielectrics, post-deposition thermal processing, choice of metal gate structure and its post-metal deposition annealing are important parameters to optimize the process and possibly address the energy efficiency of CMOS electronics at nano scales. Atomic layer deposition technique is used throughout this work because of its known deposition kinetics resulting in excellent electrical properties and conformal structure of the device. The dynamics of annealing greatly influence the electrical properties of the gate stack and consequently the reliability of the process as well as manufacturable device. Again, the choice of the annealing technique (migration of thermal flux into the layer), time-temperature cycle and sequence are key parameters influencing the device’s output characteristics. This work presents a careful selection of annealing process parameters to provide sufficient thermal budget to Si MOSCAP with atomic layer deposited HfSiO high-k gate dielectric and TiN gate metal. The post-process annealing temperatures in the range of 600°C -1000°C with rapid dwell time provide a better trade-off between the desirable performance of Capacitance-Voltage hysteresis and the leakage current. The defect dynamics is thought to be responsible for the evolution of electrical characteristics in this Si MOSCAP structure specifically designed to tune the trade-off at low frequency for device application. PMID:27571412

  18. The impact of a large boreal wildfire on boundary-layer conditions and carbon cycling in adjacent unburned areas: case study of the 2011 Utikuma Complex fire, Alberta, Canada

    NASA Astrophysics Data System (ADS)

    Petrone, R. M.; Thompson, D. K.; Chasmer, L.; Kljun, N.; Flannigan, M.; Devito, K. J.; Waddington, J. M.

    2016-12-01

    Boreal wildfire conflagrations have increased in frequency in the western boreal forest of Canada, with notable events in 2011, 2015, and 2016. Significant advances have been made in recent years in understanding fire-atmosphere interactions, with similar gains in the knowledge of carbon emissions and post-fire carbon cycling in forests. However, the focus of such studies is routinely on the burned stands themselves, with little attention to the adjacent forest whose boundary layer meteorology and carbon cycling may be impacted by smoke plume. We capitalize here on opportunistic eddy covariance observations of boundary-layer conditions and carbon cycling taken over a long-term monitoring site adjacent to an active wildfire in Alberta, Canada in 2011. Over a one-week period while the wildfire was burning near the footprint of the tower the turbulent structure of the boundary layer near the tower was altered with significant changes in friction velocity, air temperature, and vapour pressure deficit. Moreover, growing season net ecosystem productivity (NEP) decreased to almost zero largely due to reduced photosynthesis likely due to smoke-related reductions in photosynthetically active radiation (PAR). While the `smoke event' caused a reduction in forest CO2 sequestration by 7 g CO2 m-2 given that the smoked affected area was 120 times greater than the area burned this carbon reduction was equivalent to 30% of gross fire emissions from the fire. Consequently, we argue that smoke related inhibition of photosynthesis via reduced light should be considered when investigating the net radiative forcing of boreal forest wildfires.

  19. Comparison of the Results of MISSE 6 Atomic Oxygen Erosion Yields of Layered Kapton H Films with Monte Carlo Computational Predictions

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; Groh, Kim De; Kneubel, Christian A.

    2014-01-01

    A space experiment flown as part of the Materials International Space Station Experiment 6B (MISSE 6B) was designed to compare the atomic oxygen erosion yield (Ey) of layers of Kapton H polyimide with no spacers between layers with that of layers of Kapton H with spacers between layers. The results were compared to a solid Kapton H (DuPont, Wilmington, DE) sample. Monte Carlo computational modeling was performed to optimize atomic oxygen interaction parameter values to match the results of both the MISSE 6B multilayer experiment and the undercut erosion profile from a crack defect in an aluminized Kapton H sample flown on the Long Duration Exposure Facility (LDEF). The Monte Carlo modeling produced credible agreement with space results of increased Ey for all samples with spacers as well as predicting the space-observed enhancement in erosion near the edges of samples due to scattering from the beveled edges of the sample holders.

  20. Cancer mortality in residents of the terrain-shielded area exposed to fallout from the Nagasaki atomic bombing

    PubMed Central

    Mine, Mariko; Kondo, Hisayoshi; Matsuda, Naoki; Shibata, Yoshisada; Takamura, Noboru

    2018-01-01

    Abstract The health effects of radiation exposure from the atomic bomb fallout remain unclear. The objective of the present study is to elucidate the association between low-dose radiation exposure from the atomic bomb fallout and cancer mortality among Nagasaki atomic bomb survivors. Of 77 884 members in the Nagasaki University Atomic Bomb Survivors Cohort, 610 residents in the terrain-shielded area with fallout were selected for this analysis; 1443 residents in the terrain-shielded area without fallout were selected as a control group; and 3194 residents in the direct exposure area were also selected for study. Fifty-two deaths due to cancer in the terrain-shielded fallout area were observed during the follow-up period from 1 January 1970 to 31 December 2012. The hazard ratio for cancer mortality in the terrain-shielded fallout area was 0.90 (95% confidence interval: 0.65–1.24). No increase in the risk of cancer mortality was observed, probably because the dose of the radiation exposure was low for residents in the terrain-shielded fallout areas of the Nagasaki atomic bomb, and also because the number of study subjects was small. PMID:29036510

  1. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    PubMed

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  2. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    PubMed

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  3. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  4. Nanoscale observation of organic thin film by atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Mochizuki, Shota; Uruma, Takeshi; Satoh, Nobuo; Saravanan, Shanmugam; Soga, Tetsuo

    2017-08-01

    Organic photovoltaics (OPVs) fabricated using organic semiconductors and hybrid solar cells (HSCs) based on organic semiconductors/quantum dots (QDs) have been attracting significant attention owing to their potential use in low-cost solar energy-harvesting applications and flexible, light-weight, colorful, large-area devices. In this study, we observed and evaluated the surface of a photoelectric conversion layer (active layer) of the OPVs and HSCs based on phenyl-C61-butyric acid methyl ester (PCBM), poly(3-hexylthiophene) (P3HT), and zinc oxide (ZnO) nanoparticles. The experiment was performed using atomic force microscopy (AFM) combined with a frequency modulation detector (FM detector) and a contact potential difference (CPD) detection circuit. We experimentally confirmed the changes in film thickness and surface potential, as affected by the ZnO nanoparticle concentration. From the experimental results, we confirmed that ZnO nanoparticles possibly affect the structures of PCBM and P3HT. Also, we prepared an energy band diagram on the basis of the observation results, and analyzed the energy distribution inside the active layer.

  5. Processing of catalysts by atomic layer epitaxy: modification of supports

    NASA Astrophysics Data System (ADS)

    Lindblad, Marina; Haukka, Suvi; Kytökivi, Arla; Lakomaa, Eeva-Liisa; Rautiainen, Aimo; Suntola, Tuomo

    1997-11-01

    Different supports were modified with titania, zirconia and chromia by the atomic layer epitaxy technique (ALE). In ALE, a metal precursor is bound to the support in saturating gas-solid reactions. Surface oxides are grown by alternating reactions of the metal precursor and an oxidizing agent. Growth mechanisms differ depending on the precursor-support pair and the processing conditions. In this work, the influences of the support, precursor and reaction temperature were investigated by comparing the growth of titania from Ti(OCH(CH 3) 2) 4 on silica and alumina, titania from TiCl 4 and Ti(OCH(CH 3) 2) 4 on silica, and zirconia from ZrCl 4 on silica and alumina. The modification of porous oxides supported on metal substrates (monoliths) was demonstrated for the growth of chromia from Cr(acac) 3.

  6. Atomic Layer Deposition for Improved Electrochemical Stability for Lithium Ion Batteries

    NASA Astrophysics Data System (ADS)

    Riley, Leah Autumn

    2011-12-01

    The dwindling supply of fossil fuels and the harmful green house gases which they produce have driven research towards developing a reliable and safe solution. Alternative forms of transportation, such as hybrid electric, plug-in hybrid electric and all electric vehicles in turn have recently received vast consumer attention. Lithium ion batteries (LIBs) are seen as the most promising option in HEVs and PHEVs. However, while prevalent in watches, computers and phones, significant improvements in both energy density and rate capability need to be achieved before LIBs are suitable for vehicular applications. Decades of research has yielded a range of anode and cathode materials that exhibit higher capacity and better rate capability than the traditional graphite and LiCoO2 found in commercial batteries. Unfortunately due to material pulverization and electrode/electrolyte interfacial reactions high performance materials are often plagued with poor capacity retention and material degradation. Surprisingly, many of the issues accompanying high performance materials can be suppressed by the application of as little as 8 angstroms of Al 2O3 on the surface. Ultra-thin, conformal, ceramic passivating layers are grown using a thin film technique called Atomic Layer Deposition (ALD). Self-limiting and easily tailored, ALD is a superior coating method compared to the more common wet-chemical methods such as sol-gel. Conformal ALD is applied to commercially common materials (graphite, LiCoO2), as well as high energy density alternatives (MoO3, Li(Ni1/3 Mn1/3Co1/3)O2). It will be shown that the ALD coating protects high surface area state-of-the-art nanoparticles from decomposition and protects electrode surfaces from HF attack and dissolution even up to 5.0 V. In addition to extending overall electrochemical cycling stability, ALD will be shown to minimize hazards and risks, such as thermal runaway, by preventing unwanted side reactions with the organic liquid electrolyte. ALD

  7. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    NASA Astrophysics Data System (ADS)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  8. Fabrication of large area Si cylindric drift detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, W.; Kraner, H.W.; Li, Z.

    1993-04-01

    Advanced Si drift detector, a large area cylindrical drift detector (CDD), processing steps, with the exception of the ion implantation, were carried out in the BNL class 100 cleanroom. The double-side planer process technique was developed for the fabrication of CDD. Important improvements of the double-side planer process in this fabrication are the introduction of Al implantation protection mask and the remaining of a 1000 Angstroms oxide layer in the p-window during the implantation. Another important design of the CDD is the structure called ``river,`` which ,allows the current generated on Si-SiO{sub 2} interface to ``flow`` into the guard anode,more » and thus can minimize the leakage current at the signed anode. The test result showed that most of the signal anodes have the leakage current about 0.3 nA/cm{sup 2} for the best detector.« less

  9. Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brozena, Alexandra H.; Oldham, Christopher J.; Parsons, Gregory N., E-mail: gnp@ncsu.edu

    Textile materials, including woven cotton, polymer knit fabrics, and synthetic nonwoven fiber mats, are being explored as low-cost, flexible, and light-weight platforms for wearable electronic sensing, communication, energy generation, and storage. The natural porosity and high surface area in textiles is also useful for new applications in environmental protection, chemical decontamination, pharmaceutical and chemical manufacturing, catalytic support, tissue regeneration, and others. These applications raise opportunities for new chemistries, chemical processes, biological coupling, and nanodevice systems that can readily combine with textile manufacturing to create new “multifunctional” fabrics. Atomic layer deposition (ALD) has a unique ability to form highly uniform andmore » conformal thin films at low processing temperature on nonuniform high aspect ratio surfaces. Recent research shows how ALD can coat, modify, and otherwise improve polymer fibers and textiles by incorporating new materials for viable electronic and other multifunctional capabilities. This article provides a current overview of the understanding of ALD coating and modification of textiles, including current capabilities and outstanding problems, with the goal of providing a starting point for further research and advances in this field. After a brief introduction to textile materials and current textile treatment methods, the authors discuss unique properties of ALD-coated textiles, followed by a review of recent electronic and multifunctional textiles that use ALD coatings either as direct functional components or as critical nucleation layers for active materials integration. The article concludes with possible future directions for ALD on textiles, including the challenges in materials, manufacturing, and manufacturing integration that must be overcome for ALD to reach its full potential in electronic and other emerging multifunctional textile systems.« less

  10. Electronic and Optical Properties of Atomic Layer-Deposited ZnO and TiO2

    NASA Astrophysics Data System (ADS)

    Ates, H.; Bolat, S.; Oruc, F.; Okyay, A. K.

    2018-05-01

    Metal oxides are attractive for thin film optoelectronic applications. Due to their wide energy bandgaps, ZnO and TiO2 are being investigated by many researchers. Here, we have studied the electrical and optical properties of ZnO and TiO2 as a function of deposition and post-annealing conditions. Atomic layer deposition (ALD) is a novel thin film deposition technique where the growth conditions can be controlled down to atomic precision. ALD-grown ZnO films are shown to exhibit tunable optical absorption properties in the visible and infrared region. Furthermore, the growth temperature and post-annealing conditions of ZnO and TiO2 affect the electrical properties which are investigated using ALD-grown metal oxide as the electron transport channel on thin film field-effect devices.

  11. A Simple Approach for Molecular Controlled Release based on Atomic Layer Deposition Hybridized Organic-Inorganic Layers

    PubMed Central

    Boehler, Christian; Güder, Firat; Kücükbayrak, Umut M.; Zacharias, Margit; Asplund, Maria

    2016-01-01

    On-demand release of bioactive substances with high spatial and temporal control offers ground-breaking possibilities in the field of life sciences. However, available strategies for developing such release systems lack the possibility of combining efficient control over release with adequate storage capability in a reasonably compact system. In this study we present a new approach to target this deficiency by the introduction of a hybrid material. This organic-inorganic material was fabricated by atomic layer deposition of ZnO into thin films of polyethylene glycol, forming the carrier matrix for the substance to be released. Sub-surface growth mechanisms during this process converted the liquid polymer into a solid, yet water-soluble, phase. This layer permits extended storage for various substances within a single film of only a few micrometers in thickness, and hence demands minimal space and complexity. Improved control over release of the model substance Fluorescein was achieved by coating the hybrid material with a conducting polymer film. Single dosage and repetitive dispensing from this system was demonstrated. Release was controlled by applying a bias potential of ±0.5 V to the polymer film enabling or respectively suppressing the expulsion of the model drug. In vitro tests showed excellent biocompatibility of the presented system. PMID:26791399

  12. Surface Acidity and Properties of TiO2/SiO2 Catalysts Prepared by Atomic Layer Deposition: UV-visible Diffuse Reflectance, DRIFTS, and Visible Raman Spectroscopy Studies

    DTIC Science & Technology

    2009-06-15

    titanium isopropoxide (TTIP) as metal precursors. The deposition rate of titania films from TiCl4 was found to be stable in the 150-300 °C...tetrachloride (TiCl4) and titanium isopropoxide (TTIP) are widely used as metal precursors and water or hydrogen peroxide are used as oxygen precursors.29-36... titanium dioxide supported on high surface area silica gel have been synthesized by atomic layer deposition (ALD) using titanium tetrachloride (TiCl4) and

  13. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  14. Application of atomic force microscopy to microbial surfaces: from reconstituted cell surface layers to living cells.

    PubMed

    Dufrêne, Y F

    2001-02-01

    The application of atomic force microscopy (AFM) to probe the ultrastructure and physical properties of microbial cell surfaces is reviewed. The unique capabilities of AFM can be summarized as follows: imaging surface topography with (sub)nanometer lateral resolution; examining biological specimens under physiological conditions; measuring local properties and interaction forces. AFM is being used increasingly for: (i) visualizing the surface ultrastructure of microbial cell surface layers, including bacterial S-layers, purple membranes, porin OmpF crystals and fungal rodlet layers; (ii) monitoring conformational changes of individual membrane proteins; (iii) examining the morphology of bacterial biofilms, (iv) revealing the nanoscale structure of living microbial cells, including fungi, yeasts and bacteria, (v) mapping interaction forces at microbial surfaces, such as van der Waals and electrostatic forces, solvation forces, and steric/bridging forces; and (vi) probing the local mechanical properties of cell surface layers and of single cells.

  15. Large-area settlement pattern recognition from Landsat-8 data

    NASA Astrophysics Data System (ADS)

    Wieland, Marc; Pittore, Massimiliano

    2016-09-01

    The study presents an image processing and analysis pipeline that combines object-based image analysis with a Support Vector Machine to derive a multi-layered settlement product from Landsat-8 data over large areas. 43 image scenes are processed over large parts of Central Asia (Southern Kazakhstan, Kyrgyzstan, Tajikistan and Eastern Uzbekistan). The main tasks tackled by this work include built-up area identification, settlement type classification and urban structure types pattern recognition. Besides commonly used accuracy assessments of the resulting map products, thorough performance evaluations are carried out under varying conditions to tune algorithm parameters and assess their applicability for the given tasks. As part of this, several research questions are being addressed. In particular the influence of the improved spatial and spectral resolution of Landsat-8 on the SVM performance to identify built-up areas and urban structure types are evaluated. Also the influence of an extended feature space including digital elevation model features is tested for mountainous regions. Moreover, the spatial distribution of classification uncertainties is analyzed and compared to the heterogeneity of the building stock within the computational unit of the segments. The study concludes that the information content of Landsat-8 images is sufficient for the tested classification tasks and even detailed urban structures could be extracted with satisfying accuracy. Freely available ancillary settlement point location data could further improve the built-up area classification. Digital elevation features and pan-sharpening could, however, not significantly improve the classification results. The study highlights the importance of dynamically tuned classifier parameters, and underlines the use of Shannon entropy computed from the soft answers of the SVM as a valid measure of the spatial distribution of classification uncertainties.

  16. Effect of additional elements on compositional modulated atomic layered structure of hexagonal Co{sub 80}Pt{sub 20} alloy films with superlattice diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hinata, Shintaro; Research Fellowship Division Japan Society for the Promotion of Science; Yamane, Akira

    2016-05-15

    The effect of additional element on compositionally modulated atomic layered structure of hexagonal Co{sub 80}Pt{sub 20} alloy films with superlattice diffraction was investigated. In this study it is found that the addition of Cr or W element to Co{sub 80}Pt{sub 20} alloy film shows less deterioration of hcp stacking structure and compositionally modulated atomic layer stacking structure as compared to Si or Zr or Ti with K{sub u} of around 1.4 or 1.0 × 10{sup 7} erg/cm{sup 3} at 5 at.% addition. Furthermore, for O{sub 2} addition of O{sub 2} ≥ 5.0 × 10{sup −3} Pa to CoPt alloy, compositionallymore » modulated atomic layer stacking structure will be deteriorated with enhancement of formation of hcp stacking structure which leads higher K{sub u} of 1.0 × 10{sup 7} erg/cm{sup 3}.« less

  17. Atomic layer deposition of TiO2 shells on MoO3 nanobelts allowing enhanced lithium storage performance.

    PubMed

    Xie, Sanmu; Cao, Daxian; She, Yiyi; Wang, Hongkang; Shi, Jian-Wen; Leung, Micheal K H; Niu, Chunming

    2018-06-26

    Atomic layer deposition (ALD) of TiO2 shells on MoO3 nanobelts (denote as TiO2@MoO3) is realized using a home-made ALD system, which allows a controllable hydrolysis reaction of TiCl4-H2O on an atomic scale. When used as an anode material for lithium ion batteries, the TiO2@MoO3 electrode demonstrates much enhanced lithium storage performance including higher specific capacity, better cycling stability and rate capability.

  18. Non-mean-field theory of anomalously large double layer capacitance

    NASA Astrophysics Data System (ADS)

    Loth, M. S.; Skinner, Brian; Shklovskii, B. I.

    2010-07-01

    Mean-field theories claim that the capacitance of the double layer formed at a metal/ionic conductor interface cannot be larger than that of the Helmholtz capacitor, whose width is equal to the radius of an ion. However, in some experiments the apparent width of the double layer capacitor is substantially smaller. We propose an alternate non-mean-field theory of the ionic double layer to explain such large capacitance values. Our theory allows for the binding of discrete ions to their image charges in the metal, which results in the formation of interface dipoles. We focus primarily on the case where only small cations are mobile and other ions form an oppositely charged background. In this case, at small temperature and zero applied voltage dipoles form a correlated liquid on both contacts. We show that at small voltages the capacitance of the double layer is determined by the transfer of dipoles from one electrode to the other and is therefore limited only by the weak dipole-dipole repulsion between bound ions so that the capacitance is very large. At large voltages the depletion of bound ions from one of the capacitor electrodes triggers a collapse of the capacitance to the much smaller mean-field value, as seen in experimental data. We test our analytical predictions with a Monte Carlo simulation and find good agreement. We further argue that our “one-component plasma” model should work well for strongly asymmetric ion liquids. We believe that this work also suggests an improved theory of pseudocapacitance.

  19. Large catchment area recharges Titan's Ontario Lacus

    NASA Astrophysics Data System (ADS)

    Dhingra, Rajani D.; Barnes, Jason W.; Yanites, Brian J.; Kirk, Randolph L.

    2018-01-01

    We seek to address the question of what processes are at work to fill Ontario Lacus while other, deeper south polar basins remain empty. Our hydrological analysis indicates that Ontario Lacus has a catchment area spanning 5.5% of Titan's surface and a large catchment area to lake surface area ratio. This large catchment area translates into large volumes of liquid making their way to Ontario Lacus after rainfall. The areal extent of the catchment extends to at least southern mid-latitudes (40°S). Mass conservation calculations indicate that runoff alone might completely fill Ontario Lacus within less than half a Titan year (1 Titan year = 29.5 Earth years) assuming no infiltration. Cassini Visual and Infrared Mapping Spectrometer (VIMS) observations of clouds over the southern mid and high-latitudes are consistent with precipitation feeding Ontario's large catchment area. This far-flung rain may be keeping Ontario Lacus filled, making it a liquid hydrocarbon oasis in the relatively dry south polar region.

  20. Metal-HfO{sub 2}-Ge capacitor: Its enhanced charge trapping properties with S-treated substrate and atomic-layer-deposited HfO{sub 2} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, In-Sung; Jung, Yong Chan; Seong, Sejong

    2015-01-15

    The charge trapping properties of metal-HfO{sub 2}-Ge capacitor as a nonvolatile memory have been investigated with (NH{sub 4}){sub 2}S-treated Ge substrate and atomic-layer-deposited HfO{sub 2} layer. The interfacial layer generated by (NH{sub 4}){sub 2}S-treated Ge substrate reveals a trace of -S- bonding, very sharp interface edges, and smooth surface morphology. The Ru-HfO{sub 2}-Ge capacitor with (NH{sub 4}){sub 2}S-treated Ge substrate shows an enhanced interface state with little frequency dispersion, a lower leakage current, and very reliable properties with the enhanced endurance and retention than Ru-HfO{sub 2}-Ge capacitor with cyclic-cleaned Ge substrate.

  1. Tunneling Spectroscopy of Superconducting MoN and NbTiN Grown by Atomic Layer Deposition.

    DOE PAGES

    Groll, Nickolas; Klug, Jeffrey A.; Cao, Chaoyue; ...

    2014-03-03

    A tunneling spectroscopy study is presented of superconducting MoN and Nbo.8Tio.2N thin films grown by atomic layer deposition (ALD). The films exhibited a superconducting gap of 2meV and 2.4meV, respectively, with a corresponding critical temperature of 11.5K and 13.4 K, among the highest reported Tc values achieved by the ALD technique.Tunnel junctions were obtained using a mechanical contact method with a Au tip. While the native oxides of these films provided poor tunnel barriers, high quality tunnel junctions with low zero bias conductance (below rvl0%) were obtained using an artificial tunnel barrier of Ah03 on the film's surface grown exmore » situ by ALD. We find a large critical current density on the order of 4 x 106Ncm2 at T =0.8Tc for a 60 run MoN film and demonstrate conformal coating capabilities of ALD onto high aspect ratio geometries. These results suggest that the ALD technique offers significant promise for thin film superconducting device applications.« less

  2. Tunneling spectroscopy of superconducting MoN and NbTiN grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Groll, Nickolas R.; Klug, Jeffrey A.; Cao, Chaoyue; Altin, Serdar; Claus, Helmut; Becker, Nicholas G.; Zasadzinski, John F.; Pellin, Michael J.; Proslier, Thomas

    2014-03-01

    A tunneling spectroscopy study is presented of superconducting MoN and Nb0.8Ti0.2N thin films grown by atomic layer deposition (ALD). The films exhibited a superconducting gap of 2 meV and 2.4 meV, respectively, with a corresponding critical temperature of 11.5 K and 13.4 K, among the highest reported Tc values achieved by the ALD technique. Tunnel junctions were obtained using a mechanical contact method with a Au tip. While the native oxides of these films provided poor tunnel barriers, high quality tunnel junctions with low zero bias conductance (below ˜10%) were obtained using an artificial tunnel barrier of Al2O3 on the film's surface grown ex situ by ALD. We find a large critical current density on the order of 4 × 106 A/cm2 at T = 0.8Tc for a 60 nm MoN film and demonstrate conformal coating capabilities of ALD onto high aspect ratio geometries. These results suggest that the ALD technique offers significant promise for thin film superconducting device applications.

  3. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  4. On the Single-Layer Hydraulics Model for Flows and Ventilation over Unban Areas in Stable Stratification

    NASA Astrophysics Data System (ADS)

    Liu, C. H.

    2015-12-01

    Atmospheric stability has substantial effects on the flows and heat/mass transport processes. While extensive studies have been conducted for neutral and unstable stabilities, rather limited studies have been devoted to stable stratification. Major technical reason is the demanding spatio-temporal resolution required to solve the small scales in stratified turbulent flows. Instead of continuous density variation, we use the single-layer hydraulics model (analogous to shallow water equations for global dynamics), to simulate the stratified flows and turbulence structure over hypothetical urban areas. An array of identical ribs in cross flows is used to model an idealized urban surface and the aerodynamic resistance is controlled by the separation among the ribs. Two immiscible fluids (water and air) with a large density difference (three order of magnitude) are used to simulate the stratification. The key assumption is that the density in the (lower) single layer is uniform. As a result, the stratification is measured by the Froude number Fr (= U/(gH)1/2; where U is the flow speed, g the gravitational acceleration and H the single-layer depth). One of the characteristics of single-layer hydraulics model is hydraulic jump which occurs when the flows are slowing down from Fr > 1 (high-speed flows over smoother surfaces) to Fr < 1 (lower-speed flows over rougher surfaces). It is noteworthy that kinetic energy does not conserve across hydraulic jump that, unavoidably, cascades to turbulent kinetic energy (TKE). We thus hypotheses that the elevated TKE could modify the street-level ventilation mechanism in the stratified flows across an abrupt change in surface roughness entering urban areas. Large-eddy simulation and laboratory-scale water channel experiments are sought to improve our understanding of the occurrence of hydraulic jump and the associated street-level ventilation mechanism in the stratified flows over urban areas. Preliminary results, by comparing the

  5. Cancer mortality in residents of the terrain-shielded area exposed to fallout from the Nagasaki atomic bombing.

    PubMed

    Yokota, Kenichi; Mine, Mariko; Kondo, Hisayoshi; Matsuda, Naoki; Shibata, Yoshisada; Takamura, Noboru

    2018-01-01

    The health effects of radiation exposure from the atomic bomb fallout remain unclear. The objective of the present study is to elucidate the association between low-dose radiation exposure from the atomic bomb fallout and cancer mortality among Nagasaki atomic bomb survivors. Of 77 884 members in the Nagasaki University Atomic Bomb Survivors Cohort, 610 residents in the terrain-shielded area with fallout were selected for this analysis; 1443 residents in the terrain-shielded area without fallout were selected as a control group; and 3194 residents in the direct exposure area were also selected for study. Fifty-two deaths due to cancer in the terrain-shielded fallout area were observed during the follow-up period from 1 January 1970 to 31 December 2012. The hazard ratio for cancer mortality in the terrain-shielded fallout area was 0.90 (95% confidence interval: 0.65-1.24). No increase in the risk of cancer mortality was observed, probably because the dose of the radiation exposure was low for residents in the terrain-shielded fallout areas of the Nagasaki atomic bomb, and also because the number of study subjects was small. © The Author 2017. Published by Oxford University Press on behalf of The Japan Radiation Research Society and Japanese Society for Radiation Oncology.

  6. Analytic solution and pulse area theorem for three-level atoms

    NASA Astrophysics Data System (ADS)

    Shchedrin, Gavriil; O'Brien, Chris; Rostovtsev, Yuri; Scully, Marlan O.

    2015-12-01

    We report an analytic solution for a three-level atom driven by arbitrary time-dependent electromagnetic pulses. In particular, we consider far-detuned driving pulses and show an excellent match between our analytic result and the numerical simulations. We use our solution to derive a pulse area theorem for three-level V and Λ systems without making the rotating wave approximation. Formulated as an energy conservation law, this pulse area theorem can be used to understand pulse propagation through three-level media.

  7. Episodic large-scale overturn of two-layer mantles in terrestrial planets

    NASA Astrophysics Data System (ADS)

    Herrick, D. L.; Parmentier, E. M.

    1994-01-01

    It is usually assumed that the upper and lower mantles of a chemically stratified planet are arranged so that the upper mantle is chemically less dense and that these layers convect separately. Possible buoyant overturn of the two mantle layers has not previously been considered. Such overturn would initially occur when thermal expansion of a chemically denser lower mantle more than offsets the compositional density difference between the layers, reversing the relative sense of buoyancy. Once overturn has occurred, the chemically denser, but thermally less dense upper mantle cools more efficiently than the lower mantle and loses its relative thermal buoyancy. If mixing is slow, this leads to repeated overturns that result in thermal histories that differ radically from those obtained without this large-scale overturning. Thermal evolution calculations, for a two-layer mantle over a wide range of parameter space, show that large-scale overturn occurs cyclically with a well-defined period. This period depends most strongly on the viscosity of the lower mantle, to which it is approximately proportional. Geologically interesting overturn periods on the order of 107 to 109 years result for lower mantle viscosities of 1022 to 1024 Pa s for the Earth and Venus, and 1021 to 1023 Pa s for Mars. The mantles of Mercury and the Moon are too thin to permit two-layer convection, and therefore the model is not appropriate for them. Overturn cannot occur on Earth or Venus if the compositional density difference between the layers exceeds about 4%, or on Mars if it exceeds about 2%. Large-scale mantle overturn could have significant tectonic consequences such as the initiation of a new plate tectonic cycle on the Earth or a major resurfacing event on Mars or Venus. Such episodic events in the evolution of a planet are not easily explained by whole mantle thermal convection.

  8. Atomic-Scale Variations of the Mechanical Response of 2D Materials Detected by Noncontact Atomic Force Microscopy.

    PubMed

    de la Torre, B; Ellner, M; Pou, P; Nicoara, N; Pérez, Rubén; Gómez-Rodríguez, J M

    2016-06-17

    We show that noncontact atomic force microscopy (AFM) is sensitive to the local stiffness in the atomic-scale limit on weakly coupled 2D materials, as graphene on metals. Our large amplitude AFM topography and dissipation images under ultrahigh vacuum and low temperature resolve the atomic and moiré patterns in graphene on Pt(111), despite its extremely low geometric corrugation. The imaging mechanisms are identified with a multiscale model based on density-functional theory calculations, where the energy cost of global and local deformations of graphene competes with short-range chemical and long-range van der Waals interactions. Atomic contrast is related with short-range tip-sample interactions, while the dissipation can be understood in terms of global deformations in the weakly coupled graphene layer. Remarkably, the observed moiré modulation is linked with the subtle variations of the local interplanar graphene-substrate interaction, opening a new route to explore the local mechanical properties of 2D materials at the atomic scale.

  9. Controlled Phase and Tunable Magnetism in Ordered Iron Oxide Nanotube Arrays Prepared by Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yijun; Liu, Ming; Peng, Bin; Zhou, Ziyao; Chen, Xing; Yang, Shu-Ming; Jiang, Zhuang-De; Zhang, Jie; Ren, Wei; Ye, Zuo-Guang

    2016-01-01

    Highly-ordered and conformal iron oxide nanotube arrays on an atomic scale are successfully prepared by atomic layer deposition (ALD) with controlled oxidization states and tunable magnetic properties between superparamagnetism and ferrimagnetism. Non-magnetic α-Fe2O3 and superparamagnetic Fe3O4 with a blocking temperature of 120 K are in-situ obtained by finely controlling the oxidation reaction. Both of them exhibit a very small grain size of only several nanometers due to the nature of atom-by-atom growth of the ALD technique. Post-annealing α-Fe2O3 in a reducing atmosphere leads to the formation of the spinel Fe3O4 phase which displays a distinct ferrimagnetic anisotropy and the Verwey metal-insulator transition that usually takes place only in single crystal magnetite or thick epitaxial films at low temperatures. The ALD deposition of iron oxide with well-controlled phase and tunable magnetism demonstrated in this work provides a promising opportunity for the fabrication of 3D nano-devices to be used in catalysis, spintronics, microelectronics, data storages and bio-applications.

  10. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  11. High Performance and Highly Reliable ZnO Thin Film Transistor Fabricated by Atomic Layer Deposition for Next Generation Displays

    DTIC Science & Technology

    2011-08-19

    zinc oxide ( ZnO ) thin film as an active channel layer in TFT has become of great interest owing to their specific...630-0192 Japan Phone: +81-743-72-6060 Fax: +81-743-72-6069 E-mail: uraoka@ms.naist.jp Keywords: zinc oxide , thin film transistors , atomic layer...deposition Symposium topic: Transparent Semiconductors Oxides [Abstract] In this study, we fabricated TFTs using ZnO thin film as the

  12. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone.

    PubMed

    Jandhyala, Srikar; Mordi, Greg; Lee, Bongki; Lee, Geunsik; Floresca, Carlo; Cha, Pil-Ryung; Ahn, Jinho; Wallace, Robert M; Chabal, Yves J; Kim, Moon J; Colombo, Luigi; Cho, Kyeongjae; Kim, Jiyoung

    2012-03-27

    Integration of graphene field-effect transistors (GFETs) requires the ability to grow or deposit high-quality, ultrathin dielectric insulators on graphene to modulate the channel potential. Here, we study a novel and facile approach based on atomic layer deposition through ozone functionalization to deposit high-κ dielectrics (such as Al(2)O(3)) without breaking vacuum. The underlying mechanisms of functionalization have been studied theoretically using ab initio calculations and experimentally using in situ monitoring of transport properties. It is found that ozone molecules are physisorbed on the surface of graphene, which act as nucleation sites for dielectric deposition. The physisorbed ozone molecules eventually react with the metal precursor, trimethylaluminum to form Al(2)O(3). Additionally, we successfully demonstrate the performance of dual-gated GFETs with Al(2)O(3) of sub-5 nm physical thickness as a gate dielectric. Back-gated GFETs with mobilities of ~19,000 cm(2)/(V·s) are also achieved after Al(2)O(3) deposition. These results indicate that ozone functionalization is a promising pathway to achieve scaled gate dielectrics on graphene without leaving a residual nucleation layer. © 2012 American Chemical Society

  13. Atomically thin layers of B-N-C-O with tunable composition.

    PubMed

    Ozturk, Birol; de-Luna-Bugallo, Andres; Panaitescu, Eugen; Chiaramonti, Ann N; Liu, Fangze; Vargas, Anthony; Jiang, Xueping; Kharche, Neerav; Yavuzcetin, Ozgur; Alnaji, Majed; Ford, Matthew J; Lok, Jay; Zhao, Yongyi; King, Nicholas; Dhar, Nibir K; Dubey, Madan; Nayak, Saroj K; Sridhar, Srinivas; Kar, Swastik

    2015-07-01

    In recent times, atomically thin alloys of boron, nitrogen, and carbon have generated significant excitement as a composition-tunable two-dimensional (2D) material that demonstrates rich physics as well as application potentials. The possibility of tunably incorporating oxygen, a group VI element, into the honeycomb sp(2)-type 2D-BNC lattice is an intriguing idea from both fundamental and applied perspectives. We present the first report on an atomically thin quaternary alloy of boron, nitrogen, carbon, and oxygen (2D-BNCO). Our experiments suggest, and density functional theory (DFT) calculations corroborate, stable configurations of a honeycomb 2D-BNCO lattice. We observe micrometer-scale 2D-BNCO domains within a graphene-rich 2D-BNC matrix, and are able to control the area coverage and relative composition of these domains by varying the oxygen content in the growth setup. Macroscopic samples comprising 2D-BNCO domains in a graphene-rich 2D-BNC matrix show graphene-like gate-modulated electronic transport with mobility exceeding 500 cm(2) V(-1) s(-1), and Arrhenius-like activated temperature dependence. Spin-polarized DFT calculations for nanoscale 2D-BNCO patches predict magnetic ground states originating from the B atoms closest to the O atoms and sizable (0.6 eV < E g < 0.8 eV) band gaps in their density of states. These results suggest that 2D-BNCO with novel electronic and magnetic properties have great potential for nanoelectronics and spintronic applications in an atomically thin platform.

  14. Resonant tunneling through discrete quantum states in stacked atomic-layered MoS2.

    PubMed

    Nguyen, Linh-Nam; Lan, Yann-Wen; Chen, Jyun-Hong; Chang, Tay-Rong; Zhong, Yuan-Liang; Jeng, Horng-Tay; Li, Lain-Jong; Chen, Chii-Dong

    2014-05-14

    Two-dimensional crystals can be assembled into three-dimensional stacks with atomic layer precision, which have already shown plenty of fascinating physical phenomena and been used for prototype vertical-field-effect-transistors.1,2 In this work, interlayer electron tunneling in stacked high-quality crystalline MoS2 films were investigated. A trilayered MoS2 film was sandwiched between top and bottom electrodes with an adjacent bottom gate, and the discrete energy levels in each layer could be tuned by bias and gate voltages. When the discrete energy levels aligned, a resonant tunneling peak appeared in the current-voltage characteristics. The peak position shifts linearly with perpendicular magnetic field, indicating formation of Landau levels. From this linear dependence, the effective mass and Fermi velocity are determined and are confirmed by electronic structure calculations. These fundamental parameters are useful for exploitation of its unique properties.

  15. Atomic layer deposition and properties of ZrO2/Fe2O3 thin films

    PubMed Central

    Seemen, Helina; Ritslaid, Peeter; Rähn, Mihkel; Tamm, Aile; Kukli, Kaupo; Kasikov, Aarne; Link, Joosep; Stern, Raivo; Dueñas, Salvador; Castán, Helena; García, Héctor

    2018-01-01

    Thin solid films consisting of ZrO2 and Fe2O3 were grown by atomic layer deposition (ALD) at 400 °C. Metastable phases of ZrO2 were stabilized by Fe2O3 doping. The number of alternating ZrO2 and Fe2O3 deposition cycles were varied in order to achieve films with different cation ratios. The influence of annealing on the composition and structure of the thin films was investigated. Additionally, the influence of composition and structure on electrical and magnetic properties was studied. Several samples exhibited a measurable saturation magnetization and most of the samples exhibited a charge polarization. Both phenomena were observed in the sample with a Zr/Fe atomic ratio of 2.0. PMID:29441257

  16. Passivation of Plasmonic Colors on Bulk Silver by Atomic Layer Deposition of Aluminum Oxide.

    PubMed

    Guay, Jean-Michel; Killaire, Graham; Gordon, Peter G; Barry, Sean T; Berini, Pierre; Weck, Arnaud

    2018-05-01

    We report the passivation of angle-independent plasmonic colors on bulk silver by atomic layer deposition (ALD) of thin films of aluminum oxide. The colors are rendered by silver nanoparticles produced by laser ablation and redeposition on silver. We then apply a two-step approach to aluminum oxide conformal film formation via ALD. In the first step, a low-density film is deposited at low temperature to preserve and pin the silver nanoparticles. In the second step, a second denser film is deposited at a higher temperature to provide tarnish protection. This approach successfully protects the silver and plasmonic colors against tarnishing, humidity, and temperature, as demonstrated by aggressive exposure trials. The processing time associated with deposition of the conformal passivation layers meets industry requirements, and the approach is compatible with mass manufacturing.

  17. Improved oxidation resistance of organic/inorganic composite atomic layer deposition coated cellulose nanocrystal aerogels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, Sean W.; Matthews, David J.; Conley, John F., E-mail: jconley@eecs.oregonstate.edu

    2014-07-01

    Cellulose nanocrystal (CNC) aerogels are coated with thin conformal layers of Al{sub 2}O{sub 3} using atomic layer deposition to form hybrid organic/inorganic nanocomposites. Electron probe microanalysis and scanning electron microscopy analysis indicated the Al{sub 2}O{sub 3} penetrated more than 1500 μm into the aerogel for extended precursor pulse and exposure/purge times. The measured profile of coated fiber radius versus depth from the aerogel surface agrees well with simulations of precursor penetration depth in modeled aerogel structures. Thermogravimetric analysis shows that Al{sub 2}O{sub 3} coated CNC aerogel nanocomposites do not show significant thermal degradation below 295 °C as compared with 175 °C for uncoatedmore » CNC aerogels, an improvement of over 100 °C.« less

  18. Geometric correction methods for Timepix based large area detectors

    NASA Astrophysics Data System (ADS)

    Zemlicka, J.; Dudak, J.; Karch, J.; Krejci, F.

    2017-01-01

    X-ray micro radiography with the hybrid pixel detectors provides versatile tool for the object inspection in various fields of science. It has proven itself especially suitable for the samples with low intrinsic attenuation contrast (e.g. soft tissue in biology, plastics in material sciences, thin paint layers in cultural heritage, etc.). The limited size of single Medipix type detector (1.96 cm2) was recently overcome by the construction of large area detectors WidePIX assembled of Timepix chips equipped with edgeless silicon sensors. The largest already built device consists of 100 chips and provides fully sensitive area of 14.3 × 14.3 cm2 without any physical gaps between sensors. The pixel resolution of this device is 2560 × 2560 pixels (6.5 Mpix). The unique modular detector layout requires special processing of acquired data to avoid occurring image distortions. It is necessary to use several geometric compensations after standard corrections methods typical for this type of pixel detectors (i.e. flat-field, beam hardening correction). The proposed geometric compensations cover both concept features and particular detector assembly misalignment of individual chip rows of large area detectors based on Timepix assemblies. The former deals with larger border pixels in individual edgeless sensors and their behaviour while the latter grapple with shifts, tilts and steps between detector rows. The real position of all pixels is defined in Cartesian coordinate system and together with non-binary reliability mask it is used for the final image interpolation. The results of geometric corrections for test wire phantoms and paleo botanic material are presented in this article.

  19. Gold and iodine diffusion in large area perovskite solar cells under illumination.

    PubMed

    Cacovich, S; Ciná, L; Matteocci, F; Divitini, G; Midgley, P A; Di Carlo, A; Ducati, C

    2017-04-06

    Operational stability is the main issue hindering the commercialisation of perovskite solar cells. Here, a long term light soaking test was performed on large area hybrid halide perovskite solar cells to investigate the morphological and chemical changes associated with the degradation of photovoltaic performance occurring within the devices. Using Scanning Transmission Electron Microscopy (STEM) in conjunction with EDX analysis on device cross sections, we observe the formation of gold clusters in the perovskite active layer as well as in the TiO 2 mesoporous layer, and a severe degradation of the perovskite due to iodine migration into the hole transporter. All these phenomena are associated with a drastic drop of all the photovoltaic parameters. The use of advanced electron microscopy techniques and data processing provides new insights on the degradation pathways, directly correlating the nanoscale structure and chemistry to the macroscopic properties of hybrid perovskite devices.

  20. High-Surface-Area Nitrogen-Doped Reduced Graphene Oxide for Electric Double-Layer Capacitors.

    PubMed

    Youn, Hee-Chang; Bak, Seong-Min; Kim, Myeong-Seong; Jaye, Cherno; Fischer, Daniel A; Lee, Chang-Wook; Yang, Xiao-Qing; Roh, Kwang Chul; Kim, Kwang-Bum

    2015-06-08

    A two-step method consisting of solid-state microwave irradiation and heat treatment under NH3 gas was used to prepare nitrogen-doped reduced graphene oxide (N-RGO) with a high specific surface area (1007 m(2)  g(-1) ), high electrical conductivity (1532 S m(-1) ), and low oxygen content (1.5 wt %) for electrical double-layer capacitor applications. The specific capacitance of N-RGO was 291 F g(-1) at a current density of 1 A g(-1) , and a capacitance of 261 F g(-1) was retained at 50 A g(-1) , which indicated a very good rate capability. N-RGO also showed excellent cycling stability and preserved 96 % of the initial specific capacitance after 100 000 cycles. Near-edge X-ray absorption fine-structure spectroscopy results provided evidenced for the recovery of π conjugation in the carbon networks with the removal of oxygenated groups and revealed chemical bonding of the nitrogen atoms in N-RGO. The good electrochemical performance of N-RGO is attributed to its high surface area, high electrical conductivity, and low oxygen content. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Ultraviolet electroluminescence from nitrogen-doped ZnO-based heterojuntion light-emitting diodes prepared by remote plasma in situ atomic layer-doping technique.

    PubMed

    Chien, Jui-Fen; Liao, Hua-Yang; Yu, Sheng-Fu; Lin, Ray-Ming; Shiojiri, Makoto; Shyue, Jing-Jong; Chen, Miin-Jang

    2013-01-23

    Remote plasma in situ atomic layer doping technique was applied to prepare an n-type nitrogen-doped ZnO (n-ZnO:N) layer upon p-type magnesium-doped GaN (p-GaN:Mg) to fabricate the n-ZnO:N/p-GaN:Mg heterojuntion light-emitting diodes. The room-temperature electroluminescence exhibits a dominant ultraviolet peak at λ ≈ 370 nm from ZnO band-edge emission and suppressed luminescence from GaN, as a result of the decrease in electron concentration in ZnO and reduced electron injection from n-ZnO:N to p-GaN:Mg because of the nitrogen incorporation. The result indicates that the in situ atomic layer doping technique is an effective approach to tailoring the electrical properties of materials in device applications.

  2. Sub-nanometer Atomic Layer Deposition for Spintronics in Magnetic Tunnel Junctions Based on Graphene Spin-Filtering Membranes

    PubMed Central

    2014-01-01

    We report on the successful integration of low-cost, conformal, and versatile atomic layer deposited (ALD) dielectric in Ni–Al2O3–Co magnetic tunnel junctions (MTJs) where the Ni is coated with a spin-filtering graphene membrane. The ALD tunnel barriers, as thin as 0.6 nm, are grown layer-by-layer in a simple, low-vacuum, ozone-based process, which yields high-quality electron-transport barriers as revealed by tunneling characterization. Even under these relaxed conditions, including air exposure of the interfaces, a significant tunnel magnetoresistance is measured highlighting the robustness of the process. The spin-filtering effect of graphene is enhanced, leading to an almost fully inversed spin polarization for the Ni electrode of −42%. This unlocks the potential of ALD for spintronics with conformal, layer-by-layer control of tunnel barriers in magnetic tunnel junctions toward low-cost fabrication and down-scaling of tunnel resistances. PMID:24988469

  3. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    PubMed

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  4. Large area silicon sheet by EFG

    NASA Technical Reports Server (NTRS)

    1980-01-01

    Recent advances toward silicon growth stations and improved electronic quality of multiplesilicon are discussed. These advances were made in large measure by studies in which the composition of the gas environment around the meniscus area was varied. By introducing gases such as CO2, CO, and CH4 into this region, reproducible increases in diffusion length and cell performance were realized, with the best large area (5 cm x 10 cm) cells exceeding 11% efficiency.

  5. Very-large-area CCD image sensors: concept and cost-effective research

    NASA Astrophysics Data System (ADS)

    Bogaart, E. W.; Peters, I. M.; Kleimann, A. C.; Manoury, E. J. P.; Klaassens, W.; de Laat, W. T. F. M.; Draijer, C.; Frost, R.; Bosiers, J. T.

    2009-01-01

    A new-generation full-frame 36x48 mm2 48Mp CCD image sensor with vertical anti-blooming for professional digital still camera applications is developed by means of the so-called building block concept. The 48Mp devices are formed by stitching 1kx1k building blocks with 6.0 µm pixel pitch in 6x8 (hxv) format. This concept allows us to design four large-area (48Mp) and sixty-two basic (1Mp) devices per 6" wafer. The basic image sensor is relatively small in order to obtain data from many devices. Evaluation of the basic parameters such as the image pixel and on-chip amplifier provides us statistical data using a limited number of wafers. Whereas the large-area devices are evaluated for aspects typical to large-sensor operation and performance, such as the charge transport efficiency. Combined with the usability of multi-layer reticles, the sensor development is cost effective for prototyping. Optimisation of the sensor design and technology has resulted in a pixel charge capacity of 58 ke- and significantly reduced readout noise (12 electrons at 25 MHz pixel rate, after CDS). Hence, a dynamic range of 73 dB is obtained. Microlens and stack optimisation resulted in an excellent angular response that meets with the wide-angle photography demands.

  6. Atomic Layer Deposition of MnS: Phase Control and Electrochemical Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riha, Shannon C.; Koegel, Alexandra A.; Meng, Xiangbo

    Manganese sulfide (MnS) thin films were synthesized via atomic layer deposition (ALD) using gaseous manganese bis(ethylcyclopentadienyl) and hydrogen sulfide as precursors. At deposition temperatures ≤150 °C phase-pure r-MnS thin films were deposited, while at temperatures >150 °C, a mixed phase, consisting of both r- and a-MnS resulted. In situ quartz crystal microbalance (QCM) studies validate the self-limiting behavior of both ALD half-reactions and, combined with quadrupole mass spectrometry (QMS) allow the derivation of a self-consistent reaction mechanism. Lastly, MnS thin films were deposited on copper foil and tested as a Li-ion battery anode. The MnS coin cells showed exceptional cyclemore » stability and near-theoretical capacity.« less

  7. Atomic Layer Deposition of MnS: Phase Control and Electrochemical Applications

    DOE PAGES

    Riha, Shannon C.; Koegel, Alexandra A.; Meng, Xiangbo; ...

    2016-01-19

    Manganese sulfide (MnS) thin films were synthesized via atomic layer deposition (ALD) using gaseous manganese bis(ethylcyclopentadienyl) and hydrogen sulfide as precursors. At deposition temperatures ≤150 °C phase-pure r-MnS thin films were deposited, while at temperatures >150 °C, a mixed phase, consisting of both r- and a-MnS resulted. In situ quartz crystal microbalance (QCM) studies validate the self-limiting behavior of both ALD half-reactions and, combined with quadrupole mass spectrometry (QMS) allow the derivation of a self-consistent reaction mechanism. Lastly, MnS thin films were deposited on copper foil and tested as a Li-ion battery anode. The MnS coin cells showed exceptional cyclemore » stability and near-theoretical capacity.« less

  8. Atomic layer deposition (ALD): A versatile technique for plasmonics and nanobiotechnology.

    PubMed

    Im, Hyungsoon; Wittenberg, Nathan J; Lindquist, Nathan C; Oh, Sang-Hyun

    2012-02-28

    While atomic layer deposition (ALD) has been used for many years as an industrial manufacturing method for microprocessors and displays, this versatile technique is finding increased use in the emerging fields of plasmonics and nanobiotechnology. In particular, ALD coatings can modify metallic surfaces to tune their optical and plasmonic properties, to protect them against unwanted oxidation and contamination, or to create biocompatible surfaces. Furthermore, ALD is unique among thin-film deposition techniques in its ability to meet the processing demands for engineering nanoplasmonic devices, offering conformal deposition of dense and ultra-thin films on high-aspect-ratio nanostructures at temperatures below 100 °C. In this review, we present key features of ALD and describe how it could benefit future applications in plasmonics, nanosciences, and biotechnology.

  9. Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C 4F 8 and Ar/CHF 3 Plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2016-09-08

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching (ALE) processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO 2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C 4F 8 and CHF 3), and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J Vac Sci Technol A 32,more » 020603 (2014), and D. Metzler et al., J Vac Sci Technol A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO 2 and Si, but is limited with regard to control over material etching selectivity. Ion energy over the 20 to 30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF 3 has a lower FC deposition yield for both SiO 2 and Si, and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F 8. The thickness of deposited FC layers using CHF 3 is found to be greater for Si than for SiO 2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a

  10. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma

    NASA Astrophysics Data System (ADS)

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A.; Oehrlein, Gottlieb S.

    2017-02-01

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C4F8 and CHF3) and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J. Vac. Sci. Technol., A 32, 020603 (2014) and D. Metzler et al., J. Vac. Sci. Technol., A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO2 and Si but is limited with regard to control over material etching selectivity. Ion energy over the 20-30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF3 has a lower FC deposition yield for both SiO2 and Si and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F8. The thickness of deposited FC layers using CHF3 is found to be greater for Si than for SiO2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a cycle are seen

  11. Adsorbed Layers of Ferritin at Solid and Fluid Interfaces Studied by Atomic Force Microscopy.

    PubMed

    Johnson; Yuan; Lenhoff

    2000-03-15

    The adsorption of the iron storage protein ferritin was studied by liquid tapping mode atomic force microscopy in order to obtain molecular resolution in the adsorbed layer within the aqueous environment in which the adsorption was carried out. The surface coverage and the structure of the adsorbed layer were investigated as functions of ionic strength and pH on two different charged surfaces, namely chemically modified glass slides and mixed surfactant films at the air-water interface, which were transferred to graphite substrates after adsorption. Surface coverage trends with both ionic strength and pH indicate the dominance of electrostatic effects, with the balance shifting between intermolecular repulsion and protein-surface attraction. The resulting behavior is more complex than that seen for larger colloidal particles, which appear to follow a modified random sequential adsorption model monotonically. The structure of the adsorbed layers at the solid surfaces is random, but some indication of long-range order is apparent at fluid interfaces, presumably due to the higher protein mobility at the fluid interface. Copyright 2000 Academic Press.

  12. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dechana, A.; Thamboon, P.; Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides highmore » flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.« less

  13. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    NASA Astrophysics Data System (ADS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  14. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    PubMed

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  15. Atomic hydrogen storage method and apparatus

    NASA Technical Reports Server (NTRS)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compounds maintained at liquid helium temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  16. SERS Taper-Fiber Nanoprobe Modified by Gold Nanoparticles Wrapped with Ultrathin Alumina Film by Atomic Layer Deposition

    PubMed Central

    Xu, Wenjie; Chen, Zhenyi; Chen, Na; Zhang, Heng; Liu, Shupeng; Hu, Xinmao; Wen, Jianxiang; Wang, Tingyun

    2017-01-01

    A taper-fiber SERS nanoprobe modified by gold nanoparticles (Au-NPs) with ultrathin alumina layers was fabricated and its ability to perform remote Raman detection was demonstrated. The taper-fiber nanoprobe (TFNP) with a nanoscale tip size under 80 nm was made by heated pulling combined with the chemical etching method. The Au-NPs were deposited on the TFNP surface with the electrostatic self-assembly technology, and then the TFNP was wrapped with ultrathin alumina layers by the atomic layer deposition (ALD) technique. The results told us that with the increasing thickness of the alumina film, the Raman signals decreased. With approximately 1 nm alumina film, the remote detection limit for R6G aqueous solution reached 10−6 mol/L. PMID:28245618

  17. Urban boundary-layer height determination from lidar measurements over the paris area.

    PubMed

    Menut, L; Flamant, C; Pelon, J; Flamant, P H

    1999-02-20

    The Paris area is strongly urbanized and is exposed to atmospheric pollution events. To understand the chemical and physical processes that are taking place in this area it is necessary to describe correctly the atmospheric boundary-layer (ABL) dynamics and the ABL height evolution. During the winter of 1994-1995, within the framework of the Etude de la Couche Limite Atmosphérique en Agglomération Parisienne (ECLAP) experiment, the vertical structure of the ABL over Paris and its immediate suburbs was extensively documented by means of lidar measurements. We present methods suited for precise determination of the ABL structure's temporal evolution in a dynamic environment as complex as the Paris area. The purpose is to identify a method that can be used on a large set of lidar data. We compare commonly used methods that permit ABL height retrievals from backscatter lidar signals under different meteorological conditions. Incorrect tracking of the ABL depth's diurnal cycle caused by limitations in the methods is analyzed. The study uses four days of the ECLAP experiment characterized by different meteorological and synoptic conditions.

  18. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  19. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  20. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.