Sample records for masked helium implantation

  1. Central masking with bilateral cochlear implants

    PubMed Central

    Lin, Payton; Lu, Thomas; Zeng, Fan-Gang

    2013-01-01

    Across bilateral cochlear implants, contralateral threshold shift has been investigated as a function of electrode difference between the masking and probe electrodes. For contralateral electric masking, maximum threshold elevations occurred when the position of the masker and probe electrode was approximately place-matched across ears. The amount of masking diminished with increasing masker-probe electrode separation. Place-dependent masking occurred in both sequentially implanted ears, and was not affected by the masker intensity or the time delay from the masker onset. When compared to previous contralateral masking results in normal hearing, the similarities between place-dependent central masking patterns suggest comparable mechanisms of overlapping excitation in the central auditory nervous system. PMID:23363113

  2. Influence of Au ions irradiation damage on helium implanted tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Cao, Xingzhong; Peng, Shixiang; Zhang, Ailin; Xue, Jianming; Wang, Yugang; Zhang, Peng; Wang, Baoyi

    2017-10-01

    The damages of implanted helium ions together with energetic neutrons in tungsten is concerned under the background of nuclear fusion related materials research. Helium is lowly soluble in tungsten and has high binding energy with vacancy. In present work, noble metal Au ions were used to study the synergistic effect of radiation damage and helium implantation. Nano indenter and the Doppler broaden energy spectrum of positron annihilation analysis measurements were used to research the synergy of radiation damage and helium implantation in tungsten. In the helium fluence range of 4.8 × 1015 cm-2-4.8 × 1016 cm-2, vacancies played a role of trappers only at the very beginning of bubble nucleation. The size and density is not determined by vacancies, but the effective capture radius between helium bubbles and scattered helium atoms. Vacancies were occupied by helium bubbles even at the lowest helium fluence, leaving dislocations and helium bubbles co-exist in tungsten materials.

  3. A novel method for computing effective diffusivity: Application to helium implanted α-Fe thin films

    NASA Astrophysics Data System (ADS)

    Dunn, Aaron; Agudo-Merida, Laura; Martin-Bragado, Ignacio; McPhie, Mathieu; Cherkaoui, Mohammed; Capolungo, Laurent

    2014-05-01

    The effective diffusivity of helium in thin iron films is quantified using spatially resolved stochastic cluster dynamics and object kinetic Monte Carlo simulations. The roles of total displacement dose (in DPA), damage rate, helium to DPA ratio, layer thickness, and damage type (cascade damage vs Frenkel pair implantation) on effective He diffusivity are investigated. Helium diffusivity is found to decrease with increasing total damage and decreasing damage rate. Arrhenius plots show strongly increased helium diffusivity at high temperatures, high total implantation, and low implantation rates due to decreased vacancy and vacancy cluster concentrations. At low temperatures, effective diffusivity is weakly dependent on foil thickness while at high temperatures, narrower foils prevent defect accumulation by releasing all defects at the free surfaces. Helium to DPA ratio is not shown to strongly change helium diffusivity in the range of irradiation conditions simulated. Frenkel pair implantation is shown to cause higher effective diffusivity and more complex diffusion mechanisms than cascade implantation. The results of these simulations indicate that the differences in damage rates between implantation experiments and fission or fusion environments may result in differences in the final microstructure.

  4. ERDA, RBS, TEM and SEM characterization of microstructural evolution in helium-implanted Hastelloy N alloy

    NASA Astrophysics Data System (ADS)

    Gao, Jie; Bao, Liangman; Huang, Hefei; Li, Yan; Lei, Qiantao; Deng, Qi; Liu, Zhe; Yang, Guo; Shi, Liqun

    2017-05-01

    Hastelloy N alloy was implanted with 30 keV, 5 × 1016 ions/cm2 helium ions at room temperature, and subsequent annealed at 600 °C for 1 h and further annealed at 850 °C for 5 h in vacuum. Using elastic recoil detection analysis (ERDA) and transmission electron microscopy (TEM), the depth profiles of helium concentration and helium bubbles in helium-implanted Hastelloy N alloy were investigated, respectively. The diffusion of helium and molybdenum elements to surface occurred during the vacuum annealing at 850 °C (5 h). It was also observed that bubbles in molybdenum-enriched region were much larger in size than those in deeper region. In addition, it is worth noting that plenty of nano-holes can be observed on the surface of helium-implanted sample after high temperature annealing by scanning electron microscope (SEM). This observation provides the evidence for the occurrence of helium release, which can be also inferred from the results of ERDA and TEM analysis.

  5. Structural investigations in helium implanted cubic zirconia using grazing incidence XRD and EXAFS spectroscopy

    NASA Astrophysics Data System (ADS)

    Kuri, G.; Degueldre, C.; Bertsch, J.; Döbeli, M.

    2010-06-01

    The crystal structure and local atom arrangements surrounding Zr atoms were determined for a helium implanted cubic stabilized zirconia (CSZ) using X-ray diffraction (XRD) and extended X-ray absorption fine structure (EXAFS) spectroscopy, respectively, measured at glancing angles. The implanted specimen was prepared at a helium fluence of 2 × 10 16 cm -2 using He + beams at two energies (2.54 and 2.74 MeV) passing through a 8.0 μm Al absorber foil. XRD results identified the formation of a new rhombohedral phase in the helium embedded layer, attributed to internal stress as a result of expansion of the CSZ-lattice. Zr K-edge EXAFS data suggested loss of crystallinity in the implanted lattice and disorder of the Zr atoms environment. EXAFS Fourier transforms analysis showed that the average first-shell radius of the Zr sbnd O pair in the implanted sample was slightly larger than that of the CSZ standard. Common general disorder features were explained by rhombohedral type short-range ordered clusters. The average structural parameters estimated from the EXAFS data of unimplanted and implanted CSZ are compared and discussed. Potential of EXAFS as a local probe of atomic-scale structural modifications induced by helium implantation in CSZ is demonstrated.

  6. The formation of microvoids in MgO by helium ion implantation and thermal annealing

    NASA Astrophysics Data System (ADS)

    van Veen, A.; Schut, H.; Fedorov, A. V.; Labohm, F.; Neeft, E. A. C.; Konings, R. J. M.

    1999-01-01

    The formation of microvoids in metal oxides by helium implantation and thermal annealing is observed under similar conditions as has been shown earlier for silicon. Cleaved MgO (1 0 0) single crystals were implanted with 30 keV 3He ions with doses varying from 10 15 to 10 16 cm -2 and subsequently thermally annealed from RT to 1500 K. Monitoring of the defect depth profile and the retained amount of helium was performed by positron beam analysis and neutron depth profiling, respectively. For a dose larger than 2 × 10 15 cm -2 annealing of the defects was observed in two stages: at 1000 K helium filled monovacancies dissociated, and other defects still retaining the helium were formed, and at 1300 K all helium left the sample while an increase of positron-valence-electron annihilations was observed, indicating an increase of the volume available in the defects. The voids of nm size were located at shallower depth than the implanted helium. At lower dose no voids were left after high temperature annealing. Voids can also be created, and even more effectively, by hydrogen or deuterium implantation. The voids are stable to temperatures of 1500 K. The use of the nanovoids as a precursor state for nanoprecipitates of metals or other species is discussed.

  7. Effect of implanted helium on tensile properties and hardness of 9% Cr martensitic stainless steels

    NASA Astrophysics Data System (ADS)

    Jung, P.; Henry, J.; Chen, J.; Brachet, J.-C.

    2003-05-01

    Hundred micrometer thick specimens of 9% Cr martensitic steels EM10 and T91 were homogeneously implanted with He 4 to concentrations up to 0.5 at.% at temperatures from 150 to 550 °C. The specimens were tensile tested at room temperature and at the respective implantation temperatures. Subsequently the fracture surfaces were analysed by scanning electron microscopy and some of the specimens were examined in an instrumented hardness tester. The implanted helium caused hardening and embrittlement which both increased with increasing helium content and with decreasing implantation temperature. Fracture surfaces showed intergranular brittle appearance with virtually no necking at the highest implantation doses, when implanted below 250 °C. The present tensile results can be scaled to tensile data after irradiation in spallation sources on the basis of helium content but not on displacement damage. An interpretation of this finding by microstructural examination is given in a companion paper [J. Nucl. Mater., these Proceedings].

  8. The impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners (L).

    PubMed

    Kokkinakis, Kostas; Loizou, Philipos C

    2011-09-01

    The purpose of this study is to determine the relative impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners. Sentences were presented in two conditions wherein reverberant consonant segments were replaced with clean consonants, and in another condition wherein reverberant vowel segments were replaced with clean vowels. The underlying assumption is that self-masking effects would dominate in the first condition, whereas overlap-masking effects would dominate in the second condition. Results indicated that the degradation of speech intelligibility in reverberant conditions is caused primarily by self-masking effects that give rise to flattened formant transitions. © 2011 Acoustical Society of America

  9. Effects of helium implantation on the tensile properties and microstructure of Ni₇₃P₂₇ metallic glass nanostructures

    DOE PAGES

    Liontas, Rachel; Gu, X. Wendy; Fu, Engang; ...

    2014-09-10

    We report fabrication and nanomechanical tension experiments on as-fabricated and helium-implanted ~130 nm diameter Ni₇₃P₂₇ metallic glass nano-cylinders. The nano-cylinders were fabricated by a templated electroplating process and implanted with He⁺ at energies of 50, 100, 150, and 200 keV to create a uniform helium concentration of ~3 at. % throughout the nano-cylinders. Transmission electron microscopy (TEM) imaging and through-focus analysis reveal that the specimens contained ~2 nm helium bubbles distributed uniformly throughout the nano-cylinder volume. In-situ tensile experiments indicate that helium-implanted specimens exhibit enhanced ductility as evidenced by a 2-fold increase in plastic strain over as-fabricated specimens, with nomore » sacrifice in yield and ultimate tensile strengths. This improvement in mechanical properties suggests that metallic glasses may actually exhibit a favorable response to high levels of helium implantation.« less

  10. Contralateral Masking in Bilateral Cochlear Implant Patients: A Model of Medial Olivocochlear Function Loss

    PubMed Central

    Aronoff, Justin M.; Padilla, Monica; Fu, Qian-Jie; Landsberger, David M.

    2015-01-01

    Contralateral masking is the phenomenon where a masker presented to one ear affects the ability to detect a signal in the opposite ear. For normal hearing listeners, contralateral masking results in masking patterns that are both sharper and dramatically smaller in magnitude than ipsilateral masking. The goal of this study was to investigate whether medial olivocochlear (MOC) efferents are needed for the sharpness and relatively small magnitude of the contralateral masking function. To do this, bilateral cochlear implant patients were tested because, by directly stimulating the auditory nerve, cochlear implants circumvent the effects of the MOC efferents. The results indicated that, as with normal hearing listeners, the contralateral masking function was sharper than the ipsilateral masking function. However, although there was a reduction in the magnitude of the contralateral masking function compared to the ipsilateral masking function, it was relatively modest. This is in sharp contrast to the results of normal hearing listeners where the magnitude of the contralateral masking function is greatly reduced. These results suggest that MOC function may not play a large role in the sharpness of the contralateral masking function but may play a considerable role in the magnitude of the contralateral masking function. PMID:25798581

  11. Temperature dependence of helium-implantation-induced lattice swelling in polycrystalline tungsten: X-ray micro-diffraction and Eigenstrain modelling

    DOE PAGES

    de Broglie, I.; Beck, C. E.; Liu, W.; ...

    2015-05-30

    Using synchrotron X-ray micro-diffraction and Eigenstrain analysis the distribution of lattice swelling near grain boundaries in helium-implanted polycrystalline tungsten is quantified. Samples heat-treated at up to 1473 K after implantation show less uniform lattice swelling that varies significantly from grain to grain compared to as-implanted samples. An increase in lattice swelling is found in the vicinity of some grain boundaries, even at depths beyond the implanted layer. As a result, these findings are discussed in terms of the evolution of helium-ion-implantation-induced defects.

  12. Temperature dependence of helium-implantation-induced lattice swelling in polycrystalline tungsten: X-ray micro-diffraction and Eigenstrain modelling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    de Broglie, I.; Beck, C. E.; Liu, W.

    Using synchrotron X-ray micro-diffraction and Eigenstrain analysis the distribution of lattice swelling near grain boundaries in helium-implanted polycrystalline tungsten is quantified. Samples heat-treated at up to 1473 K after implantation show less uniform lattice swelling that varies significantly from grain to grain compared to as-implanted samples. An increase in lattice swelling is found in the vicinity of some grain boundaries, even at depths beyond the implanted layer. As a result, these findings are discussed in terms of the evolution of helium-ion-implantation-induced defects.

  13. Irradiation creep and precipitation in a ferritic ODS steel under helium implantation

    NASA Astrophysics Data System (ADS)

    Chen, J.; Jung, P.; Pouchon, M. A.; Rebac, T.; Hoffelner, W.

    2008-02-01

    Ferritic oxide dispersion strengthened (ODS) steel, PM2000, has been homogeneously implanted with helium under uniaxial tensile stresses from 20 to 250 MPa to maximum doses of about 0.75 dpa (3000 ppm He) with displacement damage rates of 5.5 × 10 -6 dpa/s at temperatures of 573, 673 and 773 K. Straining of a miniaturized dog-bone specimen under helium implantation was monitored by linear variable displacement transformer (LVDT) and meanwhile by their resistance also measured by four-pole technique. Creep compliance was almost constant at 5.7 × 10 -6 dpa -1 MPa -1 for temperatures below 673 K and increased to 18 × 10 -6 dpa -1 MPa -1 at 773 K. The resistivity of PM2000 samples decreased with dose and showed a tendency to saturation. Subsequent transmission electron microscopy observations indicated the formation of ordered Fe 3- xCr xAl precipitates during implantation. Correlations between the microstructure and resistivity are discussed.

  14. Spatial Release From Masking in Simulated Cochlear Implant Users With and Without Access to Low-Frequency Acoustic Hearing

    PubMed Central

    Dietz, Mathias; Hohmann, Volker; Jürgens, Tim

    2015-01-01

    For normal-hearing listeners, speech intelligibility improves if speech and noise are spatially separated. While this spatial release from masking has already been quantified in normal-hearing listeners in many studies, it is less clear how spatial release from masking changes in cochlear implant listeners with and without access to low-frequency acoustic hearing. Spatial release from masking depends on differences in access to speech cues due to hearing status and hearing device. To investigate the influence of these factors on speech intelligibility, the present study measured speech reception thresholds in spatially separated speech and noise for 10 different listener types. A vocoder was used to simulate cochlear implant processing and low-frequency filtering was used to simulate residual low-frequency hearing. These forms of processing were combined to simulate cochlear implant listening, listening based on low-frequency residual hearing, and combinations thereof. Simulated cochlear implant users with additional low-frequency acoustic hearing showed better speech intelligibility in noise than simulated cochlear implant users without acoustic hearing and had access to more spatial speech cues (e.g., higher binaural squelch). Cochlear implant listener types showed higher spatial release from masking with bilateral access to low-frequency acoustic hearing than without. A binaural speech intelligibility model with normal binaural processing showed overall good agreement with measured speech reception thresholds, spatial release from masking, and spatial speech cues. This indicates that differences in speech cues available to listener types are sufficient to explain the changes of spatial release from masking across these simulated listener types. PMID:26721918

  15. Synchrotron Radiation Damage Mechanism of X-Ray Mask Membranes Irradiated in Helium Environment

    NASA Astrophysics Data System (ADS)

    Arakawa, Tomiyuki; Okuyama, Hiroshi; Okada, Koichi; Nagasawa, Hiroyuki; Syoki, Tsutomu; Yamaguchi, Yoh-ichi

    1992-12-01

    The mechanism of X-ray mask membrane displacement induced by synchrotron radiation (SR) has been discussed. Silicon nitride (SiN) and silicon carbide (SiC) membranes were irradiated by SR in a 1 atm helium ambient. SR-induced displacement for both membranes was 25-97 nm (σ). Oxygen concentration in both SiN and SiC was below 0.01 in O/Si atomic ratio. Although an increase in dangling bond density of SiN was observed, no remarkable increase in spin density was detected in SiC. Moreover, the most important finding was that thin oxides were grown on the membrane surface after SR irradiation. From these results, it is considered that the oxide growth on SiC membrane surfaces, and both the oxide growth and the increase of dangling bond density in SiN play an important role in the SR-induced displacement for the X-ray mask membranes.

  16. BCA-kMC Hybrid Simulation for Hydrogen and Helium Implantation in Material under Plasma Irradiation

    NASA Astrophysics Data System (ADS)

    Kato, Shuichi; Ito, Atsushi; Sasao, Mamiko; Nakamura, Hiroaki; Wada, Motoi

    2015-09-01

    Ion implantation by plasma irradiation into materials achieves the very high concentration of impurity. The high concentration of impurity causes the deformation and the destruction of the material. This is the peculiar phenomena in the plasma-material interaction (PMI). The injection process of plasma particles are generally simulated by using the binary collision approximation (BCA) and the molecular dynamics (MD), while the diffusion of implanted atoms have been traditionally solved by the diffusion equation, in which the implanted atoms is replaced by the continuous concentration field. However, the diffusion equation has insufficient accuracy in the case of low concentration, and in the case of local high concentration such as the hydrogen blistering and the helium bubble. The above problem is overcome by kinetic Monte Carlo (kMC) which represents the diffusion of the implanted atoms as jumps on interstitial sites in a material. In this paper, we propose the new approach ``BCA-kMC hybrid simulation'' for the hydrogen and helium implantation under the plasma irradiation.

  17. Study of the amorphization of surface silicon layers implanted by low-energy helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Oreshko, A. P.

    2016-03-15

    The structural changes in surface layers of Si(001) substrates subjected to plasma-immersion implantation by (2–5)-keV helium ions to a dose of D = 6 × 10{sup 15}–5 × 10{sup 17} cm{sup –2} have been studied by highresolution X-ray diffraction, Rutherford backscattering, and spectral ellipsometry. It is found that the joint application of these methods makes it possible to determine the density depth distribution ρ(z) in an implanted layer, its phase state, and elemental composition. Treatment of silicon substrates in helium plasma to doses of 6 × 10{sup 16} cm{sup –2} leads to the formation of a 20- to 30-nm-thick amorphizedmore » surface layer with a density close to the silicon density. An increase in the helium dose causes the formation of an internal porous layer.« less

  18. Simultaneous masking between electric and acoustic stimulation in cochlear implant users with residual low-frequency hearing.

    PubMed

    Krüger, Benjamin; Büchner, Andreas; Nogueira, Waldo

    2017-09-01

    Ipsilateral electric-acoustic stimulation (EAS) is becoming increasingly important in cochlear implant (CI) treatment. Improvements in electrode designs and surgical techniques have contributed to improved hearing preservation during implantation. Consequently, CI implantation criteria have been expanded toward people with significant residual low-frequency hearing, who may benefit from the combined use of both the electric and acoustic stimulation in the same ear. However, only few studies have investigated the mutual interaction between electric and acoustic stimulation modalities. This work characterizes the interaction between both stimulation modalities using psychophysical masking experiments and cone beam computer tomography (CBCT). Two psychophysical experiments for electric and acoustic masking were performed to measure the hearing threshold elevation of a probe stimulus in the presence of a masker stimulus. For electric masking, the probe stimulus was an acoustic tone while the masker stimulus was an electric pulse train. For acoustic masking, the probe stimulus was an electric pulse train and the masker stimulus was an acoustic tone. Five EAS users, implanted with a CI and ipsilateral residual low-frequency hearing, participated in the study. Masking was determined at different electrodes and different acoustic frequencies. CBCT scans were used to determine the individual place-pitch frequencies of the intracochlear electrode contacts by using the Stakhovskaya place-to-frequency transformation. This allows the characterization of masking as a function of the difference between electric and acoustic stimulation sites, which we term the electric-acoustic frequency difference (EAFD). The results demonstrate a significant elevation of detection thresholds for both experiments. In electric masking, acoustic-tone thresholds increased exponentially with decreasing EAFD. In contrast, for the acoustic masking experiment, threshold elevations were present

  19. Positron and nanoindentation study of helium implanted high chromium ODS steels

    NASA Astrophysics Data System (ADS)

    Veternikova, Jana Simeg; Fides, Martin; Degmova, Jarmila; Sojak, Stanislav; Petriska, Martin; Slugen, Vladimir

    2017-12-01

    Three oxide dispersion strengthened (ODS) steels with different chromium content (MA 956, MA 957 and ODM 751) were studied as candidate materials for new nuclear reactors in term of their radiation stability. The radiation damage was experimentally simulated by helium ion implantation with energy of ions up to 500 keV. The study was focused on surface and sub-surface structural change due to the ion implantation observed by mostly non-destructive techniques: positron annihilation lifetime spectroscopy and nanoindentation. The applied techniques demonstrated the best radiation stability of the steel ODM 751. Blistering effect occurred due to high implantation dose (mostly in MA 956) was studied in details.

  20. Binaural unmasking with multiple adjacent masking electrodes in bilateral cochlear implant users

    PubMed Central

    Lu, Thomas; Litovsky, Ruth; Zeng, Fan-Gang

    2011-01-01

    Bilateral cochlear implant (BiCI) users gain an advantage in noisy situations from a second implant, but their bilateral performance falls short of normal hearing listeners. Channel interactions due to overlapping electrical fields between electrodes can impair speech perception, but its role in limiting binaural hearing performance has not been well characterized. To address the issue, binaural masking level differences (BMLD) for a 125 Hz tone in narrowband noise were measured using a pair of pitch-matched electrodes while simultaneously presenting the same masking noise to adjacent electrodes, representing a more realistic stimulation condition compared to prior studies that used only a single electrode pair. For five subjects, BMLDs averaged 8.9 ± 1.0 dB (mean ± s.e.) in single electrode pairs but dropped to 2.1 ± 0.4 dB when presenting noise on adjacent masking electrodes, demonstrating a negative impact of the additional maskers. Removing the masking noise from only the pitch-matched electrode pair not only lowered thresholds but also resulted in smaller BMLDs. The degree of channel interaction estimated from auditory nerve evoked potentials in three subjects was significantly and negatively correlated with BMLD. The data suggest that if the amount of channel interactions can be reduced, BiCI users may experience some performance improvements related to binaural hearing. PMID:21682415

  1. Irradiation creep and microstructural changes in an advanced ODS ferritic steel during helium implantation under stress

    NASA Astrophysics Data System (ADS)

    Chen, J.; Pouchon, M. A.; Kimura, A.; Jung, P.; Hoffelner, W.

    2009-04-01

    An advanced oxide dispersion strengthened (ODS) ferritic steel with very fine oxide particles has been homogeneously implanted with helium under uniaxial tensile stresses from 20 to 250 MPa to a maximum dose of about 0.38 dpa (1650 appm-He) with displacement damage rates of 4.4 × 10 -6 dpa/s at temperatures of 573 and 773 K. The samples were in the form of miniaturized dog-bones, where during the helium implantation the straining and the electrical resistance were monitored simultaneously. Creep compliances were measured to be 4.0 × 10 -6 and 11 × 10 -6 dpa -1 MPa -1 at 573 and 773 K, respectively. The resistivity of ODS steel samples decreased with dose, indicating segregation and/or precipitation. Evolution of microstructure during helium implantation was studied in detail by TEM. The effects of ODS particle size on irradiation creep and microstructural changes was investigated by comparing the results from the present advanced ODS (K1) to a commercial ODS ferritic steels (PM2000) with much bigger oxide particles.

  2. Tailoring the structural and magnetic properties of masked CoPt thin films using ion implantation

    NASA Astrophysics Data System (ADS)

    Kumar, Durgesh; Gupta, Surbhi; Jin, Tianli; Nongjai, R.; Asokan, K.; Piramanayagam, S. N.

    2018-05-01

    The effects of ion implantations through a mask on the structural and magnetic properties of Co80Pt20 films were investigated. The mask was patterned using the self-assembly of diblock copolymers. For implantation, high (40 keV for 14N+ and 100 keV for 40Ar+) and low (7.5 keV for 14N+ and 4.5 keV for 40Ar+) energy 14N+ and 40Ar+ ions were used to modify the structural and magnetic properties of these films. X-ray diffraction and TRIM simulations were performed for understanding the structural changes due to ion implantations. These results revealed the intermixing of Co atoms in lower layers and lattice expansion in Co80Pt20 magnetic and Ru layers. A lateral straggling of Co caused an increase in the exchange coupling in the masked region. Depletion of Co atoms in Co80Pt20 layer caused a decrease in the anisotropy constant, which were further confirmed by the alternating gradient force magnetometer and magnetic force microscopy results. The magnetic force microscopy images showed an increase in domain width and domain wall width confirming the above-mentioned effects.

  3. Fabrication and characterisation of embedded metal nanostructures by ion implantation with nanoporous anodic alumina masks

    NASA Astrophysics Data System (ADS)

    Guan, Wei; Peng, Nianhua; Jeynes, Christopher; Ghatak, Jay; Peng, Yong; Ross, Ian M.; Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter

    2013-07-01

    Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO2 and Si3N4 substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.

  4. Interaural level differences do not suffice for restoring spatial release from masking in simulated cochlear implant listening.

    PubMed

    Ihlefeld, Antje; Litovsky, Ruth Y

    2012-01-01

    Spatial release from masking refers to a benefit for speech understanding. It occurs when a target talker and a masker talker are spatially separated. In those cases, speech intelligibility for target speech is typically higher than when both talkers are at the same location. In cochlear implant listeners, spatial release from masking is much reduced or absent compared with normal hearing listeners. Perhaps this reduced spatial release occurs because cochlear implant listeners cannot effectively attend to spatial cues. Three experiments examined factors that may interfere with deploying spatial attention to a target talker masked by another talker. To simulate cochlear implant listening, stimuli were vocoded with two unique features. First, we used 50-Hz low-pass filtered speech envelopes and noise carriers, strongly reducing the possibility of temporal pitch cues; second, co-modulation was imposed on target and masker utterances to enhance perceptual fusion between the two sources. Stimuli were presented over headphones. Experiments 1 and 2 presented high-fidelity spatial cues with unprocessed and vocoded speech. Experiment 3 maintained faithful long-term average interaural level differences but presented scrambled interaural time differences with vocoded speech. Results show a robust spatial release from masking in Experiments 1 and 2, and a greatly reduced spatial release in Experiment 3. Faithful long-term average interaural level differences were insufficient for producing spatial release from masking. This suggests that appropriate interaural time differences are necessary for restoring spatial release from masking, at least for a situation where there are few viable alternative segregation cues.

  5. The effects of reverberant self- and overlap-masking on speech recognition in cochlear implant listeners.

    PubMed

    Desmond, Jill M; Collins, Leslie M; Throckmorton, Chandra S

    2014-06-01

    Many cochlear implant (CI) listeners experience decreased speech recognition in reverberant environments [Kokkinakis et al., J. Acoust. Soc. Am. 129(5), 3221-3232 (2011)], which may be caused by a combination of self- and overlap-masking [Bolt and MacDonald, J. Acoust. Soc. Am. 21(6), 577-580 (1949)]. Determining the extent to which these effects decrease speech recognition for CI listeners may influence reverberation mitigation algorithms. This study compared speech recognition with ideal self-masking mitigation, with ideal overlap-masking mitigation, and with no mitigation. Under these conditions, mitigating either self- or overlap-masking resulted in significant improvements in speech recognition for both normal hearing subjects utilizing an acoustic model and for CI listeners using their own devices.

  6. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Chesnokov, Yu. M.

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpestmore » boundaries at a lower energy of implantable ions.« less

  7. Formation of tetragonal gas bubble superlattice in bulk molybdenum under helium ion implantation

    DOE PAGES

    Sun, Cheng; Sprouster, David J.; Hattar, K.; ...

    2018-02-09

    In this paper, we report the formation of tetragonal gas bubble superlattice in bulk molybdenum under helium ion implantation at 573 K. The transmission electron microscopy study shows that the helium bubble lattice constant measured from the in-plane d-spacing is ~4.5 nm, while it is ~3.9 nm from the out-of-plane measurement. The results of synchrotron-based small-angle x-ray scattering agree well with the transmission electron microscopy results in terms of the measurement of bubble lattice constant and bubble size. The coupling of transmission electron microscopy and synchrotron high-energy X-ray scattering provides an effective approach to study defect superlattices in irradiated materials.

  8. Formation of tetragonal gas bubble superlattice in bulk molybdenum under helium ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Cheng; Sprouster, David J.; Hattar, K.

    In this paper, we report the formation of tetragonal gas bubble superlattice in bulk molybdenum under helium ion implantation at 573 K. The transmission electron microscopy study shows that the helium bubble lattice constant measured from the in-plane d-spacing is ~4.5 nm, while it is ~3.9 nm from the out-of-plane measurement. The results of synchrotron-based small-angle x-ray scattering agree well with the transmission electron microscopy results in terms of the measurement of bubble lattice constant and bubble size. The coupling of transmission electron microscopy and synchrotron high-energy X-ray scattering provides an effective approach to study defect superlattices in irradiated materials.

  9. Softening due to Grain Boundary Cavity Formation and its Competition with Hardening in Helium Implanted Nanocrystalline Tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cunningham, W. Streit; Gentile, Jonathan M.; El-Atwani, Osman

    The unique ability of grain boundaries to act as effective sinks for radiation damage plays a significant role in nanocrystalline materials due to their large interfacial area per unit volume. Leveraging this mechanism in the design of tungsten as a plasma-facing material provides a potential pathway for enhancing its radiation tolerance under fusion-relevant conditions. In this study, we explore the impact of defect microstructures on the mechanical behavior of helium ion implanted nanocrystalline tungsten through nanoindentation. Softening was apparent across all implantation temperatures and attributed to bubble/cavity loaded grain boundaries suppressing the activation barrier for the onset of plasticity viamore » grain boundary mediated dislocation nucleation. An increase in fluence placed cavity induced grain boundary softening in competition with hardening from intragranular defect loop damage, thus signaling a new transition in the mechanical behavior of helium implanted nanocrystalline tungsten.« less

  10. Softening due to Grain Boundary Cavity Formation and its Competition with Hardening in Helium Implanted Nanocrystalline Tungsten

    DOE PAGES

    Cunningham, W. Streit; Gentile, Jonathan M.; El-Atwani, Osman; ...

    2018-02-13

    The unique ability of grain boundaries to act as effective sinks for radiation damage plays a significant role in nanocrystalline materials due to their large interfacial area per unit volume. Leveraging this mechanism in the design of tungsten as a plasma-facing material provides a potential pathway for enhancing its radiation tolerance under fusion-relevant conditions. In this study, we explore the impact of defect microstructures on the mechanical behavior of helium ion implanted nanocrystalline tungsten through nanoindentation. Softening was apparent across all implantation temperatures and attributed to bubble/cavity loaded grain boundaries suppressing the activation barrier for the onset of plasticity viamore » grain boundary mediated dislocation nucleation. An increase in fluence placed cavity induced grain boundary softening in competition with hardening from intragranular defect loop damage, thus signaling a new transition in the mechanical behavior of helium implanted nanocrystalline tungsten.« less

  11. Helium-induced hardening effect in polycrystalline tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Zhang, Ailin; Peng, Shixiang; Xue, Jianming; Wang, Yugang

    2017-09-01

    In this paper, helium induced hardening effect of tungsten was investigated. 50 keV He2+ ions at fluences vary from 5 × 1015 cm-2 to 5 × 1017 cm-2 were implanted into polycrystalline tungsten at RT to create helium bubble-rich layers near the surface. The microstructure and mechanical properties of the irradiated specimens were studied by TEM and nano-indentor. Helium bubble rich layers are formed in near surface region, and the layers become thicker with the rise of fluences. Helium bubbles in the area of helium concentration peak are found to grow up, while the bubble density is almost unchanged. Obvious hardening effect is induced by helium implantation in tungsten. Micro hardness increases rapidly with the fluence firstly, and more slowly when the fluence is above 5 × 1016 cm-2. The hardening effect of tungsten can be attributed to helium bubbles, which is found to be in agreement with the Bacon-Orowan stress formula. The growing diameter is the major factor rather than helium bubbles density (voids distance) in the process of helium implantation at fluences below 5 × 1017 cm-2.

  12. Place specificity of monopolar and tripolar stimuli in cochlear implants: the influence of residual masking.

    PubMed

    Fielden, Claire A; Kluk, Karolina; McKay, Colette M

    2013-06-01

    This experiment investigated whether place specificity of neural activity evoked by cochlear implant stimulation is improved in tripolar compared to monopolar mode using a forward masking protocol addressing some limitations of previous methods of measurement and analysis. The amount of residual masking (masking remaining at long masker-probe delays) was also measured, and its potential influence on the specificity measures was evaluated. The masker stimulus comprised equally loud interleaved mono- or tripolar stimulation on two electrodes equidistant from a central probe electrode in an apical and basal direction, reducing the influence of off-site listening. The effect of masker-probe distance on the threshold shift of the tripolar probe was analyzed to derive a measure of place specificity. On average, tripolar maskers were more place specific than monopolar maskers, although the mean effect was small. There was no significant effect of masker level on specificity or on the differences observed between modes. The mean influence of residual masking on normalized masking functions was similar for the two modes and, therefore, did not influence the comparison of specificity between the modes. However, variability in amount of residual masking was observed between subjects, and therefore should be considered in forward masking studies that compare place specificity across subjects.

  13. High-Resolution, High-Throughput, Positive-Tone Patterning of Poly(ethylene glycol) by Helium Beam Exposure through Stencil Masks

    PubMed Central

    Cacao, Eliedonna E.; Nasrullah, Azeem; Sherlock, Tim; Kemper, Steven; Kourentzi, Katerina; Ruchhoeft, Paul; Stein, Gila E.; Willson, Richard C.

    2013-01-01

    In this work, a collimated helium beam was used to activate a thiol-poly(ethylene glycol) (SH-PEG) monolayer on gold to selectively capture proteins in the exposed regions. Protein patterns were formed at high throughput by exposing a stencil mask placed in proximity to the PEG-coated surface to a broad beam of helium particles, followed by incubation in a protein solution. Attenuated Total Reflectance–Fourier Transform Infrared Spectroscopy (ATR–FTIR) spectra showed that SH-PEG molecules remain attached to gold after exposure to beam doses of 1.5–60 µC/cm2 and incubation in PBS buffer for one hour, as evidenced by the presence of characteristic ether and methoxy peaks at 1120 cm−1 and 2870 cm−1, respectively. X-ray Photoelectron Spectroscopy (XPS) spectra showed that increasing beam doses destroy ether (C–O) bonds in PEG molecules as evidenced by the decrease in carbon C1s peak at 286.6 eV and increased alkyl (C–C) signal at 284.6 eV. XPS spectra also demonstrated protein capture on beam-exposed PEG regions through the appearance of a nitrogen N1s peak at 400 eV and carbon C1s peak at 288 eV binding energies, while the unexposed PEG areas remained protein-free. The characteristic activities of avidin and horseradish peroxidase were preserved after attachment on beam-exposed regions. Protein patterns created using a 35 µm mesh mask were visualized by localized formation of insoluble diformazan precipitates by alkaline phosphatase conversion of its substrate bromochloroindoyl phosphate-nitroblue tetrazolium (BCIP-NBT) and by avidin binding of biotinylated antibodies conjugated on 100 nm gold nanoparticles (AuNP). Patterns created using a mask with smaller 300 nm openings were detected by specific binding of 40 nm AuNP probes and by localized HRP-mediated deposition of silver nanoparticles. Corresponding BSA-passivated negative controls showed very few bound AuNP probes and little to no enzymatic formation of diformazan precipitates or silver

  14. Ipsilateral masking between acoustic and electric stimulations.

    PubMed

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  15. Helium accumulation and bubble formation in FeCoNiCr alloy under high fluence He+ implantation

    NASA Astrophysics Data System (ADS)

    Chen, Da; Tong, Y.; Li, H.; Wang, J.; Zhao, Y. L.; Hu, Alice; Kai, J. J.

    2018-04-01

    Face-centered cubic (FCC) high-entropy alloys (HEA), as emerging alloys with equal-molar or near equal-molar constituents, show a promising radiation damage resistance under heavy ion bombardment, making them potential for structural material application in next-generation nuclear reactors, but the accumulation of light helium ions, a product of nuclear fission reaction, has not been studied. The present work experimentally studied the helium accumulation and bubble formation at implantation temperatures of 523 K, 573 K and 673 K in a homogenized FCC FeCoNiCr HEA, a HEA showing excellent radiation damage resistance under heavy ion irradiation. The size and population density of helium bubbles in FeCoNiCr samples were quantitatively analyzed through transmission electron microscopy (TEM), and the helium content existing in bubbles were estimated from a high-pressure Equation of State (EOS). We found that the helium diffusion in such condition was dominated by the self-interstitial/He replacement mechanism, and the corresponding activation energy in FeCoNiCr is comparable with the vacancy migration energy in Ni and austenitic stainless steel but only 14.3%, 31.4% and 51.4% of the accumulated helium precipitated into helium bubbles at 523 K, 573 K and 673 K, respectively, smaller than the pure Ni case. Importantly, the small bubble size suggested that FeCoNiCr HEA has a high resistance of helium bubble formation compared with Ni and steels.

  16. Helium in inert matrix dispersion fuels

    NASA Astrophysics Data System (ADS)

    van Veen, A.; Konings, R. J. M.; Fedorov, A. V.

    2003-07-01

    The behaviour of helium, an important decay product in the transmutation chains of actinides, in dispersion-type inert matrix fuels is discussed. A phenomenological description of its accumulation and release in CERCER and CERMET fuel is given. A summary of recent He-implantation studies with inert matrix metal oxides (ZrO 2, MgAl 2O 4, MgO and Al 2O 3) is presented. A general picture is that for high helium concentrations helium and vacancy defects form helium clusters which convert into over-pressurized bubbles. At elevated temperature helium is released from the bubbles. On some occasions thermal stable nano-cavities or nano-pores remain. On the basis of these results the consequences for helium induced swelling and helium storage in oxide matrices kept at 800-1000 °C will be discussed. In addition, results of He-implantation studies for metal matrices (W, Mo, Nb and V alloys) will be presented. Introduction of helium in metals at elevated temperatures leads to clustering of helium to bubbles. When operational temperatures are higher than 0.5 melting temperature, swelling and helium embrittlement might occur.

  17. Bilateral Versus Unilateral Cochlear Implantation in Adult Listeners: Speech-On-Speech Masking and Multitalker Localization

    PubMed Central

    Buchholz, Jörg M.; Morgan, Catherine; Sharma, Mridula; Weller, Tobias; Konganda, Shivali Appaiah; Shirai, Kyoko; Kawano, Atsushi

    2017-01-01

    Binaural hearing helps normal-hearing listeners localize sound sources and understand speech in noise. However, it is not fully understood how far this is the case for bilateral cochlear implant (CI) users. To determine the potential benefits of bilateral over unilateral CIs, speech comprehension thresholds (SCTs) were measured in seven Japanese bilateral CI recipients using Helen test sentences (translated into Japanese) in a two-talker speech interferer presented from the front (co-located with the target speech), ipsilateral to the first-implanted ear (at +90° or −90°), and spatially symmetric at ±90°. Spatial release from masking was calculated as the difference between co-located and spatially separated SCTs. Localization was assessed in the horizontal plane by presenting either male or female speech or both simultaneously. All measurements were performed bilaterally and unilaterally (with the first implanted ear) inside a loudspeaker array. Both SCTs and spatial release from masking were improved with bilateral CIs, demonstrating mean bilateral benefits of 7.5 dB in spatially asymmetric and 3 dB in spatially symmetric speech mixture. Localization performance varied strongly between subjects but was clearly improved with bilateral over unilateral CIs with the mean localization error reduced by 27°. Surprisingly, adding a second talker had only a negligible effect on localization. PMID:28752811

  18. Bilateral Versus Unilateral Cochlear Implantation in Adult Listeners: Speech-On-Speech Masking and Multitalker Localization.

    PubMed

    Rana, Baljeet; Buchholz, Jörg M; Morgan, Catherine; Sharma, Mridula; Weller, Tobias; Konganda, Shivali Appaiah; Shirai, Kyoko; Kawano, Atsushi

    2017-01-01

    Binaural hearing helps normal-hearing listeners localize sound sources and understand speech in noise. However, it is not fully understood how far this is the case for bilateral cochlear implant (CI) users. To determine the potential benefits of bilateral over unilateral CIs, speech comprehension thresholds (SCTs) were measured in seven Japanese bilateral CI recipients using Helen test sentences (translated into Japanese) in a two-talker speech interferer presented from the front (co-located with the target speech), ipsilateral to the first-implanted ear (at +90° or -90°), and spatially symmetric at ±90°. Spatial release from masking was calculated as the difference between co-located and spatially separated SCTs. Localization was assessed in the horizontal plane by presenting either male or female speech or both simultaneously. All measurements were performed bilaterally and unilaterally (with the first implanted ear) inside a loudspeaker array. Both SCTs and spatial release from masking were improved with bilateral CIs, demonstrating mean bilateral benefits of 7.5 dB in spatially asymmetric and 3 dB in spatially symmetric speech mixture. Localization performance varied strongly between subjects but was clearly improved with bilateral over unilateral CIs with the mean localization error reduced by 27°. Surprisingly, adding a second talker had only a negligible effect on localization.

  19. Partial tripolar cochlear implant stimulation: Spread of excitation and forward masking in the inferior colliculus.

    PubMed

    Bierer, Julie Arenberg; Bierer, Steven M; Middlebrooks, John C

    2010-12-01

    This study examines patterns of neural activity in response to single biphasic electrical pulses, presented alone or following a forward masking pulse train, delivered by a cochlear implant. Recordings were made along the tonotopic axis of the central nucleus of the inferior colliculus (ICC) in ketamine/xylazine anesthetized guinea pigs. The partial tripolar electrode configuration was used, which provided a systematic way to vary the tonotopic extent of ICC activation between monopolar (broad) and tripolar (narrow) extremes while maintaining the same peak of activation. The forward masking paradigm consisted of a 200 ms masker pulse train (1017 pulses per second) followed 10 ms later by a single-pulse probe stimulus; the current fraction of the probe was set to 0 (monopolar), 1 (tripolar), or 0.5 (hybrid), and the fraction of the masker was fixed at 0.5. Forward masking tuning profiles were derived from the amount of masking current required to just suppress the activity produced by a fixed-level probe. These profiles were sharper for more focused probe configurations, approximating the pattern of neural activity elicited by single (non-masked) pulses. The result helps to bridge the gap between previous findings in animals and recent psychophysical data. Copyright © 2010 Elsevier B.V. All rights reserved.

  20. Partial tripolar cochlear implant stimulation: Spread of excitation and forward masking in the inferior colliculus

    PubMed Central

    Bierer, Julie Arenberg; Bierer, Steven M.; Middlebrooks, John C.

    2010-01-01

    This study examines patterns of neural activity in response to single biphasic electrical pulses, presented alone or following a forward masking pulse train, delivered by a cochlear implant. Recordings were made along the tonotopic axis of the central nucleus of the inferior colliculus (ICC) in ketamine/xylazine anesthetized guinea pigs. The partial tripolar electrode configuration was used, which provided a systematic way to vary the tonotopic extent of ICC activation between monopolar (broad) and tripolar (narrow) extremes while maintaining the same peak of activation. The forward masking paradigm consisted of a 200-ms masker pulse train (1017 pulses per second) followed 10 ms later by a single-pulse probe stimulus; the current fraction of the probe was set to 0 (monopolar), 1 (tripolar), or 0.5 (hybrid), and the fraction of the masker was fixed at 0.5. Forward masking tuning profiles were derived from the amount of masking current required to just suppress the activity produced by a fixed-level probe. These profiles were sharper for more focused probe configurations, approximating the pattern of neural activity elicited by single (non-masked) pulses. The result helps to bridge the gap between previous findings in animals and recent psychophysical data. PMID:20727397

  1. Helium Irradiation and Implantation Effects on the Structure of Amorphous Silicon Oxycarbide

    DOE PAGES

    Su, Qing; Inoue, Shinsuke; Ishimaru, Manabu; ...

    2017-06-20

    Despite recent interest in amorphous ceramics for a variety of nuclear applications, many details of their structure before and after irradiation/implantation remain unknown. Here we investigated the short-range order of amorphous silicon oxycarbide (SiOC) alloys by using the atomic pair-distribution function (PDF) obtained from electron diffraction. The PDF results show that the structure of SiOC alloys are nearly unchanged after both irradiation up to 30 dpa and He implantation up to 113 at%. TEM characterization shows no sign of crystallization, He bubble or void formation, or segregation in all irradiated samples. Irradiation results in a decreased number of Si-O bondsmore » and an increased number of Si-C and C-O bonds. This study sheds light on the design of radiation-tolerant materials that do not experience helium swelling for advanced nuclear reactor applications.« less

  2. Helium Irradiation and Implantation Effects on the Structure of Amorphous Silicon Oxycarbide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su, Qing; Inoue, Shinsuke; Ishimaru, Manabu

    Despite recent interest in amorphous ceramics for a variety of nuclear applications, many details of their structure before and after irradiation/implantation remain unknown. Here we investigated the short-range order of amorphous silicon oxycarbide (SiOC) alloys by using the atomic pair-distribution function (PDF) obtained from electron diffraction. The PDF results show that the structure of SiOC alloys are nearly unchanged after both irradiation up to 30 dpa and He implantation up to 113 at%. TEM characterization shows no sign of crystallization, He bubble or void formation, or segregation in all irradiated samples. Irradiation results in a decreased number of Si-O bondsmore » and an increased number of Si-C and C-O bonds. This study sheds light on the design of radiation-tolerant materials that do not experience helium swelling for advanced nuclear reactor applications.« less

  3. Assisted suicide by oxygen deprivation with helium at a Swiss right-to-die organisation.

    PubMed

    Ogden, Russel D; Hamilton, William K; Whitcher, Charles

    2010-03-01

    In Switzerland, right-to-die organisations assist their members with suicide by lethal drugs, usually barbiturates. One organisation, Dignitas, has experimented with oxygen deprivation as an alternative to sodium pentobarbital. To analyse the process of assisted suicide by oxygen deprivation with helium and a common face mask and reservoir bag. This study examined four cases of assisted suicide by oxygen deprivation using helium delivered via a face mask. Videos of the deaths were provided by the Zurich police. Dignitas provided protocol and consent information. One man and three women were assisted to death by oxygen deprivation. There was wide variation in the time to unconsciousness and the time to death, probably due to the poor mask fit. Swiss law prevented attendants from effectively managing the face mask apparatus. Purposeless movements of the extremities were disconcerting for Dignitas attendants, who are accustomed to assisting suicide with barbiturates. None of the dying individuals attempted self-rescue. The dying process of oxygen deprivation with helium is potentially quick and appears painless. It also bypasses the prescribing role of physicians, effectively demedicalising assisted suicide. Oxygen deprivation with a face mask is not acceptable because leaks are difficult to control and it may not eliminate rebreathing. These factors will extend time to unconsciousness and time to death. A hood method could reduce the problem of mask fit. With a hood, a flow rate of helium sufficient to provide continuous washout of expired gases would remedy problems observed with the mask.

  4. Spatial Release from Masking in Adults with Bilateral Cochlear Implants: Effects of Distracter Azimuth and Microphone Location

    ERIC Educational Resources Information Center

    Davis, Timothy J.; Gifford, René H.

    2018-01-01

    Purpose: The primary purpose of this study was to derive spatial release from masking (SRM) performance-azimuth functions for bilateral cochlear implant (CI) users to provide a thorough description of SRM as a function of target/distracter spatial configuration. The secondary purpose of this study was to investigate the effect of the microphone…

  5. Forward Masking in Cochlear Implant Users: Electrophysiological and Psychophysical Data Using Pulse Train Maskers.

    PubMed

    Adel, Youssef; Hilkhuysen, Gaston; Noreña, Arnaud; Cazals, Yves; Roman, Stéphane; Macherey, Olivier

    2017-06-01

    Electrical stimulation of auditory nerve fibers using cochlear implants (CI) shows psychophysical forward masking (pFM) up to several hundreds of milliseconds. By contrast, recovery of electrically evoked compound action potentials (eCAPs) from forward masking (eFM) was shown to be more rapid, with time constants no greater than a few milliseconds. These discrepancies suggested two main contributors to pFM: a rapid-recovery process due to refractory properties of the auditory nerve and a slow-recovery process arising from more central structures. In the present study, we investigate whether the use of different maskers between eCAP and psychophysical measures, specifically single-pulse versus pulse train maskers, may have been a source of confound.In experiment 1, we measured eFM using the following: a single-pulse masker, a 300-ms low-rate pulse train masker (LTM, 250 pps), and a 300-ms high-rate pulse train masker (HTM, 5000 pps). The maskers were presented either at same physical current (Φ) or at same perceptual (Ψ) level corresponding to comfortable loudness. Responses to a single-pulse probe were measured for masker-probe intervals ranging from 1 to 512 ms. Recovery from masking was much slower for pulse trains than for the single-pulse masker. When presented at Φ level, HTM produced more and longer-lasting masking than LTM. However, results were inconsistent when LTM and HTM were compared at Ψ level. In experiment 2, masked detection thresholds of single-pulse probes were measured using the same pulse train masker conditions. In line with our eFM findings, masked thresholds for HTM were higher than those for LTM at Φ level. However, the opposite result was found when the pulse trains were presented at Ψ level.Our results confirm the presence of slow-recovery phenomena at the level of the auditory nerve in CI users, as previously shown in animal studies. Inconsistencies between eFM and pFM results, despite using the same masking conditions, further

  6. Estimated solar wind-implanted helium-3 distribution on the Moon

    USGS Publications Warehouse

    Johnson, J. R.; Swindle, T.D.; Lucey, P.G.

    1999-01-01

    Among the solar wind-implanted volatiles present in the lunar regolith, 3 He is possibly the most valuable resource because of its potential as a fusion fuel. The abundance of 3 He in the lunar regolith at a given location depends on surface maturity, the amount of solar wind fluence, and titanium content, because ilmenite (FeTiO3) retains helium much better than other major lunar minerals. Surface maturity and TiO2 maps from Clementine multispectral data sets are combined here with a solar wind fluence model to produce a 3He abundance map of the Moon. Comparison of the predicted 3He values to landing site observations shows good correlation. The highest 3He abundances occur in the farside maria (due to greater solar wind fluence received) and in higher TiO2 nearside mare regions.

  7. Helium self-trapping and diffusion behaviors in deformed 316L stainless steel exposed to high flux and low energy helium plasma

    NASA Astrophysics Data System (ADS)

    Gong, Yihao; Jin, Shuoxue; Zhu, Te; Cheng, Long; Cao, Xingzhong; You, Li; Lu, Guanghong; Guo, Liping; Wang, Baoyi

    2018-04-01

    A large number of dislocation networks were introduced in to 316L stainless steel by cold rolling. Subsequently, low energy (40 eV) helium ions were implanted by exposing the steel to helium plasma. Thermal desorption and positron annihilation spectroscopy were used to study the behavior of helium in the presence of dislocations, with emphasis on helium self-trapping and migration behaviors. Helium desorption behaviour from different helium trapping states was measured by the thermal desorption spectroscopy. Most of the helium desorbed from the He m V n clusters, and the corresponding desorption peak is located at ~650 K. The desorption peak from helium-dislocation clusters (He m D) is at approximately 805 K. The effect of annealing on the defect evolution was investigated by positron annihilation spectroscopy. For the specimen exposed to helium plasma without displacement damage, the increment of S parameter meant the existence of helium self-trapping behavior (He m V n ). Helium atoms could diffuse two to three orders of magnitude deeper than the implantation depth calculated by SRIM. The diffusing helium atoms were gradually trapped by dislocation lines and formed He m D. Elevated temperatures enhance the self-trapping behavior and cause helium atoms to dissociate/desorb from the He m V n clusters, increasing the S parameters at 473-673 K. The gradual recovery of vacancies in the He m V n clusters decreased the S parameter above 673 K.

  8. Effects of displacement damage and helium production rates on the nucleation and growth of helium bubbles - Positron annihilation spectroscopy aspects

    NASA Astrophysics Data System (ADS)

    Krsjak, Vladimir; Degmova, Jarmila; Sojak, Stanislav; Slugen, Vladimir

    2018-02-01

    Fe-12 wt% Cr model alloy samples were implanted by 250 keV He2+ ions to three different fluencies (3 × 1017, 9 × 1017 and 1.5 × 1018 cm-2) at T < 100 °C. In a depth profile manner, the implantation impact according to defined peak profile was investigated using variable energy slow positrons, with the primary focus on the 2-13 dpa region. The obtained data were compared to published data on Optifer IX steel samples [1] irradiated in the frame of a two-years irradiation program of the Swiss Spallation Neutron Source. Bi-modal defect distribution represented by two defect components in positron lifetime spectrum reveals two distinct helium bubbles growth mechanisms. While at the lower helium production rate of the spallation environment, the bubbles grow primarily by migration and coalescence, at the high production rates of helium in the implanted samples, the results indicate this growth is driven by Ostwald ripening mechanism. A competitive growth process via emission of interstitial atoms (clusters) is discussed in terms of low-temperature He implantations.

  9. Evolution of Helium Bubbles and Discs in Irradiated 6H-SiC during Post-Implantation Annealing.

    PubMed

    Shen, Qiang; Zhou, Wei; Ran, Guang; Li, Ruixiang; Feng, Qijie; Li, Ning

    2017-01-24

    The single crystal 6H-SiC with [0001] crystal direction irradiated by 400 keV He⁺ ions with 1 × 10 17 ions/cm² fluence at 400 °C were annealed at 600, 900, 1200 and 1400 °C for different durations. The evolution of helium bubbles and discs was investigated by transmission electron microscopy. An irradiated layer distributed with fine helium bubbles was formed with a width of ~170 nm after helium ion irradiation. The size of gas bubbles increased with increasing annealing time and temperature and finally reached stable values at a given annealing temperature. According to the relationship between the bubble radii and annealing time, an empirical formula for calculating the bubble radii at the annealing temperature ranged from 600 to 1400 °C was given by fitting the experiment data. Planar bubble clusters (discs) were found to form on (0001) crystal plane at both sides of the bubble layer when the annealing temperature was at the range of 800-1200 °C. The mechanism of bubble growth during post-implantation annealing and the formation of bubble discs were also analyzed and discussed.

  10. Helium segregation on surfaces of plasma-exposed tungsten

    DOE PAGES

    Maroudas, Dimitrios; Blondel, Sophie; Hu, Lin; ...

    2016-01-21

    Here we report a hierarchical multi-scale modeling study of implanted helium segregation on surfaces of tungsten, considered as a plasma facing component in nuclear fusion reactors. We employ a hierarchy of atomic-scale simulations based on a reliable interatomic interaction potential, including molecular-statics simulations to understand the origin of helium surface segregation, targeted molecular-dynamics (MD) simulations of near-surface cluster reactions, and large-scale MD simulations of implanted helium evolution in plasma-exposed tungsten. We find that small, mobile He-n (1 <= n <= 7) clusters in the near-surface region are attracted to the surface due to an elastic interaction force that provides themore » thermodynamic driving force for surface segregation. Elastic interaction force induces drift fluxes of these mobile Hen clusters, which increase substantially as the migrating clusters approach the surface, facilitating helium segregation on the surface. Moreover, the clusters' drift toward the surface enables cluster reactions, most importantly trap mutation, in the near-surface region at rates much higher than in the bulk material. Moreover, these near-surface cluster dynamics have significant effects on the surface morphology, near-surface defect structures, and the amount of helium retained in the material upon plasma exposure. We integrate the findings of such atomic-scale simulations into a properly parameterized and validated spatially dependent, continuum-scale reaction-diffusion cluster dynamics model, capable of predicting implanted helium evolution, surface segregation, and its near-surface effects in tungsten. This cluster-dynamics model sets the stage for development of fully atomistically informed coarse-grained models for computationally efficient simulation predictions of helium surface segregation, as well as helium retention and surface morphological evolution, toward optimal design of plasma facing components.« less

  11. Helium segregation on surfaces of plasma-exposed tungsten

    NASA Astrophysics Data System (ADS)

    Maroudas, Dimitrios; Blondel, Sophie; Hu, Lin; Hammond, Karl D.; Wirth, Brian D.

    2016-02-01

    We report a hierarchical multi-scale modeling study of implanted helium segregation on surfaces of tungsten, considered as a plasma facing component in nuclear fusion reactors. We employ a hierarchy of atomic-scale simulations based on a reliable interatomic interaction potential, including molecular-statics simulations to understand the origin of helium surface segregation, targeted molecular-dynamics (MD) simulations of near-surface cluster reactions, and large-scale MD simulations of implanted helium evolution in plasma-exposed tungsten. We find that small, mobile He n (1  ⩽  n  ⩽  7) clusters in the near-surface region are attracted to the surface due to an elastic interaction force that provides the thermodynamic driving force for surface segregation. This elastic interaction force induces drift fluxes of these mobile He n clusters, which increase substantially as the migrating clusters approach the surface, facilitating helium segregation on the surface. Moreover, the clusters’ drift toward the surface enables cluster reactions, most importantly trap mutation, in the near-surface region at rates much higher than in the bulk material. These near-surface cluster dynamics have significant effects on the surface morphology, near-surface defect structures, and the amount of helium retained in the material upon plasma exposure. We integrate the findings of such atomic-scale simulations into a properly parameterized and validated spatially dependent, continuum-scale reaction-diffusion cluster dynamics model, capable of predicting implanted helium evolution, surface segregation, and its near-surface effects in tungsten. This cluster-dynamics model sets the stage for development of fully atomistically informed coarse-grained models for computationally efficient simulation predictions of helium surface segregation, as well as helium retention and surface morphological evolution, toward optimal design of plasma facing components.

  12. Analysis of Helium Segregation on Surfaces of Plasma-Exposed Tungsten

    NASA Astrophysics Data System (ADS)

    Maroudas, Dimitrios; Hu, Lin; Hammond, Karl; Wirth, Brian

    2015-11-01

    We report a systematic theoretical and atomic-scale computational study of implanted helium segregation on surfaces of tungsten, which is considered as a plasma facing component in nuclear fusion reactors. We employ a hierarchy of atomic-scale simulations, including molecular statics to understand the origin of helium surface segregation, targeted molecular-dynamics (MD) simulations of near-surface cluster reactions, and large-scale MD simulations of implanted helium evolution in plasma-exposed tungsten. We find that small, mobile helium clusters (of 1-7 He atoms) in the near-surface region are attracted to the surface due to an elastic interaction force. This thermodynamic driving force induces drift fluxes of these mobile clusters toward the surface, facilitating helium segregation. Moreover, the clusters' drift toward the surface enables cluster reactions, most importantly trap mutation, at rates much higher than in the bulk material. This cluster dynamics has significant effects on the surface morphology, near-surface defect structures, and the amount of helium retained in the material upon plasma exposure.

  13. Dramatic reduction of void swelling by helium in ion-irradiated high purity α-iron

    DOE PAGES

    Bhattacharya, Arunodaya; Meslin, Estelle; Henry, Jean; ...

    2018-04-11

    Effect of helium on void swelling was studied in high-purity α-iron, irradiated using energetic self-ions to 157 displacements per atom (dpa) at 773 K, with and without helium co-implantation up to 17 atomic parts-per-million (appm) He/dpa. Helium is known to enhance cavity formation in metals in irradiation environments, leading to early void swelling onset. In this study, microstructure characterization by transmission electron microscopy revealed compelling evidence of dramatic swelling reduction by helium co-implantation, achieved primarily by cavity size reduction. In conclusion, a comprehensive understanding of helium induced cavity microstructure development is discussed using sink strength ratios of dislocations and cavities.

  14. Clinical evaluation of cochlear implant sound coding taking into account conjectural masking functions, MP3000™.

    PubMed

    Buechner, Andreas; Beynon, Andy; Szyfter, Witold; Niemczyk, Kazimierz; Hoppe, Ulrich; Hey, Matthias; Brokx, Jan; Eyles, Julie; Van de Heyning, Paul; Paludetti, Gaetano; Zarowski, Andrzej; Quaranta, Nicola; Wesarg, Thomas; Festen, Joost; Olze, Heidi; Dhooge, Ingeborg; Müller-Deile, Joachim; Ramos, Angel; Roman, Stephane; Piron, Jean-Pierre; Cuda, Domenico; Burdo, Sandro; Grolman, Wilko; Vaillard, Samantha Roux; Huarte, Alicia; Frachet, Bruno; Morera, Constantine; Garcia-Ibáñez, Luis; Abels, Daniel; Walger, Martin; Müller-Mazotta, Jochen; Leone, Carlo Antonio; Meyer, Bernard; Dillier, Norbert; Steffens, Thomas; Gentine, André; Mazzoli, Manuela; Rypkema, Gerben; Killian, Matthijs; Smoorenburg, Guido

    2011-11-01

    Efficacy of the SPEAK and ACE coding strategies was compared with that of a new strategy, MP3000™, by 37 European implant centers including 221 subjects. The SPEAK and ACE strategies are based on selection of 8-10 spectral components with the highest levels, while MP3000 is based on the selection of only 4-6 components, with the highest levels relative to an estimate of the spread of masking. The pulse rate per component was fixed. No significant difference was found for the speech scores and for coding preference between the SPEAK/ACE and MP3000 strategies. Battery life was 24% longer for the MP3000 strategy. With MP3000 the best results were found for a selection of six components. In addition, the best results were found for a masking function with a low-frequency slope of 50 dB/Bark and a high-frequency slope of 37 dB/Bark (50/37) as compared to the other combinations examined of 40/30 and 20/15 dB/Bark. The best results found for the steepest slopes do not seem to agree with current estimates of the spread of masking in electrical stimulation. Future research might reveal if performance with respect to SPEAK/ACE can be enhanced by increasing the number of channels in MP3000 beyond 4-6 and it should shed more light on the optimum steepness of the slopes of the masking functions applied in MP3000.

  15. Effects of helium concentration and radiation temperature on interaction of helium atoms with displacement cascades in bcc iron

    NASA Astrophysics Data System (ADS)

    Gao, Chan; Tian, Dongfeng; Li, Maosheng; Qian, Dazhi

    2018-03-01

    In fusion applications, helium, implanted or created by transmutation, plays an important role in the response of reduced-activation ferritic/martensitic steels to neutron radiation damage. The effects of helium concentration and radiation temperature on interaction of interstitial helium atoms with displacement cascades have been studied in Fe-He system using molecular dynamics with recently developed Fe-He potential. Results indicate that interstitial helium atoms produce no additional defects at peak time and promote recombination of Frenkel pairs at lower helium concentrations, but suppress recombination of Frenkel pairs at larger helium concentrations. Moreover, large helium concentrations promote the production of defects at the end of cascades. The number of substitutional helium atoms increases with helium concentration at peak time and the end of cascades, but the number of substitutional helium atoms at peak time is smaller than that at the end of displacement cascades. High radiation temperatures promote the production at peak time and the recombination of defects at the end of cascades. The number of substitutional helium atoms increases with radiation temperature, but that at peak time is smaller than that at the end of cascades.

  16. Recovery from forward masking in cochlear implant listeners depends on stimulation mode, level, and electrode location

    PubMed Central

    Chatterjee, Monita; Kulkarni, Aditya M.

    2017-01-01

    Psychophysical recovery from forward masking was measured in adult cochlear implant users of CochlearTM and Advanced BionicsTM devices, in monopolar and in focused (bipolar and tripolar) stimulation modes, at four electrode sites across the arrays, and at two levels (loudness balanced across modes and electrodes). Results indicated a steeper psychophysical recovery from forward masking in monopolar over bipolar and tripolar modes, modified by differential effects of electrode and level. The interactions between factors varied somewhat across devices. It is speculated that psychophysical recovery from forward masking may be driven by different populations of neurons in the different modes, with a broader stimulation pattern resulting in a greater likelihood of response by healthier and/or faster-recovering neurons within the stimulated population. If a more rapid recovery from prior stimulation reflects responses of neurons not necessarily close to the activating site, the spectral pattern of the incoming acoustic signal may be distorted. These results have implications for speech processor implementations using different degrees of focusing of the electric field. The primary differences in the shape of the recovery function were observed in the earlier portion (between 2 and 45 ms) of recovery, which is significant in terms of the speech envelope. PMID:28682084

  17. Behavior of helium gas atoms and bubbles in low activation 9Cr martensitic steels

    NASA Astrophysics Data System (ADS)

    Hasegawa, Akira; Shiraishi, Haruki; Matsui, Hideki; Abe, Katsunori

    1994-09-01

    The behavior of helium-gas release from helium-implanted 9Cr martensitic steels (500 appm implanted at 873 K) during tensile testing at 873 K was studied. Modified 9Cr-1Mo, low-activation 9Cr-2W and 9Cr-0.5V were investigated. Cold-worked AISI 316 austenitic stainless steel was also investigated as a reference which was susceptible helium embrittlement at high temperature. A helium release peak was observed at the moment of rupture in all the specimens. The total quantity of helium released from these 9Cr steels was in the same range but smaller than that of 316CW steel. Helium gas in the 9Cr steels should be considered to remain in the matrix at their lath-packets even if deformed at 873 K. This is the reason why the martensitic steels have high resistance to helium embrittlement.

  18. Spatial Release From Masking in 2-Year-Olds With Normal Hearing and With Bilateral Cochlear Implants

    PubMed Central

    Hess, Christi L.; Misurelli, Sara M.; Litovsky, Ruth Y.

    2018-01-01

    This study evaluated spatial release from masking (SRM) in 2- to 3-year-old children who are deaf and were implanted with bilateral cochlear implants (BiCIs), and in age-matched normal-hearing (NH) toddlers. Here, we examined whether early activation of bilateral hearing has the potential to promote SRM that is similar to age-matched NH children. Listeners were 13 NH toddlers and 13 toddlers with BiCIs, ages 27 to 36 months. Speech reception thresholds (SRTs) were measured for target speech in front (0°) and for competitors that were either Colocated in front (0°) or Separated toward the right (+90°). SRM was computed as the difference between SRTs in the front versus in the asymmetrical condition. Results show that SRTs were higher in the BiCI than NH group in all conditions. Both groups had higher SRTs in the Colocated and Separated conditions compared with Quiet, indicating masking. SRM was significant only in the NH group. In the BiCI group, the group effect of SRM was not significant, likely limited by the small sample size; however, all but two children had SRM values within the NH range. This work shows that to some extent, the ability to use spatial cues for source segregation develops by age 2 to 3 in NH children and is attainable in most of the children in the BiCI group. There is potential for the paradigm used here to be used in clinical settings to evaluate outcomes of bilateral hearing in very young children. PMID:29761735

  19. Effect of a high helium content on the flow and fracture properties of a 9Cr martensitic steel

    NASA Astrophysics Data System (ADS)

    Henry, J.; Vincent, L.; Averty, X.; Marini, B.; Jung, P.

    2007-08-01

    An experimental characterization was conducted of helium effects on the mechanical properties of a 9Cr martensitic steel. Six sub-size Charpy samples were implanted in the notch region at 250 °C with 0.25 at.% helium and subsequently tested in 3-point bending at room temperature. Brittle fracture mode (cleavage and intergranular fracture) was systematically observed in the implanted zones of the samples. Finite element calculations of the tests, using as input the tensile properties measured on a helium loaded sample, were performed in order to determine the fracture stress at the onset of brittle crack propagation. Preliminary TEM investigations of the implantation-induced microstructure revealed a high density of small helium bubbles.

  20. Impurity gettering in silicon using cavities formed by helium implantation and annealing

    DOEpatents

    Myers, Jr., Samuel M.; Bishop, Dawn M.; Follstaedt, David M.

    1998-01-01

    Impurity gettering in silicon wafers is achieved by a new process consisting of helium ion implantation followed by annealing. This treatment creates cavities whose internal surfaces are highly chemically reactive due to the presence of numerous silicon dangling bonds. For two representative transition-metal impurities, copper and nickel, the binding energies at cavities were demonstrated to be larger than the binding energies in precipitates of metal silicide, which constitutes the basis of most current impurity gettering. As a result the residual concentration of such impurities after cavity gettering is smaller by several orders of magnitude than after precipitation gettering. Additionally, cavity gettering is effective regardless of the starting impurity concentration in the wafer, whereas precipitation gettering ceases when the impurity concentration reaches a characteristic solubility determined by the equilibrium phase diagram of the silicon-metal system. The strong cavity gettering was shown to induce dissolution of metal-silicide particles from the opposite side of a wafer.

  1. Impurity gettering in silicon using cavities formed by helium implantation and annealing

    DOEpatents

    Myers, S.M. Jr.; Bishop, D.M.; Follstaedt, D.M.

    1998-11-24

    Impurity gettering in silicon wafers is achieved by a new process consisting of helium ion implantation followed by annealing. This treatment creates cavities whose internal surfaces are highly chemically reactive due to the presence of numerous silicon dangling bonds. For two representative transition-metal impurities, copper and nickel, the binding energies at cavities were demonstrated to be larger than the binding energies in precipitates of metal silicide, which constitutes the basis of most current impurity gettering. As a result the residual concentration of such impurities after cavity gettering is smaller by several orders of magnitude than after precipitation gettering. Additionally, cavity gettering is effective regardless of the starting impurity concentration in the wafer, whereas precipitation gettering ceases when the impurity concentration reaches a characteristic solubility determined by the equilibrium phase diagram of the silicon-metal system. The strong cavity gettering was shown to induce dissolution of metal-silicide particles from the opposite side of a wafer. 4 figs.

  2. Delivery of helium–oxygen mixture during spontaneous breathing: evaluation of three high-concentration face masks.

    PubMed

    Roche-Campo, Ferran; Vignaux, Laurence; Galia, Fabrice; Lyazidi, Aissam; Vargas, Frédéric; Texereau, Joëlle; Apiou-Sbirlea, Gabriela; Jolliet, Philippe; Brochard, Laurent

    2011-11-01

    To evaluate the efficacy of delivering a mixture of helium and oxygen gas (He–O2) in spontaneous ventilation. Three high oxygen flow reservoir masks were tested: the Heliox21, specifically designed for helium; the Hi-Ox80 mask, with an inspiratory and an expiratory valve; and a standard high-concentration face mask. This prospective randomized crossover study was performed in six healthy volunteers in a laboratory setting. Volunteers breathed a mixture of 78% He/22% O2 through each of the masks under two different breathing conditions (rest and hyperventilation: minute ventilation of 14.9 ± 6.1 and 26.7 ± 8.7 L min(−1), respectively) and four different He–O2 flow rates (7, 10, 12, and 15 L min(−1)). A nasopharyngeal catheter was used to estimate He pharyngeal concentration (Fp [He]) in the airways in order to determine the percentage of contamination with room air (% air cont) at end-expiration. Under all testing conditions, the Hi-Ox80 mask presented a significantly lower % air cont. During resting breathing pattern, a Fp [He] higher than 50% was achieved in 54% of the tests performed with the Hi-Ox80 mask compared to 29% for the Heliox21 mask and only 17% for the standard mask. At hyperventilation, a Fp [He] higher than 50% was achieved in 17% of the tests performed with the Hi-Ox mask compared to 4% for the other two masks. He–O2 administration via the usual high-concentration reservoir masks results in significant dilution by room air. The Hi-Ox80 mask minimized room air contamination and much more frequently achieved a pharyngeal He concentration higher than 50%.

  3. Factors affecting speech understanding in gated interference: Cochlear implant users and normal-hearing listeners

    NASA Astrophysics Data System (ADS)

    Nelson, Peggy B.; Jin, Su-Hyun

    2004-05-01

    Previous work [Nelson, Jin, Carney, and Nelson (2003), J. Acoust. Soc. Am 113, 961-968] suggested that cochlear implant users do not benefit from masking release when listening in modulated noise. The previous findings indicated that implant users experience little to no release from masking when identifying sentences in speech-shaped noise, regardless of the modulation frequency applied to the noise. The lack of masking release occurred for all implant subjects who were using three different devices and speech processing strategies. In the present study, possible causes of this reduced masking release in implant listeners were investigated. Normal-hearing listeners, implant users, and normal-hearing listeners presented with a four-band simulation of a cochlear implant were tested for their understanding of sentences in gated noise (1-32 Hz gate frequencies) when the duty cycle of the noise was varied from 25% to 75%. No systematic effect of noise duty cycle on implant and simulation listeners' performance was noted, indicating that the masking caused by gated noise is not only energetic masking. Masking release significantly increased when the number of spectral channels was increased from 4 to 12 for simulation listeners, suggesting that spectral resolution is important for masking release. Listeners were also tested for their understanding of gated sentences (sentences in quiet interrupted by periods of silence ranging from 1 to 32 Hz as a measure of auditory fusion, or the ability to integrate speech across temporal gaps. Implant and simulation listeners had significant difficulty understanding gated sentences at every gate frequency. When the number of spectral channels was increased for simulation listeners, their ability to understand gated sentences improved significantly. Findings suggest that implant listeners' difficulty understanding speech in modulated conditions is related to at least two (possibly related) factors: degraded spectral information and

  4. Comparative study of image contrast in scanning electron microscope and helium ion microscope.

    PubMed

    O'Connell, R; Chen, Y; Zhang, H; Zhou, Y; Fox, D; Maguire, P; Wang, J J; Rodenburg, C

    2017-12-01

    Images of Ga + -implanted amorphous silicon layers in a 110 n-type silicon substrate have been collected by a range of detectors in a scanning electron microscope and a helium ion microscope. The effects of the implantation dose and imaging parameters (beam energy, dwell time, etc.) on the image contrast were investigated. We demonstrate a similar relationship for both the helium ion microscope Everhart-Thornley and scanning electron microscope Inlens detectors between the contrast of the images and the Ga + density and imaging parameters. These results also show that dynamic charging effects have a significant impact on the quantification of the helium ion microscope and scanning electron microscope contrast. © 2017 The Authors Journal of Microscopy © 2017 Royal Microscopical Society.

  5. IBA studies of helium mobility in nuclear materials revisited

    NASA Astrophysics Data System (ADS)

    Trocellier, P.; Agarwal, S.; Miro, S.; Vaubaillon, S.; Leprêtre, F.; Serruys, Y.

    2015-12-01

    The aim of this paper is to point out and to discuss some features extracted from the study of helium migration in nuclear materials performed during the last fifteen years using ion beam analysis (IBA) measurements. The first part of this paper is devoted to a brief description of the two main IBA methods used, i.e. deuteron induced nuclear reaction for 3He depth profiling and high-energy heavy-ion induced elastic recoil detection analysis for 4He measurement. In the second part, we provide an overview of the different studies carried out on model nuclear waste matrices and model nuclear reactor structure materials in order to illustrate and discuss specific results in terms of key influence parameters in relation with thermal or radiation activated migration of helium. Finally, we show that among the key parameters we have investigated as able to influence the height of the helium migration barrier, the following can be considered as pertinent: the experimental conditions used to introduce helium (implanted ion energy and implantation fluence), the grain size of the matrix, the lattice cell volume, the Young's modulus, the ionicity degree of the chemical bond between the transition metal atom M and the non-metal atom X, and the width of the band gap.

  6. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    NASA Astrophysics Data System (ADS)

    Fedorov, A. V.; van Huis, M. A.; van Veen, A.

    2002-05-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2. The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of Tmax=1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3×10 11 s -1.

  7. Simulation of Helium-3 Extraction from Lunar Ilmenite

    NASA Technical Reports Server (NTRS)

    Kuhlman, K. R.; Kulcinski, G. L.; Schmitt, H. H.

    2004-01-01

    Knowledge of the trapping mechanisms and diffusion characteristics of solar-wind implanted isotopes in the minerals of the lunar regolith will enable the optimization of the processes to extract solar wind gases from regolith particles. Extraction parameters include the temperature and duration of extraction, particle size, and gas yield. Diffusion data will increase the efficiency and profitability of future mining ventures. This data will also assist in optimizing the evaluations of various potential mining sites based on remote sensing data. For instance, if magnesian ilmenite (Mg,Fel.,Ti03) is found to retain He better than stoichiometric ilmenite (FeTi03), remote sensing data for Mg could be considered in addition to Ti and maturity data. The context of the currently discussed work is the mining of helium-3 for potential use as a fuel for fusion energy generation. However, the potential resources deposited by the solar wind include hydrogen (and derived water), helium-4, nitrogen and carbon. Implantation experiments such as those performed for helium isotopes in ilmenite are important for the optimized extraction of these additional resources. These experiments can easily be reproduced for most elements or isotopes of interest.

  8. Tensile properties of candidate structural materials for high power spallation sources at high helium contents

    NASA Astrophysics Data System (ADS)

    Jung, P.; Henry, J.; Chen, J.

    2005-08-01

    Low activation 9%Cr martensitic steels EUROFER97, pure tantalum, and low carbon austenitic stainless steel 316L were homogeneously implanted with helium to concentrations up to 5000 appm at temperatures from 70 °C to 400 °C. The specimens were tensile tested at room temperature and at the respective implantation temperatures. In all materials the helium caused an increased in strength and reduction in ductility, with both changes being generally larger at lower implantation and testing temperatures. After implantation some work hardening was retained in 316L and in tantalum, while it almost completely disappeared in EUROFER97. After tensile testing, fracture surfaces were analysed by scanning electron microscopy (SEM). Implantation caused reduction of necking, but up to concentrations of 2500 appm He fracture surface still showed transgranular ductile appearance. Completely brittle intergranular fracture was observed in tantalum at 9000 appm He and is also expected for EUROFER97 at this concentration, according to previous results on similar 9%Cr steels.

  9. Tritium Decay Helium-3 Effects in Tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimada, M.; Merrill, B. J.

    2016-06-01

    A critical challenge for long-term operation of ITER and beyond to a Demonstration reactor (DEMO) and future fusion reactor will be the development of plasma-facing components (PFCs) that demonstrate erosion resistance to steady-state/transient heat fluxes and intense neutral/ion particle fluxes under the extreme fusion nuclear environment, while at the same time minimizing in-vessel tritium inventories and permeation fluxes into the PFC’s coolant. Tritium will diffuse in bulk tungsten at elevated temperatures, and can be trapped in radiation-induced trap site (up to 1 at. % T/W) in tungsten [1,2]. Tritium decay into helium-3 may also play a major role in microstructuralmore » evolution (e.g. helium embrittlement) in tungsten due to relatively low helium-4 production (e.g. He/dpa ratio of 0.4-0.7 appm [3]) in tungsten. Tritium-decay helium-3 effect on tungsten is hardly understood, and its database is very limited. Two tungsten samples (99.99 at. % purity from A.L.M.T. Co., Japan) were exposed to high flux (ion flux of 1.0x1022 m-2s-1 and ion fluence of 1.0x1026 m-2) 0.5%T2/D2 plasma at two different temperatures (200, and 500°C) in Tritium Plasma Experiment (TPE) at Idaho National Laboratory. Tritium implanted samples were stored at ambient temperature in air for more than 3 years to investigate tritium decay helium-3 effect in tungsten. The tritium distributions on plasma-exposed was monitored by a tritium imaging plate technique during storage period [4]. Thermal desorption spectroscopy was performed with a ramp rate of 10°C/min up to 900°C to outgas residual deuterium and tritium but keep helium-3 in tungsten. These helium-3 implanted samples were exposed to deuterium plasma in TPE to investigate helium-3 effect on deuterium behavior in tungsten. The results show that tritium surface concentration in 200°C sample decreased to 30 %, but tritium surface concentration in 500°C sample did not alter over the 3 years storage period, indicating possible

  10. Evaluation of defect formation in helium irradiated Y2O3 doped W-Ti alloys by positron annihilation and nanoindentation

    NASA Astrophysics Data System (ADS)

    Richter, Asta; Anwand, Wolfgang; Chen, Chun-Liang; Böttger, Roman

    2017-10-01

    Helium implanted tungsten-titanium ODS alloys are investigated using positron annihilation spectroscopy and nanoindentation. Titanium reduces the brittleness of the tungsten alloy, which is manufactured by mechanical alloying. The addition of Y2O3 nanoparticles increases the mechanical properties at elevated temperature and enhances irradiation resistance. Helium ion implantation was applied to simulate irradiation effects on these materials. The irradiation was performed using a 500 kV He ion implanter at fluences around 5 × 1015 cm-2 for a series of samples both at room temperature and at 600 °C. The microstructure and mechanical properties of the pristine and irradiated W-Ti-ODS alloy are compared with respect to the titanium and Y2O3 content. Radiation damage is studied by positron annihilation spectroscopy analyzing the lifetime and the Doppler broadening. Three types of helium-vacancy defects were detected after helium irradiation in the W-Ti-ODS alloy: small defects with high helium-to-vacancy ratio (low S parameter) for room temperature irradiation, larger open volume defects with low helium-to-vacancy ratio (high S parameter) at the surface and He-vacancy complexes pinned at nanoparticles deeper in the material for implantation at 600 °C. Defect induced hardness was studied by nanoindentation. A drastic hardness increase is observed after He ion irradiation both for room temperature and elevated irradiation temperature of 600 °C. The Ti alloyed tungsten-ODS is more affected by the hardness increase after irradiation compared to the pure W-ODS alloy.

  11. Strain doping: Reversible single-axis control of a complex oxide lattice via helium implantation

    DOE PAGES

    Guo, Hangwen; Dong, Shuai; Rack, Philip D.; ...

    2015-06-25

    We report on the use of helium ion implantation to independently control the out-of-plane lattice constant in epitaxial La 0.7Sr 0.3MnO 3 thin films without changing the in-plane lattice constants. The process is reversible by a vacuum anneal. Resistance and magnetization measurements show that even a small increase in the out-of-plane lattice constant of less than 1% can shift the metal-insulator transition and Curie temperatures by more than 100 °C. Unlike conventional epitaxy-based strain tuning methods which are constrained not only by the Poisson effect but by the limited set of available substrates, the present study shows that strain canmore » be independently and continuously controlled along a single axis. This permits novel control over orbital populations through Jahn-Teller effects, as shown by Monte Carlo simulations on a double-exchange model. As a result, the ability to reversibly control a single lattice parameter substantially broadens the phase space for experimental exploration of predictive models and leads to new possibilities for control over materials’ functional properties.« less

  12. Helium sequestration at nanoparticle-matrix interfaces in helium + heavy ion irradiated nanostructured ferritic alloys

    DOE PAGES

    Parish, Chad M.; Unocic, Kinga A.; Tan, Lizhen; ...

    2016-10-24

    Here we irradiated four ferritic alloys with energetic Fe and He ions: one castable nanostructured alloy (CNA) containing Ti-W-Ta-carbides, and three nanostructured ferritic alloys (NFAs). The NFAs were: 9Cr containing Y-Ti-O nanoclusters, and two Fe-12Cr-5Al NFAs containing Y-Zr-O or Y-Hf-O clusters. All four were subjected to simultaneous dual-beam Fe + He ion implantation (650 °C, ~50 dpa, ~15 appm He/dpa), simulating fusion-reactor conditions. Examination using scanning/transmission electron microscopy (STEM) revealed high-number-density helium bubbles of ~8 nm, ~10 21 m -3 (CNA), and of ~3 nm, 10 23 m -3 (NFAs). STEM combined with multivariate statistical analysis data mining suggests thatmore » the precipitate-matrix interfaces in all alloys survived ~50 dpa at 650 °C and serve as effective helium trapping sites. All alloys appear viable structural material candidates for fusion or advanced fission energy systems. Finally, among these developmental alloys the NFAs appear to sequester the helium into smaller bubbles and away from the grain boundaries more effectively than the early-generation CNA.« less

  13. Hydrogen, helium, and other solar-wind components in lunar soil - Abundances and predictions

    NASA Technical Reports Server (NTRS)

    Taylor, Lawrence A.

    1990-01-01

    The lack of a shielding atmosphere on the moon permits solar-wind particles to impinge upon the lunar soil and become implanted into the various phases which comprise the soil. Relatively large quantities of solar-wind implanted hydrogen (50-100 ppm) and helium (10-50 ppm) are present. The measured parameter of I(s)FeO, a direct indicator of maturity and exposure age, can be used as a first approximation to predict the abundances of many solar-wind components in the soils. However, because ilmenite acts as a 'sponge' for the retention of certain elements, the TiO2 content of the soil is a better indicator for hydrogen and helium contents.

  14. Influence of displacement damage on deuterium and helium retention in austenitic and ferritic-martensitic alloys considered for ADS service

    NASA Astrophysics Data System (ADS)

    Voyevodin, V. N.; Karpov, S. A.; Kopanets, I. E.; Ruzhytskyi, V. V.; Tolstolutskaya, G. D.; Garner, F. A.

    2016-01-01

    The behavior of ion-implanted hydrogen (deuterium) and helium in austenitic 18Cr10NiTi stainless steel, EI-852 ferritic steel and ferritic/martensitic steel EP-450 and their interaction with displacement damage were investigated. Energetic argon irradiation was used to produce displacement damage and bubble formation to simulate nuclear power environments. The influence of damage morphology and the features of radiation-induced defects on deuterium and helium trapping in structural alloys was studied using ion implantation, the nuclear reaction D(3He,p)4He, thermal desorption spectrometry and transmission electron microscopy. It was found in the case of helium irradiation that various kinds of helium-radiation defect complexes are formed in the implanted layer that lead to a more complicated spectra of thermal desorption. Additional small changes in the helium spectra after irradiation with argon ions to a dose of ≤25 dpa show that the binding energy of helium with these traps is weakly dependent on the displacement damage. It was established that retention of deuterium in ferritic and ferritic-martensitic alloys is three times less than in austenitic steel at damage of ∼1 dpa. The retention of deuterium in steels is strongly enhanced by presence of radiation damages created by argon ion irradiation, with a shift in the hydrogen release temperature interval of 200 K to higher temperature. At elevated temperatures of irradiation the efficiency of deuterium trapping is reduced by two orders of magnitude.

  15. Dose dependence of helium bubble formation in nano-engineered SiC at 700 °C

    DOE PAGES

    Chen, Chien -Hung; Zhang, Yanwen; Wang, Yongqiang; ...

    2016-02-03

    Knowledge of radiation-induced helium bubble nucleation and growth in SiC is essential for applications in fusion and fission environments. Here we report the evolution of microstructure in nano-engineered (NE) 3C SiC, pre-implanted with helium, under heavy ion irradiation at 700 °C up to doses of 30 displacements per atom (dpa). Elastic recoil detection analysis confirms that the as-implanted helium depth profile does not change under irradiation to 30 dpa at 700 °C. While the helium bubble size distribution becomes narrower with increasing dose, the average size of bubbles remains unchanged and the density of bubbles increases somewhat with dose. Thesemore » results are consistent with a long helium bubble incubation process under continued irradiation at 700 °C up to 30 dpa, similar to that reported under dual and triple beam irradiation at much higher temperatures. The formation of bubbles at this low temperature is enhanced by the nano-layered stacking fault structure in the NE SiC, which enhances point defect mobility parallel to the stacking faults. Here, this stacking fault structure is stable at 700 °C up to 30 dpa and suppresses the formation of dislocation loops normally observed under these irradiation conditions.« less

  16. What's in a mask? Information masking with forward and backward visual masks.

    PubMed

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  17. Characterization of helium-vacancy complexes in He-ions implanted Fe9Cr by using positron annihilation spectroscopy

    NASA Astrophysics Data System (ADS)

    Zhu, Te; Jin, Shuoxue; Zhang, Peng; Song, Ligang; Lian, Xiangyu; Fan, Ping; Zhang, Qiaoli; Yuan, Daqing; Wu, Haibiao; Yu, Runsheng; Cao, Xingzhong; Xu, Qiu; Wang, Baoyi

    2018-07-01

    The formation of helium bubble precursors, i.e., helium-vacancy complexes, was investigated for Fe9Cr alloy, which was uniformly irradiated by using 100 keV helium ions with fluences up to 5 × 1016 ions/cm2 at RT, 523, 623, 723, and 873 K. Helium-irradiation-induced microstructures in the alloy were probed by positron annihilation technique. The results show that the ratio of helium atom to vacancy (m/n) in the irradiation induced HemVn clusters is affected by the irradiation temperature. Irradiated at room temperature, there is a coexistence of large amounts of HemV1 and mono-vacancies in the sample. However, the overpressured HemVn (m > n) clusters or helium bubbles are easily formed by the helium-filled vacancy clusters (HemV1 and HemVn (m ≈ n)) absorbing helium atoms when irradiated at 523 K and 823 K. The results also show that void swelling of the alloy is the largest under 723 K irradiation.

  18. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    NASA Astrophysics Data System (ADS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-09-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 × 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si.

  19. Helium diffusion in carbonates

    NASA Astrophysics Data System (ADS)

    Amidon, W. H.; Cherniak, D. J.; Watson, E. B.; Hobbs, D.

    2013-12-01

    The abundance and large grain size of carbonate minerals make them a potentially attractive target for 4He thermochronology and 3He cosmogenic dating, although the diffusive properties of helium in carbonates remain poorly understood. This work characterizes helium diffusion in calcite and dolomite to better understand the crystal-chemical factors controlling He transport and retentivity. Slabs of cleaved natural calcite and dolomite, and polished sections of calcite cut parallel or normal to c, were implanted with 3He at 3 MeV with a dose of 5x1015/cm2. Implanted carbonates were heated in 1-atm furnaces, and 3He distributions following diffusion anneals were profiled with Nuclear Reaction Analysis using the reaction 3He(d,p)4He. For 3He transport normal to cleavage surfaces in calcite, we obtain the following Arrhenius relation over the temperature range 78-300°C: Dcalcite = 9.0x10-9exp(-55 × 6 kJ mol-1/RT) m2sec-1. Diffusion in calcite exhibits marked anisotropy, with diffusion parallel to c about two orders of magnitude slower than diffusion normal to cleavage faces. He diffusivities for transport normal to the c-axis are similar in value to those normal to cleavage surfaces. Our findings are broadly consistent with helium diffusivities from step-heating measurements of calcite by Copeland et al. (2007); these bulk degassing data may reflect varying effects of diffusional anisotropy. Helium diffusion normal to cleavage surfaces in dolomite is significantly slower than diffusion in calcite, and has a much higher activation energy for diffusion. For dolomite, we obtain the following Arrhenius relation for He diffusion over the temperature range 150-400°C: Ddolomite = 9.0x10-8exp(-92 × 9 kJ mol-1/RT) m2sec-1. The role of crystallographic structure in influencing these differences among diffusivities was evaluated using the maximum aperture approach of Cherniak and Watson (2011), in which crystallographic structures are sectioned along possible diffusion

  20. Retention of pediatric bag-mask ventilation efficacy skill by inexperienced medical student resuscitators using standard bag-mask ventilation masks, pocket masks, and blob masks.

    PubMed

    Kitagawa, Kory H; Nakamura, Nina M; Yamamoto, Loren

    2006-03-01

    To measure the ventilation efficacy with three single-sized mask types on infant and child manikin models. Medical students were recruited as study subjects inasmuch as they are inexperienced resuscitators. They were taught proper bag-mask ventilation (BMV) according to the American Heart Association guidelines on an infant and a child manikin. Subjects completed a BMV attempt successfully using the adult standard mask (to simulate the uncertainty of mask selection), pocket mask, and blob mask. Each attempt consisted of 5 ventilations assessed by chest rise of the manikin. Study subjects were asked which mask was easiest to use. Four to six weeks later, subjects repeated the procedure with no instructions (to simulate an emergency BMV encounter without immediate pre-encounter teaching). Forty-six volunteer subjects were studied. During the first attempt, subjects preferred the standard and blob masks over the pocket mask. For the second attempt, the blob mask was preferred over the standard mask, and few liked the pocket mask. Using the standard, blob, and pocket masks on the child manikin, 39, 42, and 20 subjects, respectively, were able to achieve adequate ventilation. Using the standard, blob, and pocket masks on the infant manikin, 45, 45, and 11 subjects, respectively, were able to achieve adequate ventilation. Both the standard and blob masks are more effective than the pocket mask at achieving adequate ventilation on infant and child manikins in this group of inexperienced medical student resuscitators, who most often preferred the blob mask.

  1. Masking release with changing fundamental frequency: Electric acoustic stimulation resembles normal hearing subjects.

    PubMed

    Auinger, Alice Barbara; Riss, Dominik; Liepins, Rudolfs; Rader, Tobias; Keck, Tilman; Keintzel, Thomas; Kaider, Alexandra; Baumgartner, Wolf-Dieter; Gstoettner, Wolfgang; Arnoldner, Christoph

    2017-07-01

    It has been shown that patients with electric acoustic stimulation (EAS) perform better in noisy environments than patients with a cochlear implant (CI). One reason for this could be the preserved access to acoustic low-frequency cues including the fundamental frequency (F0). Therefore, our primary aim was to investigate whether users of EAS experience a release from masking with increasing F0 difference between target talker and masking talker. The study comprised 29 patients and consisted of three groups of subjects: EAS users, CI users and normal-hearing listeners (NH). All CI and EAS users were implanted with a MED-EL cochlear implant and had at least 12 months of experience with the implant. Speech perception was assessed with the Oldenburg sentence test (OlSa) using one sentence from the test corpus as speech masker. The F0 in this masking sentence was shifted upwards by 4, 8, or 12 semitones. For each of these masker conditions the speech reception threshold (SRT) was assessed by adaptively varying the masker level while presenting the target sentences at a fixed level. A statistically significant improvement in speech perception was found for increasing difference in F0 between target sentence and masker sentence in EAS users (p = 0.038) and in NH listeners (p = 0.003). In CI users (classic CI or EAS users with electrical stimulation only) speech perception was independent from differences in F0 between target and masker. A release from masking with increasing difference in F0 between target and masking speech was only observed in listeners and configurations in which the low-frequency region was presented acoustically. Thus, the speech information contained in the low frequencies seems to be crucial for allowing listeners to separate multiple sources. By combining acoustic and electric information, EAS users even manage tasks as complicated as segregating the audio streams from multiple talkers. Preserving the natural code, like fine-structure cues in

  2. Thermal decomposition of fullerene nanowhiskers protected by amorphous carbon mask

    NASA Astrophysics Data System (ADS)

    Guo, Hongxuan; Wang, Chengxiang; Miyazawa, Kun'Ichi; Wang, Hongxin; Masuda, Hideki; Fujita, Daisuke

    2016-12-01

    Fullerene nanostructures are well known for their unique morphology, physical and mechanical properties. The thermal stability of fullerene nanostructures, such as their sublimation at high temperature is also very important for studying their structures and applications. In this work, We observed fullerene nanowhiskers (FNWs) in situ with scanning helium ion microscopy (HIM) at elevated temperatures. The FNWs exhibited different stabilities with different thermal histories during the observation. The pristine FNWs were decomposed at the temperatures higher than 300 °C in a vacuum environment. Other FNWs were protected from decomposition with an amorphous carbon (aC) film deposited on the surface. Based on high spacial resolution, aC film with periodic structure was deposited by helium ion beam induced deposition (IBID) on the surface of FNWs. Annealed at the high temperature, the fullerene molecules were selectively sublimated from the FNWs. The periodic structure was formed on the surface of FNWs and observed by HIM. Monte Carlo simulation and Raman characterization proved that the morphology of the FNWs was changed by helium IBID at high temperature. This work provides a new method of fabricating artificial structure on the surface of FNWs with periodic aC film as a mask.

  3. Soft tissue grafting to improve implant esthetics

    PubMed Central

    Kassab, Moawia M

    2010-01-01

    Dental implants are becoming the treatment of choice to replace missing teeth, especially if the adjacent teeth are free of restorations. When minimal bone width is present, implant placement becomes a challenge and often resulting in recession and dehiscence around the implant that leads to subsequent gingival recession. To correct such defect, the author turned to soft tissue autografting and allografting to correct a buccal dehiscence around tooth #24 after a malpositioned implant placed by a different surgeon. A 25-year-old woman presented with the chief complaint of gingival recession and exposure of implant threads around tooth #24. The patient received three soft tissue grafting procedures to augment the gingival tissue. The first surgery included a connective tissue graft to increase the width of the keratinized gingival tissue. The second surgery included the use of autografting (connective tissue graft) to coronally position the soft tissue and achieve implant coverage. The third and final surgery included the use of allografting material Alloderm to increase and mask the implant from showing through the gingiva. Healing period was uneventful for the patient. After three surgical procedures, it appears that soft tissue grafting has increased the width and height of the gingiva surrounding the implant. The accomplished thickness of gingival tissue appeared to mask the showing of implant threads through the gingival tissue and allowed for achieving the desired esthetic that the patient desired. The aim of the study is to present a clinical case with soft tissue grafting procedures. PMID:23662087

  4. Measurements of monopolar and bipolar current spreads using forward-masking with a fixed probe.

    PubMed

    Bingabr, Mohamed G; Espinoza-Varas, Blas; Sigdel, Saroj

    2014-05-01

    This research employed a forward-masking paradigm to estimate the current spread of monopolar (MP) and bipolar (BP) maskers, with current amplitudes adjusted to elicit the same loudness. Since the spatial separation between active and return electrodes is smaller in BP than in MP configurations, the BP current spread is more localized and presumably superior in terms of speech intelligibility. Because matching the loudness requires higher current in BP than in MP stimulation, previous forward-masking studies show that BP current spread is not consistently narrower across subjects or electrodes within a subject. The present forward-masking measures of current spread differ from those of previous studies by using the same BP probe electrode configuration for both MP and BP masker configurations, and adjusting the current levels of the MP and BP maskers so as to match them in loudness. With this method, the estimate of masker current spread would not be contaminated by differences in probe current spread. Forward masking was studied in four cochlear implant patients, two females and two males, with speech recognition scores higher than 50%; that is, their auditory-nerve survival status was more than adequate to carry out the experiments. The data showed that MP and BP masker configurations produce equivalent masking patterns (and current spreads) in three participants. A fourth participant displayed asymmetrical patterns with enhancement rather than masking in some cases, especially when the probe and masker were at the same location. This study showed equivalent masking patterns for MP and BP maskers when the BP masker current amplitude was increased to match the loudness of the MP masker, and the same BP probe configuration is used with both maskers. This finding could help to explain why cochlear implant users often fail to accrue higher speech intelligibility benefit from BP stimulation.

  5. Airport detectors and orthopaedic implants.

    PubMed

    van der Wal, Bart C H; Grimm, Bernd; Heyligers, Ide C

    2005-08-01

    As a result of the rising threats of terrorism, airport security has become a major issue. Patients with orthopaedic implants are concerned that they may activate alarms at airport security gates. A literature overview showed that the activation rate of the alarm by hand-held detectors is higher than for arch detectors (100% versus 56%). Arch detection rate has significantly increased from 0% before 1995 up to 83.3% after 1994. Reported factors which influence detection rates are implant mass, implant combinations, implant volume, transfer speed, side of implant, detector model, sensitivity settings, material and tissue masking. Detection rate has been improved by more sensitive devices and improved filter software. Doctors should be able to objectively inform patients. A form is presented which will easily inform the airport security staff.

  6. Object Substitution Masking: When Does Mask Preview Work?

    ERIC Educational Resources Information Center

    Lim, Stephen Wee Hun; Chua, Fook K.

    2008-01-01

    When a target is enclosed by a 4-dot mask that persists after the target disappears, target identification is worse than it is when the mask terminates with the target. This masking effect is attributed to object substitution masking (OSM). Previewing the mask, however, attenuates OSM. This study investigated specific conditions under which mask…

  7. Effects of helium ion implantation on the surface morphology of tungsten at high temperature for the first wall armor and divertor plates of fusion reactors

    NASA Astrophysics Data System (ADS)

    Zenobia, Samuel J.

    Three devices at the University of Wisconsin-Madison Inertial Electrostatic Confinement (UW IEC) laboratory were used to implant W and W alloys with helium ions at high temperatures. These devices were HOMER, HELIOS, and the Materials Irradiation Experiment (MITE-E). The research presented in this thesis will focus on the experiments carried out utilizing the MITE-E. Early UW work in HOMER and HELIOS on silicon carbide, carbon velvet, W-coated carbon velvet, fine-grain W, nano-grain W, W needles, and single- and polycrystalline W showed that these materials were not resistant to He+ implantation above ˜800 °C. Unalloyed W developed a "coral-like" surface morphology after He+ implantation, but appeared to be the most robust material investigated. The MITE-E used an ion gun technology to implant tungsten with 30 keV He+. Tungsten specimens were implanted at 900 °C to total average fluences of 6x1016 -- 6x1018 He +/cm2. Other specimens were implanted to a total average fluence of 5x1018 He+/cm2 at temperatures between 500 and 900 °C. Micrographs of the implanted W specimens revealed the development of three distinct surface morphologies. These morphologies are classified as "blistering", "pitting", and "orientated ridges". Preferential sputtering of the W by the energetic He+ appears to be responsible for pitting and orientated ridges which developed at high fluences (1019 He+/cm2) in the MITE-E. While the orientated ridges were the dominant morphology on the W surface above 700 °C, the pitting was prevalent below 700 °C. The blister morphology was observed at all of the examined temperatures at fluences ≥5x1017 He+/cm2 but disappeared above fluences of 1019 He+/cm 2. The "coral-like" surface morphology on W inherent to He + implantation experiments in HOMER and HELIOS developed from a combination of sources: multiangular ion incidence, ion energy spread (softening), and electron field emission from nano-scale surface features induced by He + implantation. The

  8. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  9. Molecular dynamics study of the role of symmetric tilt grain boundaries on the helium distribution in nickel

    NASA Astrophysics Data System (ADS)

    Torres, E.; Pencer, J.

    2018-04-01

    Helium impurities, from either direct implantation or transmutation reactions, have been associated with embrittlement in nickel-based alloys. Helium has very low solubility in nickel, and has been found to aggregate at lattice defects such as vacancies, dislocations, and grain boundaries. The retention and precipitation of helium in nickel-based alloys have deleterious effects on the material mechanical properties. However, the underlying mechanisms that lead to helium effects in the host metal are not fully understood. In the present work, we investigate the role of symmetric tilt grain boundary (STGB) structures on the distribution of helium in nickel using molecular dynamics simulations. We investigate the family of STGBs specific to the 〈 110 〉 tilt axis. The present results indicate that accumulation of helium at the grain boundary may be modulated by details of grain boundary geometry. A plausible correlation between the grain boundary energy and misorientation with the accumulation and mobility of helium is proposed. Small clusters with up to 6 helium atoms show significant interstitial mobility in the nickel bulk, but also become sites for nucleation and grow of more stable helium clusters. High-energy GBs are found mainly populated with small helium clusters. The high mobility of small clusters along the GBs indicates the role of these GBs as fast two-dimensional channels for diffusion. In contrast, the accumulation of helium in large helium clusters at low-energy STGB creates a favorable environment for the formation of large helium bubbles, indicating a potential role for low-energy STGB in promoting helium-induced GB embrittlement.

  10. Procedural Factors That Affect Psychophysical Measures of Spatial Selectivity in Cochlear Implant Users

    PubMed Central

    Deeks, John M.; Carlyon, Robert P.

    2015-01-01

    Behavioral measures of spatial selectivity in cochlear implants are important both for guiding the programing of individual users’ implants and for the evaluation of different stimulation methods. However, the methods used are subject to a number of confounding factors that can contaminate estimates of spatial selectivity. These factors include off-site listening, charge interactions between masker and probe pulses in interleaved masking paradigms, and confusion effects in forward masking. We review the effects of these confounds and discuss methods for minimizing them. We describe one such method in which the level of a 125-pps masker is adjusted so as to mask a 125-pps probe, and where the masker and probe pulses are temporally interleaved. Five experiments describe the method and evaluate the potential roles of the different potential confounding factors. No evidence was obtained for off-site listening of the type observed in acoustic hearing. The choice of the masking paradigm was shown to alter the measured spatial selectivity. For short gaps between masker and probe pulses, both facilitation and refractory mechanisms had an effect on masking; this finding should inform the choice of stimulation rate in interleaved masking experiments. No evidence for confusion effects in forward masking was revealed. It is concluded that the proposed method avoids many potential confounds but that the choice of method should depend on the research question under investigation. PMID:26420785

  11. Implant and prosthesis movement after enucleation: a randomized controlled trial.

    PubMed

    Shome, Debraj; Honavar, Santosh G; Raizada, Kuldeep; Raizada, Deepa

    2010-08-01

    To evaluate implant and prosthesis movement after myoconjunctival enucleation and subsequent polymethyl methacrylate (PMMA) implantation, compared with the traditional enucleation with muscle imbrication using a PMMA implant and with enucleation accompanied by porous polyethylene implantation. Randomized, controlled, observer-masked, interventional study. One hundred fifty patients, equally and randomly allocated to the 3 groups. Group 1 consisted of patients in whom a PMMA implant was used after enucleation with muscle imbrication (traditional PMMA group). Group 2 consisted of patients in whom a PMMA implant was used after enucleation with a myoconjunctival technique (myoconjunctival PMMA group). Group 3 consisted of patients in whom a porous polyethylene implant was used after enucleation by the scleral cap technique (porous polyethylene group). Fifty patients were included in each group. Patients were allocated to 1 of the 3 groups using stratified randomization. Informed consent was obtained. Acrylic prostheses custom made by a trained ocularist were fitted 6 weeks after surgery in all patients. A masked observer measured implant and prosthesis movement 6 weeks after surgery using a slit-lamp device with real-time video and still photographic documentation. Analysis of implant and prosthesis movement was carried out using the Mann-Whitney U test, and a P value of < or =0.03 was considered significant. Complications including implant displacement and exposure also were noted. Implant and prosthesis movement. Myoconjunctival PMMA implant movement was better than the traditional PMMA implant (P = 0.001), but was similar to that of the porous polyethylene implant. Prosthesis movement with the myoconjunctival PMMA implant was better than that of either the traditional PMMA (P = 0.001) or porous polyethylene (P = 0.002) implants. Myoconjunctival enucleation technique with a PMMA implant provides statistically and clinically significantly better implant and prosthesis

  12. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    PubMed

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  13. Toward Deterministic Implantation of Nitrogen Vacancy Centers in Bulk Diamond Crystals

    NASA Astrophysics Data System (ADS)

    Brundage, T. O.; Atkins, Z.; Sangtawesin, S.; Petta, J. R.

    2014-03-01

    Over the last decade, research investigating the room temperature stability, coherence, and optical manipulation of spin states of the nitrogen vacancy (NV) center in diamond has made it a strong candidate for applications in magnetometry and quantum information processing. As research progresses and we begin to investigate the dynamics and scalability of multiple NV systems, the ability to place NV centers deterministically in the host material with high accuracy is critical. Here we implement a simple fabrication method for NV implantation. We expose and develop small dots in PMMA using an electron-beam lithography tool. Unexposed PMMA serves as a mask for 20 keV nitrogen-15 implantation. The implanted sample is then cleaned in a boiling mixture of nitric, sulfuric, and perchloric acid. Annealing at 850° for 2 hours allows vacancies to diffuse next to implanted nitrogen atoms, forming NV centers with an efficiency of a few percent. SRIM simulations provide nitrogen ion distribution within our diamond substrate and PMMA mask as functions of implantation energy. Thus, after balancing implantation parameters and exposure hole cross-sections, NV center placement can be achieved with accuracy limited by the precision of available electron-beam lithography equipment. Supported by the Sloan and Packard Foundations, the Army Research Office, and the National Science Foundation.

  14. Clay Mask Workshop

    ERIC Educational Resources Information Center

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  15. Crystal orientation effects on helium ion depth distributions and adatom formation processes in plasma-facing tungsten

    DOE PAGES

    Hammond, Karl D.; Wirth, Brian D.

    2014-10-09

    Here, we present atomistic simulations that show the effect of surface orientation on helium depth distributions and surface feature formation as a result of low-energy helium plasma exposure. We find a pronounced effect of surface orientation on the initial depth of implanted helium ions, as well as a difference in reflection and helium retention across different surface orientations. Our results indicate that single helium interstitials are sufficient to induce the formation of adatom/substitutional helium pairs under certain highly corrugated tungsten surfaces, such as {1 1 1}-orientations, leading to the formation of a relatively concentrated layer of immobile helium immediately belowmore » the surface. The energies involved for helium-induced adatom formation on {1 1 1} and {2 1 1} surfaces are exoergic for even a single adatom very close to the surface, while {0 0 1} and {0 1 1} surfaces require two or even three helium atoms in a cluster before a substitutional helium cluster and adatom will form with reasonable probability. This phenomenon results in much higher initial helium retention during helium plasma exposure to {1 1 1} and {2 1 1} tungsten surfaces than is observed for {0 0 1} or {0 1 1} surfaces and is much higher than can be attributed to differences in the initial depth distributions alone. Lastly, the layer thus formed may serve as nucleation sites for further bubble formation and growth or as a source of material embrittlement or fatigue, which may have implications for the formation of tungsten “fuzz” in plasma-facing divertors for magnetic-confinement nuclear fusion reactors and/or the lifetime of such divertors.« less

  16. Effect of W self-implantation and He plasma exposure on early-stage defect and bubble formation in tungsten

    NASA Astrophysics Data System (ADS)

    Thompson, M.; Drummond, D.; Sullivan, J.; Elliman, R.; Kluth, P.; Kirby, N.; Riley, D.; Corr, C. S.

    2018-06-01

    To determine the effect of pre-existing defects on helium-vacancy cluster nucleation and growth, tungsten samples were self-implanted with 1 MeV tungsten ions at varying fluences to induce radiation damage, then subsequently exposed to helium plasma in the MAGPIE linear plasma device. Positron annihilation lifetime spectroscopy was performed both immediately after self-implantation, and again after plasma exposure. After self-implantation vacancies clusters were not observed near the sample surface (<30 nm). At greater depths (30–150 nm) vacancy clusters formed, and were found to increase in size with increasing W-ion fluence. After helium plasma exposure in the MAGPIE linear plasma device at ~300 K with a fluence of 1023 He-m‑2, deep (30–150 nm) vacancy clusters showed similar positron lifetimes, while shallow (<30 nm) clusters were not observed. The intensity of positron lifetime signals fell for most samples after plasma exposure, indicating that defects were filling with helium. The absence of shallow clusters indicates that helium requires pre-existing defects in order to drive vacancy cluster growth at 300 K. Further samples that had not been pre-damaged with W-ions were also exposed to helium plasma in MAGPIE across fluences from 1  ×  1022 to 1.2  ×  1024 He-m‑2. Samples exposed to fluences up to 1  ×  1023 He-m‑2 showed no signs of damage. Fluences of 5  ×  1023 He-m‑2 and higher showed significant helium-cluster formation within the first 30 nm, with positron lifetimes in the vicinity 0.5–0.6 ns. The sample temperature was significantly higher for these higher fluence exposures (~400 K) due to plasma heating. This higher temperature likely enhanced bubble formation by significantly increasing the rate interstitial helium clusters generate vacancies, which is we suspect is the rate-limiting step for helium-vacancy cluster/bubble nucleation in the absence of pre-existing defects.

  17. venice: Mask utility

    NASA Astrophysics Data System (ADS)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  18. Comparison of plethysmographic and helium dilution lung volumes in patients with a giant emphysematous bulla as selection criteria for endobronchial valve implant.

    PubMed

    Fiorelli, Alfonso; Scaramuzzi, Roberto; Pierdiluca, Matteo; Frongillo, Elisabetta; Messina, Gaetana; Serra, Nicola; De Felice, Alberto; Santini, Mario

    2017-09-01

    To assess whether the difference in lung volume measured with plethysmography and with the helium dilution technique could differentiate an open from a closed bulla in patients with a giant emphysematous bulla and could be used as a selection criterion for the positioning of an endobronchial valve. We reviewed the data of 27 consecutive patients with a giant emphysematous bulla undergoing treatment with an endobronchial valve. In addition to standard functional and radiological examinations, total lung capacity and residual volume were measured with the plethysmographic and helium dilution technique. We divided the patients into 2 groups, the collapse or the no-collapse group, depending on whether the bulla collapsed or not after the valves were put in position. We statistically evaluated the intergroup differences in lung volume and outcome. In the no-collapse group (n = 6), the baseline plethysmographic values were significantly higher than the helium dilution volumes, including total lung capacity (188 ± 14 vs 145 ± 13, P = 0.0007) and residual volume (156 ± 156 vs 115 ± 15, P = 0.001). In the collapse group, there was no significant difference in lung volumes measured with the 2 methods. A difference in total lung capacity of ≤ 13% and in residual volume of ≤ 25% measured with the 2 methods predicted the collapse of the bulla with a success rate of 83% and 84%, respectively. Only the collapse group showed significant improvement in functional data. Similar values in lung volumes measured with the 2 methods support the hypothesis that the bulla communicates with the airway (open bulla) and thus is likely to collapse when the endobronchial valve is implanted. Further studies are needed to validate our model. © The Author 2017. Published by Oxford University Press on behalf of the European Association for Cardio-Thoracic Surgery. All rights reserved.

  19. Method of making low leakage N-channel SOS transistors utilizing positive photoresist masking techniques

    NASA Technical Reports Server (NTRS)

    Policastro, Steven G. (Inventor); Woo, Dae-Shik (Inventor)

    1983-01-01

    A self-aligned method of implanting the edges of NMOS/SOS transistors is described. The method entails covering the silicon islands with a thick oxide layer, applying a protective photoresist layer over the thick oxide layer, and exposing the photoresist layer from the underside of the sapphire substrate thereby using the island as an exposure mask. Only the photoresist on the islands' edges will be exposed. The exposed photoresist is then removed and the thick oxide is removed from the islands edges which are then implanted.

  20. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  1. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    PubMed

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  2. Cryogenic filter method produces super-pure helium and helium isotopes

    NASA Technical Reports Server (NTRS)

    Hildebrandt, A. F.

    1964-01-01

    Helium is purified when cooled in a low pressure environment until it becomes superfluid. The liquid helium is then filtered through iron oxide particles. Heating, cooling and filtering processes continue until the purified liquid helium is heated to a gas.

  3. Ultra-thin layer packaging for implantable electronic devices

    NASA Astrophysics Data System (ADS)

    Hogg, A.; Aellen, T.; Uhl, S.; Graf, B.; Keppner, H.; Tardy, Y.; Burger, J.

    2013-07-01

    State of the art packaging for long-term implantable electronic devices generally uses reliable metal and glass housings; however, these are limited in the miniaturization potential and cost reduction. This paper focuses on the development of biocompatible hermetic thin-film packaging based on poly-para-xylylene (Parylene-C) and silicon oxide (SiOx) multilayers for smart implantable microelectromechanical systems (MEMS) devices. For the fabrication, a combined Parylene/SiOx single-chamber deposition system was developed. Topological aspects of multilayers were characterized by atomic force microscopy and scanning electron microscopy. Material compositions and layer interfaces were analyzed by Fourier transform infrared spectrometry and x-ray photoelectron spectroscopy. To evaluate the multilayer corrosion protection, water vapor permeation was investigated using a calcium mirror test. The calcium mirror test shows very low water permeation rates of 2 × 10-3 g m-2 day-1 (23 °C, 45% RH) for a 4.7 µm multilayer, which is equivalent to a 1.9 mm pure Parylene-C coating. According to the packaging standard MIL-STD-883, the helium gas tightness was investigated. These helium permeation measurements predict that a multilayer of 10 µm achieves the hermeticity acceptance criterion required for long-term implantable medical devices.

  4. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, Bill R.; Ashley, Paul R.; Buchal, Christopher J.

    1989-01-01

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO.sub.3 crystals are implanted with high concentrations of Ti dopant at ion energies of about 350 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000.degree. C. produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality single crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguides properties.

  5. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, B.R.; Ashley, P.R.; Buchal, C.J.

    1987-03-24

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO/sub 3/ crystals are implanted with high concentrations of Ti dopant at ion energies of about 360 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000/degree/C produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguiding properties.

  6. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope

    NASA Astrophysics Data System (ADS)

    Zhang, L.; Heinig, N. F.; Bazargan, S.; Abd-Ellah, M.; Moghimi, N.; Leung, K. T.

    2015-06-01

    The recently commercialized helium ion microscope (HIM) has already demonstrated its outstanding imaging capabilities in terms of resolution, surface sensitivity, depth of field and ease of charge compensation. Here, we show its exceptional patterning capabilities by fabricating dense lines and three-dimensional (3D) nanostructures on a Si substrate. Small focusing spot size and confined ion-Si interaction volume of a high-energy helium ion beam account for the high resolution in HIM patterning. We demonstrate that a set of resolvable parallel lines with a half pitch as small as 3.5 nm can be achieved. During helium ion bombardment of the Si surface, implantation outperforms milling due to the small mass of the helium ions, which produces tumefaction instead of depression in the Si surface. The Si surface tumefaction is the result of different kinetic processes including diffusion, coalescence and nanobubble formation of the implanted ions, and is found to be very stable structurally at room temperature. Under appropriate conditions, a linear dependence of the surface swollen height on the ion doses can be observed. This relation has enabled us to fabricate nanopyramids and nanocones, thus demonstrating that HIM patterning provides a new ‘bottom-up’ approach to fabricate 3D nanostructures. This surface tumefaction method is direct, both positioning and height accurate, and free of resist, etch, mode and precursor, and it promises new applications in nanoimprint mold fabrication and photomask clear defect reparation.

  7. Comparison of face masks in the bag-mask ventilation of a manikin.

    PubMed

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  8. [Laryngeal mask].

    PubMed

    Villaverde Rozados, María José; Mos Reguera, M Esther; González Argibay, M Concepción; Sixto Gato, Sandra; Radío, Beatriz Alfonso; Fernández Díaz, María José

    2003-11-01

    A laryngeal mask is a very useful instrument to use both in easy as well as difficult handling situations related to the air tract. This mask is placed in the pharynx and it permits a patient to breathe in different modes, either spontaneously or mechanically. Different models are available; some even facilitate the tracheal intubation through the mask. The authors highlight that this type of mask does not protect nor isolate the air tract. As advantages, the authors point out its easy installation; thus, it is an alternative when an air tract presents difficulties; therefore, it is necessary that all personnel who have to deal with the air tract be aware of this mask and know how to handle it.

  9. Structure-property and composition-property relationships for poly(ethylene terephthalate) surfaces modified by helium plasma-based ion implantation

    NASA Astrophysics Data System (ADS)

    Tóth, A.; Veres, M.; Kereszturi, K.; Mohai, M.; Bertóti, I.; Szépvölgyi, J.

    2011-10-01

    The surfaces of untreated and helium plasma-based ion implantation (He PBII) treated poly(ethylene terephthalate) (PET) samples were characterised by reflectance colorimetry, contact angle studies and measurements of surface electrical resistance. The results were related to the structural and compositional data obtained by the authors earlier on parallel samples by XPS and Raman spectroscopy. Inverse correlations between lightness and ID/ IG ratio and between chroma and ID/ IG ratio were obtained, suggesting that the PBII-treated PET samples darken and their colourfulness decreases with the increase of the portion of aromatic sp 2 carbon rings in the chemical structure of the modified layer. Direct correlation between water contact angle and the ID/ IG ratio and inverse correlations between surface energy and ID/ IG ratio and between dispersive component of surface energy and ID/ IG ratio were found, reflecting that surface wettability, surface energy and its dispersive component decrease with the formation of surface structure, characterised again by enhanced portion of aromatic sp 2 carbon rings. The surface electrical resistance decreased with the increase of the surface C-content determined by XPS and also with the increase of the surface concentration of conjugated double bonds, reflected by the increase of the π → π* shake-up satellite of the C 1s peak.

  10. CALCULATED REGENERATOR PERFORMANCE AT 4 K WITH HELIUM-4 AND HELIUM-3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Radebaugh, Ray; Huang Yonghua; O'Gallagher, Agnes

    2008-03-16

    The helium-4 working fluid in regenerative cryocoolers operating with the cold end near 4 K deviates considerably from an ideal gas. As a result, losses in the regenerator, given by the time-averaged enthalpy flux, are increased and are strong functions of the operating pressure and temperature. Helium-3, with its lower boiling point, behaves somewhat closer to an ideal gas in this low temperature range and can reduce the losses in 4 K regenerators. An analytical model is used to find the fluid properties that strongly influence the regenerator losses as well as the gross refrigeration power. The thermodynamic and transportmore » properties of helium-3 were incorporated into the latest NIST regenerator numerical model, known as REGEN3.3, which was used to model regenerator performance with either helium-4 or helium-3. With this model we show how the use of helium-3 in place of helium-4 can improve the performance of 4 K regenerative cryocoolers. The effects of operating pressure, warm-end temperature, and frequency on regenerators with helium-4 and helium-3 are investigated and compared. The results are used to find optimum operating conditions. The frequency range investigated varies from 1 Hz to 30 Hz, with particular emphasis on higher frequencies.« less

  11. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    PubMed

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  12. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    PubMed Central

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. Results: A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Conclusion: Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients. PMID:27625477

  13. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    ERIC Educational Resources Information Center

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  14. Mask automation: need a revolution in mask makers and equipment industry

    NASA Astrophysics Data System (ADS)

    Moon, Seong-yong; Yu, Sang-yong; Noh, Young-hwa; Son, Ki-jung; Lee, Hyun-Joo; Cho, Han-Ku

    2013-09-01

    As improving device integration for the next generation, high performance and cost down are also required accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV technology into fabrication in order to improve device integration. At the same time, 450mm wafer manufacturing environment has been considered seriously in many ways in order to boost up the productivity. Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry, multi-beam technology is also expected as another influential turning point to overcome currently the most critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam technology will be employed or not, mask quality and productivity will be the key factors to survive from the device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed and the automation guideline is suggested for the next generation.

  15. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers.

    PubMed

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-04-22

    The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go

  16. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers

    PubMed Central

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-01-01

    Objective The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. Setting 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. Participants 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Intervention Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Main outcome measure Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. Results The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. Conclusions This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Trial registration number Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. PMID

  17. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  18. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  19. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  20. Helium-Recycling Plant

    NASA Technical Reports Server (NTRS)

    Cook, Joseph

    1996-01-01

    Proposed system recovers and stores helium gas for reuse. Maintains helium at 99.99-percent purity, preventing water vapor from atmosphere or lubricating oil from pumps from contaminating gas. System takes in gas at nearly constant low back pressure near atmospheric pressure; introduces little or no back pressure into source of helium. Concept also extended to recycling of other gases.

  1. Lattice modification in KTiOPO4 by hydrogen and helium sequentially implantation in submicrometer depth

    NASA Astrophysics Data System (ADS)

    Ma, Changdong; Lu, Fei; Xu, Bo; Fan, Ranran

    2016-05-01

    We investigated lattice modification and its physical mechanism in H and He co-implanted, z-cut potassium titanyl phosphate (KTiOPO4). The samples were implanted with 110 keV H and 190 keV He, both to a fluence of 4 × 1016 cm-2, at room temperature. Rutherford backscattering/channeling, high-resolution x-ray diffraction, and transmission electron microscopy were used to examine the implantation-induced structural changes and strain. Experimental and simulated x-ray diffraction results show that the strain in the implanted KTiOPO4 crystal is caused by interstitial atoms. The strain and stress are anisotropic and depend on the crystal's orientation. Transmission electron microscopy studies indicate that ion implantation produces many dislocations in the as-implanted samples. Annealing can induce ion aggregation to form nanobubbles, but plastic deformation and ion out-diffusion prevent the KTiOPO4 surface from blistering.

  2. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  3. Self-organization of helium precipitates into elongated channels within metal nanolayers

    PubMed Central

    Chen, Di; Li, Nan; Yuryev, Dina; Baldwin, J. Kevin; Wang, Yongqiang; Demkowicz, Michael J.

    2017-01-01

    Material degradation due to precipitation of implanted helium (He) is a key concern in nuclear energy. Decades of research have mapped out the fate of He precipitates in metals, from nucleation and growth of equiaxed bubbles and voids to formation and bursting of surface blisters. By contrast, we show that He precipitates confined within nanoscale metal layers depart from their classical growth trajectories: They self-organize into elongated channels. These channels form via templated nucleation of He precipitates along layer surfaces followed by their growth and spontaneous coalescence into stable precipitate lines. The total line length and connectivity increases with the amount of implanted He, indicating that these channels ultimately interconnect into percolating “vascular” networks. Vascularized metal composites promise a transformative solution to He-induced damage by enabling in operando outgassing of He and other impurities while maintaining material integrity. PMID:29152573

  4. 2013 mask industry survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  5. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  6. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    PubMed Central

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  7. Helium Diffusion in Olivine

    NASA Astrophysics Data System (ADS)

    Cherniak, D. J.; Watson, E. B.

    2011-12-01

    Diffusion of helium has been characterized in natural Fe-bearing olivine (~Fo90) and synthetic forsterite. Polished, oriented slabs of olivine were implanted with 3He, at 100 keV at a dose of 5x1015/cm2 or at 3.0 MeV at a dose of 1x1016/cm2. A set of experiments on the implanted olivine were run in 1-atm furnaces. In addition to the one-atm experiments, experiments on implanted samples were also run at higher pressures (2.6 and 2.7 GPa) to assess the potential effects of pressure on He diffusion and the applicability of the measured diffusivities in describing He transport in the mantle. The high-pressure experiments were conducted in a piston-cylinder apparatus using an "ultra-soft" pressure cell, with the diffusion sample directly surrounded by AgCl. 3He distributions following experiments were measured with Nuclear Reaction Analysis using the reaction 3He(d,p)4He. This direct profiling method permits us to evaluate anisotropy of diffusion, which cannot be easily assessed using bulk-release methods. For diffusion in forsterite parallel to c we obtain the following Arrhenius relation over the temperatures 250-950°C: D = 3.91x10-6exp(-159 ± 4 kJ mol-1/RT) m2/sec. The data define a single Arrhenius line spanning more than 7 orders of magnitude in D and 700°C in temperature. Diffusion parallel to a appears slightly slower, yielding an activation energy for diffusion of 135 kJ/mol and a pre-exponential factor of 3.73x10-8 m2/sec. Diffusion parallel to b is slower than diffusion parallel to a (by about two-thirds of a log unit); for this orientation an activation energy of 138 kJ/mol and a pre-exponential factor of 1.34x10-8 m2/sec are obtained. This anisotropy is broadly consistent with observations for diffusion of Ni and Fe-Mg in olivine. Diffusion in Fe-bearing olivine (transport parallel to b) agrees within uncertainty with findings for He diffusion in forsterite. The higher-pressure experiments yield diffusivities in agreement with those from the 1-atm

  8. What Is Being Masked in Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Cole, Geoff; Skarratt, Paul

    2006-01-01

    Object substitution masking (OSM) is said to occur when a perceptual object is hypothesized that is mismatched by subsequent sensory evidence, leading to a new hypothesized object being substituted for the first. For example, when a brief target is accompanied by a longer lasting display of nonoverlapping mask elements, reporting of target…

  9. Timing Characterization of Helium-4 Fast Neutron Detector with EJ-309 Organic Liquid Scintillator

    NASA Astrophysics Data System (ADS)

    Liang, Yinong; Zhu, Ting; Enqvist, Andreas

    2018-01-01

    Recently, the Helium-4 gas fast neutron scintillation detectors is being used in time-sensitive measurements, such time-of-flight and multiplicity counting. In this paper, a set of time aligned signals was acquired in a coincidence measurement using the Helium-4 gas detectors and EJ-309 liquid scintillators. The high-speed digitizer system is implanted with a trigger moving average window (MAW) unit combing with its constant fraction discriminator (CFD) feature. It can calculate a "time offset" to the timestamp value to get a higher resolution timestamp (up to 50 ps), which is better than the digitizer's time resolution (4 ns) [1]. The digitized waveforms were saved to the computer hard drive and post processed with digital analysis code to determine the difference of their arrival times. The full-width at half-maximum (FWHM) of the Gaussian fit was used as to examine the resolution. For the cascade decay of Cobalt-60 (1.17 and 1.33 MeV), the first version of the Helium-4 detector with two Hamamatsu R580 photomultipliers (PMT) installed at either end of the cylindrical gas chamber (20 cm in length and 4.4 cm in diameter) has a time resolution which is about 3.139 ns FWHM. With improved knowledge of the timing performance, the Helium-4 scintillation detectors are excellent for neutron energy spectrometry applications requiring high temporal and energy resolutions.

  10. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    PubMed

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  11. 2012 Mask Industry Survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  12. Self-organization of helium precipitates into elongated channels within metal nanolayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Di; Li, Nan; Yuryev, Dina

    Material degradation due to precipitation of implanted helium (He) is a key concern in nuclear energy. Decades of research have mapped out the fate of He precipitates in metals, from nucleation and growth of equiaxed bubbles and voids to formation and bursting of surface blisters. By contrast, we show that He precipitates confined within nanoscale metal layers depart from their classical growth trajectories: They self-organize into elongated channels. These channels form via templated nucleation of He precipitates along layer surfaces followed by their growth and spontaneous coalescence into stable precipitate lines. The total line length and connectivity increases with themore » amount of implanted He, indicating that these channels ultimately interconnect into percolating “vascular” networks. In conclusion, vascularized metal composites promise a transformative solution to He-induced damage by enabling in operando outgassing of He and other impurities while maintaining material integrity.« less

  13. Self-organization of helium precipitates into elongated channels within metal nanolayers

    DOE PAGES

    Chen, Di; Li, Nan; Yuryev, Dina; ...

    2017-11-10

    Material degradation due to precipitation of implanted helium (He) is a key concern in nuclear energy. Decades of research have mapped out the fate of He precipitates in metals, from nucleation and growth of equiaxed bubbles and voids to formation and bursting of surface blisters. By contrast, we show that He precipitates confined within nanoscale metal layers depart from their classical growth trajectories: They self-organize into elongated channels. These channels form via templated nucleation of He precipitates along layer surfaces followed by their growth and spontaneous coalescence into stable precipitate lines. The total line length and connectivity increases with themore » amount of implanted He, indicating that these channels ultimately interconnect into percolating “vascular” networks. In conclusion, vascularized metal composites promise a transformative solution to He-induced damage by enabling in operando outgassing of He and other impurities while maintaining material integrity.« less

  14. Ion beam sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1976-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion beam sputtered surfaces.

  15. Ion-beam-sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1977-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion-beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron-bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion-beam-sputtered surfaces.

  16. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  17. Variations in backward masking with different masking stimuli: II. The effects of spatially quantised masks in the light of local contour interaction, interchannel inhibition, perceptual retouch, and substitution theories.

    PubMed

    Bachmann, Talis; Luiga, Iiris; Põder, Endel

    2005-01-01

    In part I we showed that with spatially non-overlapping targets and masks both local metacontrast-like interactions and attentional processes are involved in backward masking. In this second part we extend the strategy of varying the contents of masks to pattern masking where targets and masks overlap in space, in order to compare different masking theories. Images of human faces were backward-masked by three types of spatially quantised masks (the same faces as targets, faces different from targets, and Gaussian noise with power spectra typical for faces). Configural characteristics, rather than the spectral content of the mask, predicted the extent of masking at relatively long stimulus onset asynchronies (SOAs). This poses difficulties for the theory of transient-on-sustained inhibition as the principal mechanism of masking and also for local contour interaction being a decisive factor in pattern masking. The scale of quantisation had no effect on the masking capacity of noise masks and a strong effect on the capacity of different-face masks. Also, the decrease of configural masking with an increase in the coarseness of the quantisation of the mask highlights ambiguities inherent in the re-entrance-based substitution theory of masking. Different masking theories cannot solve the problems of masking separately. They should be combined in order to create a complex, yet comprehensible mode of interaction for the different mechanisms involved in visual backward masking.

  18. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    PubMed

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  19. Mask industry assessment: 2008

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2008-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the seventh in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2007 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.

  20. Mask industry assessment: 2009

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the eighth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2008 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry. This in combination with the past surveys represents a comprehensive view of changes in the industry.

  1. WE-AB-202-07: Ventilation CT: Voxel-Level Comparison with Hyperpolarized Helium-3 & Xenon-129 MRI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tahir, B; Marshall, H; Hughes, P

    Purpose: To compare the spatial correlation of ventilation surrogates computed from inspiratory and expiratory breath-hold CT with hyperpolarized Helium-3 & Xenon-129 MRI in a cohort of lung cancer patients. Methods: 5 patients underwent expiration & inspiration breath-hold CT. Xenon-129 & {sup 1}H MRI were also acquired at the same inflation state as inspiratory CT. This was followed immediately by acquisition of Helium-3 & {sup 1}H MRI in the same breath and at the same inflation state as inspiratory CT. Expiration CT was deformably registered to inspiration CT for calculation of ventilation CT from voxel-wise differences in Hounsfield units. Inspiration CTmore » and the Xenon-129’s corresponding anatomical {sup 1}H MRI were registered to Helium-3 MRI via the same-breath anatomical {sup 1}H MRI. This enabled direct comparison of CT ventilation with Helium-3 MRI & Xenon-129 MRI for the median values in corresponding regions of interest, ranging from finer to coarser in-plane dimensions of 10 by 10, 20 by 20, 30 by 30 and 40 by 40, located within the lungs as defined by the same-breath {sup 1}H MRI lung mask. Spearman coefficients were used to assess voxel-level correlation. Results: The median Spearman’s coefficients of ventilation CT with Helium-3 & Xenon-129 MRI for ROIs of 10 by 10, 20 by 20, 30 by 30 and 40 by 40 were 0.52, 0.56, 0.60 and 0.68 and 0.40, 0.42, 0.52 and 0.70, respectively. Conclusion: This work demonstrates a method of acquiring CT & hyperpolarized gas MRI (Helium-3 & Xenon-129 MRI) in similar breath-holds to enable direct spatial comparison of ventilation maps. Initial results show moderate correlation between ventilation CT & hyperpolarized gas MRI, improving for coarser regions which could be attributable to the inherent noise in CT intensity, non-ventilatory effects and registration errors at the voxel-level. Thus, it may be more beneficial to quantify ventilation at a more regional level.« less

  2. A portable helium sniffer

    USGS Publications Warehouse

    Friedman, Irving; Denton, E.H.

    1976-01-01

    A portable helium sniffer has been developed for field use. The instrument is mounted in a four-wheel-drive pickup truck and can detect 50 parts per billion of helium in soil gas. The usefulness of helium sniffing in soil is being investigated as a prospecting tool in gas, oil, uranium, and geothermal prospecting as well as in earthquake prediction.

  3. Cavitation in flowing superfluid helium

    NASA Technical Reports Server (NTRS)

    Daney, D. E.

    1988-01-01

    Flowing superfluid helium cavitates much more readily than normal liquid helium, and there is a marked difference in the cavitation behavior of the two fluids as the lambda point is traversed. Examples of cavitation in a turbine meter and centrifugal pump are given, together with measurements of the cavitation strength of flowing superfluid helium. The unusual cavitation behavior of superfluid helium is attributed to its immense thermal conductivity .

  4. Mechanical behaviour near grain boundaries of He-implanted UO2 ceramic polycrystals

    NASA Astrophysics Data System (ADS)

    Ibrahim, M.; Castelier, É.; Palancher, H.; Bornert, M.; Caré, S.; Micha, J.-S.

    2017-01-01

    For studying the micromechanical behaviour of UO2 and characterising the intergranular interaction, polycrystals are implanted with helium ions, inducing strains in a thin surface layer. Laue X-ray micro-diffraction is used to measure the strain field in this implanted layer with a spatial resolution of about 1 μm. It allows a 2D mapping of the strain field in a dozen of grains. These measurements show that the induced strain depends mainly on the crystal orientation, and can be evaluated by a semi-analytical mechanical model. A mechanical interaction of the neighbouring grains has also been evidenced near the grain boundaries, which has been well reproduced by a finite element model. This interaction is shown to increase with the implantation energy (i.e. the implantation depth): it can be neglected at low implantation energy (60 keV), but not at higher energy (500 keV).

  5. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    ERIC Educational Resources Information Center

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  6. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  7. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  8. Development of a transferline connecting a helium liquefier coldbox and a liquid helium Dewar

    NASA Astrophysics Data System (ADS)

    Menon, Rajendran S.; Rane, Tejas; Chakravarty, Anindya; Joemon, V.

    2017-02-01

    A helium liquefier with demonstrated capacity of 32 1/hr has been developed by BARC. Mumbai. A transferline for two way flow of helium between the helium liquefier coldbox and receiver Dewar has been developed in-house at BARC. Further, a functionally similar, but structurally improved transferline has been developed through a local fabricator. This paper describes and discusses issues related to the development of these cryogenic transferlines. The developed transferlines have been tested with a flow of liquid nitrogen and successfully utilised later in the helium liquefier plant.

  9. An Assessment of Helium Evolution from Helium-Saturated Propellant Depressurization in Space

    NASA Technical Reports Server (NTRS)

    Nguyen, Bich N.; Best, Frederick; Wong, Tony; Kurwitz, Cable; McConnaughey, H. (Technical Monitor)

    2001-01-01

    Helium evolution from the transfer of helium-saturated propellant in space is quantified to assess its impacts from creating two-phase gas/liquid flow from the supply tank, gas injection into the receiving tank, and liquid discharge from the receiving tank. Propellant transfer takes place between two similar tanks whose maximum storage capacity is approximately 2.55 cubic meters each. The maximum on-orbit propellants transfer capability is 9000 lbm (fuel and oxidizer). The transfer line is approximately 1.27 cm in diameter and 6096 cm in length and comprised of the fluid interconnect system (FICS), the orbiter propellant transfer system (OPTS), and the International Space Station (ISS) propulsion module (ISSPM). The propellant transfer rate begins at approximately 11 liter per minute (lpm) and subsequently drops to approximately 0.5 lpm. The tank nominal operating pressure is approximately 1827 kPa (absolute). The line pressure drops for Monomethy1hydrazine (MMH) and Nitrogen tetroxide (NTO) at 11.3 lpm are approximately 202 kPa and 302 kPa, respectively. The pressure-drop results are based on a single-phase flow. The receiving tank is required to vent from approximately 1827 kPa to a lower pressure to affect propellant transfer. These pressure-drop scenarios cause the helium-saturated propellants to release excess helium. For tank ullage venting, the maximum volumes of helium evolved at tank pressure are approximately 0.5 ft3 for MMH and 2 ft3 for NTO. In microgravity environment, due to lack of body force, the helium evolution from a liquid body acts to propel it, which influences its fluid dynamics. For propellant transfer, the volume fractions of helium evolved at line pressure are 0.1% by volume for MMH and 0.6 % by volume for NTO at 11.3 lpm. The void fraction of helium evolved varies as an approximate second order power function of flow rate.

  10. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    PubMed

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; P<.001). The intraoral mask resulted in greater received minute volume received compared with conventional cuffed face mask during ventilation with a 1-handed mask seal in a cadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  11. Masks in Pedagogical Practice

    ERIC Educational Resources Information Center

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  12. Keeping African Masks Real

    ERIC Educational Resources Information Center

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  13. Masks in Imaging Flow Cytometry

    PubMed Central

    Dominical, Venina; Samsel, Leigh; McCoy, J. Philip

    2016-01-01

    Data analysis in imaging flow cytometry incorporates elements of flow cytometry together with other aspects of morphological analysis of images. A crucial early step in this analysis is the creation of a mask to distinguish the portion of the image upon which further examination of specified features can be performed. Default masks are provided by the manufacturer of the imaging flow cytometer but additional custom masks can be created by the individual user for specific applications. Flawed or inaccurate masks can have a substantial negative impact on the overall analysis of a sample, thus great care must be taken to ensure the accuracy of masks. Here we discuss various types of masks and cite examples of their use. Furthermore we provide our insight for how to approach selecting and assessing the optimal mask for a specific analysis. PMID:27461256

  14. Effects of non-simultaneous masking on the binaural masking level difference

    PubMed Central

    Buss, Emily; Hall III, Joseph W.

    2011-01-01

    The present study sought to clarify the role of non-simultaneous masking in the binaural masking level difference for maskers that fluctuate in level. In the first experiment the signal was a brief 500-Hz tone, and the masker was a bandpass noise (100–2000 Hz), with the initial and final 200-ms bursts presented at 40-dB spectrum level and the inter-burst gap presented at 20-dB spectrum level. Temporal windows were fitted to thresholds measured for a range of gap durations and signal positions within the gap. In the second experiment, individual differences in out of phase (NoSπ) thresholds were compared for a brief signal in a gapped bandpass masker, a brief signal in a steady bandpass masker, and a long signal in a narrowband (50-Hz-wide) noise masker. The third experiment measured brief tone detection thresholds in forward, simultaneous, and backward masking conditions for a 50- and for a 1900-Hz-wide noise masker centered on the 500-Hz signal frequency. Results are consistent with comparable temporal resolution in the in phase (NoSo) and NoSπ conditions and no effect of temporal resolution on individual observers’ ability to utilize binaural cues in narrowband noise. The large masking release observed for a narrowband noise masker may be due to binaural masking release from non-simultaneous, informational masking. PMID:21361448

  15. Masks: The Artist in Me

    ERIC Educational Resources Information Center

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  16. Forward masking of frequency modulationa

    PubMed Central

    Byrne, Andrew J.; Wojtczak, Magdalena; Viemeister, Neal F.

    2012-01-01

    Forward masking of sinusoidal frequency modulation (FM) was measured with three types of maskers: FM, amplitude modulation (AM), and a masker created by combining the magnitude spectrum of an FM tone with random component phases. For the signal FM rates used (5, 20, and 40 Hz), an FM masker raised detection thresholds in terms of frequency deviation by a factor of about 5 relative to without a masker. The AM masker produced a much smaller effect, suggesting that FM-to-AM conversion did not contribute substantially to the FM forward masking. The modulation depth of an FM masker had a nonmonotonic effect, with maximal masking observed at an intermediate value within the range of possible depths, while the random-phase FM masker produced less masking, arguing against a spectrally-based explanation for FM forward masking. Broad FM-rate selectivity for forward masking was observed for both 4-kHz and 500-Hz carriers. Thresholds measured as a function of the masker-signal delay showed slow recovery from FM forward masking, with residual masking for delays up to 500 ms. The FM forward-masking effect resembles that observed for AM [Wojtczak and Viemeister (2005). J. Acoust. Soc. Am. 188, 3198–3210] and may reflect modulation-rate selective neural adaptation to FM. PMID:23145618

  17. Object Substitution Masking Induced by Illusory Masks: Evidence for Higher Object-Level Locus of Interference

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2009-01-01

    A briefly presented target can be rendered invisible by a lingering sparse mask that does not even touch it. This form of visual backward masking, called object substitution masking, is thought to occur at the object level of processing. However, it remains unclear whether object-level interference alone produces substitution masking because…

  18. Helium recovery and purification at CHMFL

    NASA Astrophysics Data System (ADS)

    Li, J.; Meng, Q.; Ouyang, Z.; Shi, L.; Ai, X.; Chen, X.

    2017-02-01

    Currently, rising demand and declining reserves of helium have led to dramatic increases in the helium price. The High Magnetic Field Laboratory of Chinese Academy of Sciences (CHMFL) has made efforts since its foundation to increase the percentage of helium recovered. The piping network connects all the helium experimental facilities to the recovery system, and even exhaust ports of pressure relief valves and vacuum pumps are also connected. In each year, about 30,000 cubic meters helium gas is recovered. The recovery gas is purified, liquefied and supplied to the users again. This paper will provide details about the helium recovery and purification system at CHMFL, including system flowchart, components, problems and solutions.

  19. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    PubMed

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  1. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    PubMed

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  2. Helium cluster isolation spectroscopy

    NASA Astrophysics Data System (ADS)

    Higgins, John Paul

    Clusters of helium, each containing ~103- 104 atoms, are produced in a molecular beam and are doped with alkali metal atoms (Li, Na, and K) and large organic molecules. Electronic spectroscopy in the visible and UV regions of the spectrum is carried out on the dopant species. Since large helium clusters are liquid and attain an equilibrium internal temperature of 0.4 K, they interact weakly with atoms or molecules absorbed on their surface or resident inside the cluster. The spectra that are obtained are characterized by small frequency shifts from the positions of the gas phase transitions, narrow lines, and cold vibrational temperatures. Alkali atoms aggregate on the helium cluster surface to form dimers and trimers. The spectra of singlet alkali dimers exhibit the presence of elementary excitations in the superfluid helium cluster matrix. It is found that preparation of the alkali molecules on the surface of helium clusters leads to the preferential formation of high-spin, van der Waals bound, triplet dimers and quartet trimers. Four bound-bound and two bound-free transitions are observed in the triplet manifold of the alkali dimers. The quartet trimers serve as an ideal system for the study of a simple unimolecular reaction in the cold helium cluster environment. Analysis of the lowest quartet state provides valuable insight into three-body forces in a van der Waals trimer. The wide range of atomic and molecular systems studied in this thesis constitutes a preliminary step in the development of helium cluster isolation spectroscopy, a hybrid technique combining the advantages of high resolution spectroscopy with the synthetic, low temperature environment of matrices.

  3. Gestalt grouping and common onset masking.

    PubMed

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  4. 30 CFR 256.11 - Helium.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... the manner required by the United States to such plants or reduction works as the United States may provide. (c) The extraction of helium shall not cause a reduction in the value of the lessee's gas or any... necessary for the extraction of helium. The extraction of helium shall not cause substantial delays in the...

  5. 30 CFR 256.11 - Helium.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    .... Delivery shall be made in the manner required by the United States to such plants or reduction works as the United States may provide. (c) The extraction of helium shall not cause a reduction in the value of the... and other equipment necessary for the extraction of helium. The extraction of helium shall not cause...

  6. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  7. Lightweight Face Mask

    NASA Technical Reports Server (NTRS)

    Cason, W. E. I.; Baucom, R. M.; Evans, R. C.

    1982-01-01

    Lightweight face mask originally developed to protect epileptic patients during seizures could have many other medical and nonmedical applications such as muscular distrophy patients, football linesmen and riot-control police. Masks are extremely lightweight, the lightest of the configurations weighing only 136 grams.

  8. Auditory steady-state response in cochlear implant patients.

    PubMed

    Torres-Fortuny, Alejandro; Arnaiz-Marquez, Isabel; Hernández-Pérez, Heivet; Eimil-Suárez, Eduardo

    2018-03-19

    Auditory steady state responses to continuous amplitude modulated tones at rates between 70 and 110Hz, have been proposed as a feasible alternative to objective frequency specific audiometry in cochlear implant subjects. The aim of the present study is to obtain physiological thresholds by means of auditory steady-state response in cochlear implant patients (Clarion HiRes 90K), with acoustic stimulation, on free field conditions and to verify its biological origin. 11 subjects comprised the sample. Four amplitude modulated tones of 500, 1000, 2000 and 4000Hz were used as stimuli, using the multiple frequency technique. The recording of auditory steady-state response was also recorded at 0dB HL of intensity, non-specific stimulus and using a masking technique. The study enabled the electrophysiological thresholds to be obtained for each subject of the explored sample. There were no auditory steady-state responses at either 0dB or non-specific stimulus recordings. It was possible to obtain the masking thresholds. A difference was identified between behavioral and electrophysiological thresholds of -6±16, -2±13, 0±22 and -8±18dB at frequencies of 500, 1000, 2000 and 4000Hz respectively. The auditory steady state response seems to be a suitable technique to evaluate the hearing threshold in cochlear implant subjects. Copyright © 2018 Sociedad Española de Otorrinolaringología y Cirugía de Cabeza y Cuello. Publicado por Elsevier España, S.L.U. All rights reserved.

  9. New mask technology challenges

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2001-09-01

    Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.

  10. Mask Industry Assessment: 2011

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2011-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the tenth in the current series of annual reports. With ongoing industry support, the report has been used as one of the baselines to gain perspective on the technical and business status of the mask and microelectronics industries. It continues to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was essentially the same as the 2005 through 2010 surveys. Questions are grouped into following categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  11. Mask Industry Assessment: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David Y.

    2010-09-01

    A survey created supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the ninth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. It will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was basically the same as the 2005 through 2009 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  12. 3He NMR studies on helium-pyrrole, helium-indole, and helium-carbazole systems: a new tool for following chemistry of heterocyclic compounds.

    PubMed

    Radula-Janik, Klaudia; Kupka, Teobald

    2015-02-01

    The (3)He nuclear magnetic shieldings were calculated for free helium atom and He-pyrrole, He-indole, and He-carbazole complexes. Several levels of theory, including Hartree-Fock (HF), Second-order Møller-Plesset Perturbation Theory (MP2), and Density Functional Theory (DFT) (VSXC, M062X, APFD, BHandHLYP, and mPW1PW91), combined with polarization-consistent pcS-2 and aug-pcS-2 basis sets were employed. Gauge-including atomic orbital (GIAO) calculated (3)He nuclear magnetic shieldings reproduced accurately previously reported theoretical values for helium gas. (3)He nuclear magnetic shieldings and energy changes as result of single helium atom approaching to the five-membered ring of pyrrole, indole, and carbazole were tested. It was observed that (3)He NMR parameters of single helium atom, calculated at various levels of theory (HF, MP2, and DFT) are sensitive to the presence of heteroatomic rings. The helium atom was insensitive to the studied molecules at distances above 5 Å. Our results, obtained with BHandHLYP method, predicted fairly accurately the He-pyrrole plane separation of 3.15 Å (close to 3.24 Å, calculated by MP2) and yielded a sizable (3)He NMR chemical shift (about -1.5 ppm). The changes of calculated nucleus-independent chemical shifts (NICS) with the distance above the rings showed a very similar pattern to helium-3 NMR chemical shift. The ring currents above the five-membered rings were seen by helium magnetic probe to about 5 Å above the ring planes verified by the calculated NICS index. Copyright © 2014 John Wiley & Sons, Ltd.

  13. Mask quality assessment

    NASA Astrophysics Data System (ADS)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  14. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  15. Inexpensive Masks for Film Deposition

    NASA Technical Reports Server (NTRS)

    Conley, W. R.

    1986-01-01

    Sputtered sprayed lines less than 2 millimeters wide made by superimposing masks with partially overlapping openings. Slits first cut in masks by stamping or other economical process. Masks superimposed so slits define new openings narrower than original slits.

  16. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-01-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the seventh in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2007. Questions are grouped into seven categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns, and Services. (Examples are given below). Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  17. Masking Strategies for Image Manifolds.

    PubMed

    Dadkhahi, Hamid; Duarte, Marco F

    2016-07-07

    We consider the problem of selecting an optimal mask for an image manifold, i.e., choosing a subset of the pixels of the image that preserves the manifold's geometric structure present in the original data. Such masking implements a form of compressive sensing through emerging imaging sensor platforms for which the power expense grows with the number of pixels acquired. Our goal is for the manifold learned from masked images to resemble its full image counterpart as closely as possible. More precisely, we show that one can indeed accurately learn an image manifold without having to consider a large majority of the image pixels. In doing so, we consider two masking methods that preserve the local and global geometric structure of the manifold, respectively. In each case, the process of finding the optimal masking pattern can be cast as a binary integer program, which is computationally expensive but can be approximated by a fast greedy algorithm. Numerical experiments show that the relevant manifold structure is preserved through the datadependent masking process, even for modest mask sizes.

  18. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  19. Microstructure Evolution and Mechanical Response of Nanolaminate Composites Irradiated with Helium at Elevated Temperatures

    DOE PAGES

    Li, Nan; Demkowicz, Michael J.; Mara, Nathan A.

    2017-09-12

    In this paper, we summarize recent work on helium (He) interaction with various heterophase boundaries under high temperature irradiation. We categorize the ion-affected material beneath the He-implanted surface into three regions of depth, based on the He/vacancy ratio. The differing defect structures in these three regions lead to the distinct temperature sensitivity of He-induced microstructure evolution. The effect of He bubbles or voids on material mechanical performance is explored. Finally, overall design guidelines for developing materials where He-induced damage can be mitigated in materials are discussed.

  20. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  1. Helium release during shale deformation: Experimental validation

    DOE PAGES

    Bauer, Stephen J.; Gardner, W. Payton; Heath, Jason E.

    2016-07-01

    This paper describes initial experimental results of helium tracer release monitoring during deformation of shale. Naturally occurring radiogenic 4He is present in high concentration in most shales. During rock deformation, accumulated helium could be released as fractures are created and new transport pathways are created. We present the results of an experimental study in which confined reservoir shale samples, cored parallel and perpendicular to bedding, which were initially saturated with helium to simulate reservoir conditions, are subjected to triaxial compressive deformation. During the deformation experiment, differential stress, axial, and radial strains are systematically tracked. Release of helium is dynamically measuredmore » using a helium mass spectrometer leak detector. Helium released during deformation is observable at the laboratory scale and the release is tightly coupled to the shale deformation. These first measurements of dynamic helium release from rocks undergoing deformation show that helium provides information on the evolution of microstructure as a function of changes in stress and strain.« less

  2. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    PubMed

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  3. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  4. Gettering of Residual Impurities by Ion Implantation Damage in Poly-AlN UV Diode Detectors

    NASA Astrophysics Data System (ADS)

    Khan, A. H.; Stacy, T.; Meese, J. M.

    1996-03-01

    UV diode detectors have been fabricated from oriented polycrystalline AlN grown on (111) n-type 3-15Ω-cm Si substrates by CVD using AlCl3 and ammonia with a hydrogen carrier gas at 760-800C, 40-45 torr and gas flow rates of 350, 120, and 120 sccm for hydrogen, ammonia and hydrogen over heated AlCl_3. Half of the AlN film of thickness 1.5-2.0 microns was masked off prior to ion implantation. Samples were ion-implanted at 5 kV with methane, nitrogen and argon to a dose of 5-6 x 10^18 ions/cm^2. The AlN was contacted with sputtered Au while the Si was contacted with evaporated Al. No annealing was performed. Rectification was obtained as a result of radiation damage in the AlN. SIMs analysis showed a reduction of oxygen, hydrogen, chlorine and carbon by several orders of magnitude and to a depth of several microns in the ion implanted samples compared to the masked samples. The quantum efficiency was 16nm uncorrected for reflection from the AlN and thin metal contact.

  5. Critical Landau Velocity in Helium Nanodroplets

    NASA Astrophysics Data System (ADS)

    Brauer, Nils B.; Smolarek, Szymon; Loginov, Evgeniy; Mateo, David; Hernando, Alberto; Pi, Marti; Barranco, Manuel; Buma, Wybren J.; Drabbels, Marcel

    2013-10-01

    The best-known property of superfluid helium is the vanishing viscosity that objects experience while moving through the liquid with speeds below the so-called critical Landau velocity. This critical velocity is generally considered a macroscopic property as it is related to the collective excitations of the helium atoms in the liquid. In the present work we determine to what extent this concept can still be applied to nanometer-scale, finite size helium systems. To this end, atoms and molecules embedded in helium nanodroplets of various sizes are accelerated out of the droplets by means of optical excitation, and the speed distributions of the ejected particles are determined. The measurements reveal the existence of a critical velocity in these systems, even for nanodroplets consisting of only a thousand helium atoms. Accompanying theoretical simulations based on a time-dependent density functional description of the helium confirm and further elucidate this experimental finding.

  6. Visual Masking During Pursuit Eye Movements

    ERIC Educational Resources Information Center

    White, Charles W.

    1976-01-01

    Visual masking occurs when one stimulus interferes with the perception of another stimulus. Investigates which matters more for visual masking--that the target and masking stimuli are flashed on the same part of the retina, or, that the target and mask appear in the same place. (Author/RK)

  7. Low helium flux from the mantle inferred from simulations of oceanic helium isotope data

    NASA Astrophysics Data System (ADS)

    Bianchi, Daniele; Sarmiento, Jorge L.; Gnanadesikan, Anand; Key, Robert M.; Schlosser, Peter; Newton, Robert

    2010-09-01

    The high 3He/ 4He isotopic ratio of oceanic helium relative to the atmosphere has long been recognized as the signature of mantle 3He outgassing from the Earth's interior. The outgassing flux of helium is frequently used to normalize estimates of chemical fluxes of elements from the solid Earth, and provides a strong constraint to models of mantle degassing. Here we use a suite of ocean general circulation models and helium isotope data obtained by the World Ocean Circulation Experiment to constrain the flux of helium from the mantle to the oceans. Our results suggest that the currently accepted flux is overestimated by a factor of 2. We show that a flux of 527 ± 102 mol year - 1 is required for ocean general circulation models that produce distributions of ocean ventilation tracers such as radiocarbon and chlorofluorocarbons that match observations. This new estimate calls for a reevaluation of the degassing fluxes of elements that are currently tied to the helium fluxes, including noble gases and carbon dioxide.

  8. Thermal stability of implanted dopants in GaN

    NASA Astrophysics Data System (ADS)

    Wilson, R. G.; Pearton, S. J.; Abernathy, C. R.; Zavada, J. M.

    1995-04-01

    Results are reported of measurements of depth profiles and stability against redistribution with annealing up to 800 or 900 °C, for implanted Be, C, Mg, Si, S, Zn, Ge, and Se as dopants in GaN. The results confirm the high-temperature stability of dopants in this material up to temperatures that vary from 600 to 900 °C. S redistributes for temperatures above 600 °C, and Zn and Se, for temperatures above 800 °C. All of the other elements are stable to 900 °C. These results indicate that direct implantation of dopants rather than masked diffusion will probably be necessary to define selective area doping of III-V nitride device structures based on these results for GaN.

  9. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea

    PubMed Central

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A.; Landry, Shane; Mansfield, Darren R.; Hamilton, Garun S.

    2016-01-01

    Study Objectives: Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Methods: Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Results: Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10–15.5) cm H2O compared to nasal pillow masks, 11 (8–12.5) cm H2O and nasal masks, 10 (8–12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R2 = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5–8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Conclusions: Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Deshpande S, Joosten S, Turton A, Edwards BA, Landry S, Mansfield DR, Hamilton GS. Oronasal masks require a higher pressure than nasal and

  10. 21 CFR 868.1640 - Helium gas analyzer.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Helium gas analyzer. 868.1640 Section 868.1640...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Diagnostic Devices § 868.1640 Helium gas analyzer. (a) Identification. A helium gas analyzer is a device intended to measure the concentration of helium in a gas...

  11. 21 CFR 868.1640 - Helium gas analyzer.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Helium gas analyzer. 868.1640 Section 868.1640...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Diagnostic Devices § 868.1640 Helium gas analyzer. (a) Identification. A helium gas analyzer is a device intended to measure the concentration of helium in a gas...

  12. Helium and deuterium irradiation effects in W-Ta composites produced by pulse plasma compaction

    NASA Astrophysics Data System (ADS)

    Dias, M.; Catarino, N.; Nunes, D.; Fortunato, E.; Nogueira, I.; Rosinki, M.; Correia, J. B.; Carvalho, P. A.; Alves, E.

    2017-08-01

    Tungsten-tantalum composites have been envisaged for first-wall components of nuclear fusion reactors; however, changes in their microstructure are expected from severe irradiation with helium and hydrogenic plasma species. In this study, composites were produced from ball milled W powder mixed with 10 at.% Ta fibers through consolidation by pulse plasma compaction. Implantation was carried out at room temperature with He+ (30 keV) or D+ (15 keV) or sequentially with He+ and D+ using ion beams with fluences of 5 × 1021 at/m2. Microstructural changes and deuterium retention in the implanted composites were investigated by scanning electron microscopy, coupled with focused ion beam and energy dispersive X-ray spectroscopy, transmission electron microscopy, X-ray diffraction, Rutherford backscattering spectrometry and nuclear reaction analysis. The composite materials consisted of Ta fibers dispersed in a nanostructured W matrix, with Ta2O5 layers at the interfacial regions. The Ta and Ta2O5 surfaces exhibited blisters after He+ implantation and subsequent D+ implantation worsened the blistering behavior of Ta2O5. Swelling was also pronounced in Ta2O5 where large blisters exhibited an internal nanometer-sized fuzz structure. Transmission electron microscopy revealed an extensive presence of dislocations in the metallic phases after the sequential implantation, while a relatively low density of defects was detected in Ta2O5. This behavior may be partially justified by a shielding effect from the blisters and fuzz structure developed progressively during implantation. The tungsten peaks in the X-ray diffractograms were markedly shifted after He+ implantation, and even more so after the sequential implantation, which is in agreement with the increased D retention inferred from nuclear reaction analysis.

  13. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea.

    PubMed

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A; Landry, Shane; Mansfield, Darren R; Hamilton, Garun S

    2016-09-15

    Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10-15.5) cm H2O compared to nasal pillow masks, 11 (8-12.5) cm H2O and nasal masks, 10 (8-12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R(2) = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5-8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. A commentary on this article appears in this issue on page 1209. © 2016 American Academy of Sleep Medicine.

  14. Neuroimaging somatosensory perception and masking.

    PubMed

    Meador, Kimford J; Revill, Kathleen Pirog; Epstein, Charles M; Sathian, K; Loring, David W; Rorden, Chris

    2017-01-08

    The specific cortical and subcortical regions involved in conscious perception and masking are uncertain. This study sought to identify brain areas involved in conscious perception of somatosensory stimuli during a masking task using functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived targets. Electrical trains were delivered to the right index finger for targets and to the left index finger for masks. Target intensities were adjusted to compensate for threshold drift. Sham target trials were given in ~10% of the trials, and target stimuli without masks were delivered in one of the five runs (68 trials/run). When healthy dextral adult volunteers (n=15) perceived right hand targets, greater left- than right-cerebral activations were seen with similar patterns across the parietal cortex, thalamus, insula, claustrum, and midbrain. When targets were not perceived, left/right cerebral activations were similar overall. Directly comparing perceived vs. non-perceived stimuli with similar intensities in the masking task revealed predominate activations contralateral to masks. In contrast, activations were greater contralateral to perceived targets if no masks were given or if masks were given but target stimulus intensities were greater for perceived than non-perceived targets. The novel aspects of this study include: 1) imaging of cortical and subcortical activations in healthy humans related to somatosensory perception during a masking task, 2) activations in the human thalamus and midbrain related to perception of stimuli compared to matched non-perceived stimuli, and 3) similar left/right cerebral activation patterns across cortical, thalamic and midbrain structures suggesting interactions across all three levels during conscious perception in humans. Copyright © 2016 Elsevier Ltd. All rights reserved.

  15. Direct Mask Overlay Inspection

    NASA Astrophysics Data System (ADS)

    Hsia, Liang-Choo; Su, Lo-Soun

    1983-11-01

    In this paper, we present a mask inspection methodology and procedure that involves direct X-Y measurements. A group of dice is selected for overlay measurement; four measurement targets were laid out in the kerf of each die. The measured coordinates are then fit-ted to either a "historical" grid, which reflects the individual tool bias, or to an ideal grid squares fashion. Measurements are done using a Nikon X-Y laser interferometric measurement system, which provides a reference grid. The stability of the measurement system is essential. We then apply appropriate statistics to the residual after the fit to determine the overlay performance. Statistical methods play an important role in the product disposition. The acceptance criterion is, however, a compromise between the cost for mask making and the final device yield. In order to satisfy the demand on mask houses for quality of masks and high volume, mixing lithographic tools in mask making has become more popular, in particular, mixing optical and E-beam tools. In this paper, we also discuss the inspection procedure for mixing different lithographic tools.

  16. Electromotile hearing: Acoustic tones mask psychophysical response to high-frequency electrical stimulation of intact guinea pig cochleaea)

    PubMed Central

    Le Prell, Colleen G.; Kawamoto, Kohei; Raphael, Yehoash; Dolan, David F.

    2011-01-01

    When sinusoidal electric stimulation is applied to the intact cochlea, a frequency-specific acoustic emission can be recorded in the ear canal. Acoustic emissions are produced by basilar membrane motion, and have been used to suggest a corresponding acoustic sensation termed “electromotile hearing.” Electromotile hearing has been specifically attributed to electric stimulation of outer hair cells in the intact organ of Corti. To determine the nature of the auditory perception produced by electric stimulation of a cochlea with intact outer hair cells, we tested guinea pigs in a psychophysical task. First, subjects were trained to report detection of sinusoidal acoustic stimuli and dynamic range was assessed using response latency. Subjects were then implanted with a ball electrode placed into scala tympani. Following the surgical implant procedure, subjects were transferred to a task in which acoustic signals were replaced by sinusoidal electric stimulation, and dynamic range was assessed again. Finally, the ability of acoustic pure-tone stimuli to mask the detection of the electric signals was assessed. Based on the masking effects, we conclude that sinusoidal electric stimulation of the intact cochlea results in perception of a tonal (rather than a broad-band or noisy) sound at a frequency of 8 kHz or above. PMID:17225416

  17. Improving the accuracy of acetabular cup implantation using a bulls-eye spirit level.

    PubMed

    Macdonald, Duncan; Gupta, Sanjay; Ohly, Nicholas E; Patil, Sanjeev; Meek, R; Mohammed, Aslam

    2011-01-01

    Acetabular introducers have a built-in inclination of 45 degrees to the handle shaft. With patients in the lateral position, surgeons aim to align the introducer shaft vertical to the floor to implant the acetabulum at 45 degrees. We aimed to determine if a bulls-eye spirit level attached to an introducer improved the accuracy of implantation. A small circular bulls-eye spirit level was attached to the handle of an acetabular introducer. A saw bone hemipelvis was fixed to a horizontal, flat surface. A cement substitute was placed in the acetabulum and subjects were asked to implant a polyethylene cup, aiming to obtain an angle of inclination of 45 degrees. Two attempts were made with the spirit level masked and two with it unmasked. The distance of the air bubble from the spirit level's center was recorded by a single assessor. The angle of inclination of the acetabular component was then calculated. Subjects included both orthopedic consultants and trainees. Twenty-five subjects completed the study. Accuracy of acetabular implantation when using the unmasked spirit level improved significantly in all grades of surgeon. With the spirit level masked, 12 out of 50 attempts were accurate at 45 degrees inclination; 11 out of 50 attempts were "open," with greater than 45 degrees of inclination, and 27 were "closed," with less than 45 degrees. With the spirit level visible, all subjects achieved an inclination angle of exactly 45 degrees. A simple device attached to the handle of an acetabular introducer can significantly improve the accuracy of implantation of a cemented cup into a saw bone pelvis in the lateral position.

  18. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  19. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  20. Helium runaways in white dwarfs

    NASA Technical Reports Server (NTRS)

    Taam, R. E.

    1979-01-01

    The long term evolution of an accreting carbon white dwarf was studied from the onset of accretion to the ignition of helium. The variations in the details of the helium shell flash examined with respect to variations in mass accretion rate. For intermediate rates the helium flash is potentially explosive whereas for high rates the shell flash is relatively weak. The results are discussed in the context of the long term evolution of novae.

  1. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    PubMed

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  2. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    PubMed

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants <33 weeks gestational age in the delivery room. Infants born at the Royal Alexandra Hospital from April to September 2013 at <33 weeks gestational age who received mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  3. High temperature surface effects of He + implantation in ICF fusion first wall materials

    NASA Astrophysics Data System (ADS)

    Zenobia, Samuel J.; Radel, R. F.; Cipiti, B. B.; Kulcinski, Gerald L.

    2009-06-01

    The first wall armor of the inertial confinement fusion reactor chambers must withstand high temperatures and significant radiation damage from target debris and neutrons. The resilience of multiple materials to one component of the target debris has been investigated using energetic (20-40 keV) helium ions generated in the inertial electrostatic confinement device at the University of Wisconsin. The materials studied include: single-crystalline, and polycrystalline tungsten, tungsten-coated tantalum-carbide 'foams', tungsten-rhenium alloy, silicon carbide, carbon-carbon velvet, and tungsten-coated carbon-carbon velvet. Steady-state irradiation temperatures ranged from 750 to 1250 °C with helium fluences between 5 × 10 17 and 1 × 10 20 He +/cm 2. The crystalline, rhenium alloyed, carbide foam, and powder metallurgical tungsten specimens each experienced extensive pore formation after He + irradiation. Flaking and pore formation occurred on silicon carbide samples. Individual fibers of carbon-carbon velvet specimens sustained erosion and corrugation, in addition to the roughening and rupturing of tungsten coatings after helium ion implantation.

  4. History and future of mask making

    NASA Astrophysics Data System (ADS)

    Levy, Ken L.

    1996-12-01

    The history of the mask industry has three main periods, which I call the Classical Period, the Dark Ages, and the Renaissance, by analogy with those periods in the history of Western Europe. During the Classical Period, people developed 1X masks and the technology to make them. In the Dark Ages, people exploited the equipment developed during the Classical Period to make 5X reduction reticle, ending the nobility of mask making. In today's Renaissance of mask making, a proliferation of mask types is requiring a rebirth of innovation and creativity. The Renaissance resembles the Classical Period: masks are once again strategic, and technological capability is once again the driver. Meanwhile, the mask industry is carrying forward the productivity and efficiency gains it achieved during the Dark Ages. We must create a new business and economic model to support these changes in the characteristics of the marketplace.

  5. Paramagnetic Attraction of Impurity-Helium Solids

    NASA Technical Reports Server (NTRS)

    Bernard, E. P.; Boltnev, R. E.; Khmelenko, V. V.; Lee, D. M.

    2003-01-01

    Impurity-helium solids are formed when a mixture of impurity and helium gases enters a volume of superfluid helium. Typical choices of impurity gas are hydrogen deuteride, deuterium, nitrogen, neon and argon, or a mixture of these. These solids consist of individual impurity atoms and molecules as well as clusters of impurity atoms and molecules covered with layers of solidified helium. The clusters have an imperfect crystalline structure and diameters ranging up to 90 angstroms, depending somewhat on the choice of impurity. Immediately following formation the clusters aggregate into loosely connected porous solids that are submerged in and completely permeated by the liquid helium. Im-He solids are extremely effective at stabilizing high concentrations of free radicals, which can be introduced by applying a high power RF dis- charge to the impurity gas mixture just before it strikes the super fluid helium. Average concentrations of 10(exp 19) nitrogen atoms/cc and 5 x 10(exp 18) deuterium atoms/cc can be achieved this way. It shows a typical sample formed from a mixture of atomic and molecular hydrogen and deuterium. It shows typical sample formed from atomic and molecular nitrogen. Much of the stability of Im-He solids is attributed to their very large surface area to volume ratio and their permeation by super fluid helium. Heat resulting from a chance meeting and recombination of free radicals is quickly dissipated by the super fluid helium instead of thermally promoting the diffusion of other nearby free radicals.

  6. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  7. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  8. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    PubMed

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  10. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Shelden, Gilbert; Marmillion, Patricia; Hughes, Greg

    2008-04-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.

  11. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  12. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    PubMed

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  13. Superfluid helium on orbit transfer (SHOOT)

    NASA Technical Reports Server (NTRS)

    Dipirro, Michael J.

    1987-01-01

    A number of space flight experiments and entire facilities require superfluid helium as a coolant. Among these are the Space Infrared Telescope Facility (SIRTF), the Large Deployable Reflector (LDR), the Advanced X-ray Astrophysics Facility (AXAF), the Particle Astrophysics Magnet Facility (PAMF or Astromag), and perhaps even a future Hubble Space Telescope (HST) instrument. Because these systems are required to have long operational lifetimes, a means to replenish the liquid helium, which is exhausted in the cooling process, is required. The most efficient method of replenishment is to refill the helium dewars on orbit with superfluid helium (liquid helium below 2.17 Kelvin). To develop and prove the technology required for this liquid helium refill, a program of ground and flight testing was begun. The flight demonstration is baselined as a two flight program. The first, described in this paper, will prove the concepts involved at both the component and system level. The second flight will demonstrate active astronaut involvement and semi-automated operation. The current target date for the first launch is early 1991.

  14. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2008-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction temperature and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  15. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  16. Prize for Industrial Applications of Physics Talk: Low energy spread Ion source for focused ion beam systems-Search for the holy grail

    NASA Astrophysics Data System (ADS)

    Ward, Bill

    2011-03-01

    In this talk I will cover my personal experiences as a serial entrepreneur and founder of a succession of focused ion beam companies (1). Ion Beam Technology, which developed a 200kv (FIB) direct ion implanter (2). Micrion, where the FIB found a market in circuit edit and mask repair, which eventually merged with FEI corporation. and (3). ALIS Corporation which develop the Orion system, the first commercially successful sub-nanometer helium ion microscope, that was ultimately acquired by Carl Zeiss corporation. I will share this adventure beginning with my experiences in the early days of ion beam implantation and e-beam lithography which lead up to the final breakthrough understanding of the mechanisms that govern the successful creation and operation of a single atom ion source.

  17. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    PubMed

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative

  18. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  19. Metacontrast masking is processed before grapheme-color synesthesia.

    PubMed

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  20. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  1. Temporal masking of multidimensional tactual stimuli

    NASA Astrophysics Data System (ADS)

    Tan, Hong Z.; Reed, Charlotte M.; Delhorne, Lorraine A.; Durlach, Nathaniel I.; Wan, Natasha

    2003-12-01

    Experiments were performed to examine the temporal masking properties of multidimensional tactual stimulation patterns delivered to the left index finger. The stimuli consisted of fixed-frequency sinusoidal motions in the kinesthetic (2 or 4 Hz), midfrequency (30 Hz), and cutaneous (300 Hz) frequency ranges. Seven stimuli composed of one, two, or three spectral components were constructed at each of two signal durations (125 or 250 ms). Subjects identified target signals under three different masking paradigms: forward masking, backward masking, and sandwiched masking (in which the target is presented between two maskers). Target identification was studied as a function of interstimulus interval (ISI) in the range 0 to 640 ms. For both signal durations, percent-correct scores increased with ISI for each of the three masking paradigms. Scores with forward and backward masking were similar and significantly higher than scores obtained with sandwiched masking. Analyses of error trials revealed that subjects showed a tendency to respond, more often than chance, with the masker, the composite of the masker and target, or the combination of the target and a component of the masker. The current results are compared to those obtained in previous studies of tactual recognition masking with brief cutaneous spatial patterns. The results are also discussed in terms of estimates of information transfer (IT) and IT rate, are compared to previous studies with multidimensional tactual signals, and are related to research on the development of tactual aids for the deaf.

  2. Mask industry assessment trend analysis: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2010-05-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  3. An interactive tool for gamut masking

    NASA Astrophysics Data System (ADS)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  4. Backscattered helium spectroscopy in the helium ion microscope: Principles, resolution and applications

    NASA Astrophysics Data System (ADS)

    van Gastel, R.; Hlawacek, G.; Dutta, S.; Poelsema, B.

    2015-02-01

    We demonstrate the possibilities and limitations for microstructure characterization using backscattered particles from a sharply focused helium ion beam. The interaction of helium ions with matter enables the imaging, spectroscopic characterization, as well as the nanometer scale modification of samples. The contrast that is seen in helium ion microscopy (HIM) images differs from that in scanning electron microscopy (SEM) and is generally a result of the higher surface sensitivity of the method. It allows, for instance, a much better visualization of low-Z materials as a result of the small secondary electron escape depth. However, the same differences in beam interaction that give HIM an edge over other imaging techniques, also impose limitations for spectroscopic applications using backscattered particles. Here we quantify those limitations and discuss opportunities to further improve the technique.

  5. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    PubMed

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  6. Effectiveness of surgical masks against influenza bioaerosols.

    PubMed

    Makison Booth, C; Clayton, M; Crook, B; Gawn, J M

    2013-05-01

    Most surgical masks are not certified for use as respiratory protective devices (RPDs). In the event of an influenza pandemic, logistical and practical implications such as storage and fit testing will restrict the use of RPDs to certain high-risk procedures that are likely to generate large amounts of infectious bioaerosols. Studies have shown that in such circumstances increased numbers of surgical masks are worn, but the protection afforded to the wearer by a surgical mask against infectious aerosols is not well understood. To develop and apply a method for assessing the protection afforded by surgical masks against a bioaerosol challenge. A dummy test head attached to a breathing simulator was used to test the performance of surgical masks against a viral challenge. Several designs of surgical masks commonly used in the UK healthcare sector were evaluated by measuring levels of inert particles and live aerosolised influenza virus in the air, from in front of and behind each mask. Live influenza virus was measurable from the air behind all surgical masks tested. The data indicate that a surgical mask will reduce exposure to aerosolised infectious influenza virus; reductions ranged from 1.1- to 55-fold (average 6-fold), depending on the design of the mask. We describe a workable method to evaluate the protective efficacy of surgical masks and RPDs against a relevant aerosolised biological challenge. The results demonstrated limitations of surgical masks in this context, although they are to some extent protective. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  7. Flavored Anesthetic Masks for Inhalational Induction in Children.

    PubMed

    Gupta, Aakriti; Mathew, Preethy Joseph; Bhardwaj, Neerja

    2017-10-01

    To evaluate the clinical efficacy of masking the odor of inhalational agents using fruit flavors on the anxiety behavior and compliance of children for inhalational induction. A prospective randomized double blind, placebo controlled study was conducted on 60 unpremedicated children in the age group of 4-12 y. Thirty children received anesthetic masks smeared with a flavor of child's choice while the other 30 children were induced using masks without flavor. Anxiety was assessed using modified Yale Pre-operative Anxiety Scale (mYPAS) in the pre-op room and during inhalational induction. Mask acceptance was graded by Induction Compliance Checklist (ICC). The cost-effectiveness of flavored anesthetic masks was compared to that of commercially available pre-scented masks. The baseline anxiety in the two groups was comparable. The number of children demonstrating high levels of anxiety at anesthetic induction was similar in flavored and non-flavored mask groups (p 0.45). The compliance to mask induction was also equally good (p 0.99). The authors found significant difference in the cost of flavored mask (INR 56.45 per mask) as compared to commercially available pre-scented masks (INR 660 per mask). The authors observed a placebo effect that reduced the pre-op anxiety in the control group which probably made the quality of induction equivalent with flavored and non-flavored masks. Therefore, using a flavored anesthetic mask is cost-effective than using a commercially available pre-scented mask.

  8. Brightness masking is modulated by disparity structure.

    PubMed

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  9. Oronasal masks require higher levels of positive airway pressure than nasal masks to treat obstructive sleep apnea.

    PubMed

    Bettinzoli, Michela; Taranto-Montemurro, Luigi; Messineo, Ludovico; Corda, Luciano; Redolfi, Stefania; Ferliga, Mauro; Tantucci, Claudio

    2014-12-01

    The purpose of this study was to compare the therapeutic pressure determined by an automated CPAP device (AutoCPAP) during the titration period, between nasal and oronasal mask and the residual apnea-hypopnea index (AHI) on a subsequent poligraphy performed with the established therapeutic CPAP. As a retrospective study, 109 subjects with moderate and severe obstructive sleep apnea-hypopnea (apnea-hypopnea index≥15 events/h) were studied. CPAP titration was performed using an auto-titrating device. There was significant difference in the mean pressure delivered with autoCPAP between the group of patients using the nasal mask (mean 10.0 cmH2O±2.0 SD) and the group which used the oronasal mask (mean 11.2 cmH2O±2.1) (p<0.05). Residual apneas were lower when using a nasal mask: average AHI of 2.6±2.5 compared to 4.5±4.0 using an oronasal mask (p<0.05). On multivariate analysis, the only independent predictor of the level of therapeutic pressure of CPAP was the type of mask used (r=0.245, p 0.008). Therapeutic CPAP level for OSAH is higher when administered via oronasal mask, leaving more residual events. These findings suggest that nasal mask should be the first choice for OSAH treatment.

  10. Using Zebra-speech to study sequential and simultaneous speech segregation in a cochlear-implant simulation.

    PubMed

    Gaudrain, Etienne; Carlyon, Robert P

    2013-01-01

    Previous studies have suggested that cochlear implant users may have particular difficulties exploiting opportunities to glimpse clear segments of a target speech signal in the presence of a fluctuating masker. Although it has been proposed that this difficulty is associated with a deficit in linking the glimpsed segments across time, the details of this mechanism are yet to be explained. The present study introduces a method called Zebra-speech developed to investigate the relative contribution of simultaneous and sequential segregation mechanisms in concurrent speech perception, using a noise-band vocoder to simulate cochlear implants. One experiment showed that the saliency of the difference between the target and the masker is a key factor for Zebra-speech perception, as it is for sequential segregation. Furthermore, forward masking played little or no role, confirming that intelligibility was not limited by energetic masking but by across-time linkage abilities. In another experiment, a binaural cue was used to distinguish the target and the masker. It showed that the relative contribution of simultaneous and sequential segregation depended on the spectral resolution, with listeners relying more on sequential segregation when the spectral resolution was reduced. The potential of Zebra-speech as a segregation enhancement strategy for cochlear implants is discussed.

  11. Using Zebra-speech to study sequential and simultaneous speech segregation in a cochlear-implant simulation

    PubMed Central

    Gaudrain, Etienne; Carlyon, Robert P.

    2013-01-01

    Previous studies have suggested that cochlear implant users may have particular difficulties exploiting opportunities to glimpse clear segments of a target speech signal in the presence of a fluctuating masker. Although it has been proposed that this difficulty is associated with a deficit in linking the glimpsed segments across time, the details of this mechanism are yet to be explained. The present study introduces a method called Zebra-speech developed to investigate the relative contribution of simultaneous and sequential segregation mechanisms in concurrent speech perception, using a noise-band vocoder to simulate cochlear implants. One experiment showed that the saliency of the difference between the target and the masker is a key factor for Zebra-speech perception, as it is for sequential segregation. Furthermore, forward masking played little or no role, confirming that intelligibility was not limited by energetic masking but by across-time linkage abilities. In another experiment, a binaural cue was used to distinguish target and masker. It showed that the relative contribution of simultaneous and sequential segregation depended on the spectral resolution, with listeners relying more on sequential segregation when the spectral resolution was reduced. The potential of Zebra-speech as a segregation enhancement strategy for cochlear implants is discussed. PMID:23297922

  12. Commercial helium reserves, continental rifting and volcanism

    NASA Astrophysics Data System (ADS)

    Ballentine, C. J.; Barry, P. H.; Hillegonds, D.; Fontijn, K.; Bluett, J.; Abraham-James, T.; Danabalan, D.; Gluyas, J.; Brennwald, M. S.; Pluess, B.; Seneshens, D.; Sherwood Lollar, B.

    2017-12-01

    Helium has many industrial applications, but notably provides the unique cooling medium for superconducting magnets in medical MRI scanners and high energy beam lines. In 2013 the global supply chainfailed to meet demand causing significant concern - the `Liquid Helium Crisis' [1]. The 2017 closure of Quatar borders, a major helium supplier, is likely to further disrupt helium supply, and accentuates the urgent need to diversify supply. Helium is found in very few natural gas reservoirs that have focused 4He produced by the dispersed decay (a-particle) of U and Th in the crust. We show here, using the example of the Rukwa section of the Tanzanian East African Rift, how continental rifting and local volcanism provides the combination of processes required to generate helium reserves. The ancient continental crust provides the source of 4He. Rifting and associated magmatism provides the tectonic and thermal mechanism to mobilise deep fluid circulation, focusing flow to the near surface along major basement faults. Helium-rich springs in the Tanzanian Great Rift Valley were first identified in the 1950's[2]. The isotopic compositions and major element chemistry of the gases from springs and seeps are consistent with their release from the crystalline basement during rifting [3]. Within the Rukwa Rift Valley, helium seeps occur in the vicinity of trapping structures that have the potential to store significant reserves of helium [3]. Soil gas surveys over 6 prospective trapping structures (1m depth, n=1486) show helium anomalies in 5 out of the 6 at levels similar to those observed over a known helium-rich gas reservoir at 1200m depth (7% He - Harley Dome, Utah). Detailed macroseep gas compositions collected over two days (n=17) at one site allows us to distinguish shallow gas contributions and shows the deep gas to contain between 8-10% helium, significantly increasing resource estimates based on uncorrected values (1.8-4.2%)[2,3]. The remainder of the deep gas is

  13. Development and Dissemination of a Nationwide Helium Database for a National Assessment of Helium Resources.

    NASA Astrophysics Data System (ADS)

    Brennan, S. T.; East, J. A., II; Garrity, C. P.

    2015-12-01

    In 2013, Congress passed the Helium Stewardship Act requiring the U.S. Geological Survey (USGS) to undertake a national helium gas resource assessment to determine the nation's helium resources. An important initial component necessary to complete this assessment was the development of a comprehensive database of Helium (He) concentrations from petroleum exploration wells. Because Helium is often used as the carrier gas for compositional analyses for commercial and exploratory oil and gas wells, this limits the available helium concentration data. A literature search in peer-reviewed publications, state geologic survey databases, USGS energy geochemical databases, and the Bureau of Land Management databases provided approximately 16,000 data points from wells that had measurable He concentrations in the gas composition analyses. The data from these wells includes, date of sample collection, American Petroleum Institute well number, formation name, field name, depth of sample collection, and location. The gas compositional analyses, some performed as far back as 1934, do not all have the same level of precision and accuracy, therefore the date of the analysis is critical to the assessment as it indicates the relative amount of uncertainty in the analytical results. Non-proprietary data was used to create a GIS based interactive web interface that allows users to visualize, inspect, interact, and download our most current He data. The user can click on individual locations to see the available data at that location, as well as zoom in and out on a data density map. Concentrations on the map range from .04 mol% (lowest concentration of economic value) to 12% (highest naturally occurring values). This visual interface will allow users to develop a rapid appreciation of the areas with the highest potential for high helium concentrations within oil and gas fields.

  14. Shadows alter facial expressions of Noh masks.

    PubMed

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety)", which highly appreciates subtle emotional expressions in the darkness.

  15. Photo-electron emission directly in superfluid helium

    NASA Astrophysics Data System (ADS)

    Zavyalov, V. V.; Pyurbeeva, E. B.; Khaldeev, S. I.

    2018-03-01

    Despite the fact that electron transport in condensed helium has been studied for over half a century [1], observations of new intriguing effects still appear [2]. Alas, the traditional methods of injecting electrons into condensed helium (radioactive-sources, electrical discharge or field emission) lead to generation of helium ions, recombination of which is accompanied by emergence of a large number of excitations. As a result, interpretation of such experiments is not simple and sometimes may be questionable. In this respect, photoelectron emitters, which operate with energies substantially smaller than the ionization energy of helium, are preferable. However, immersion of the photocathode into condensed helium suppresses electron emission. Nevertheless, we managed to achieve electron currents (>20 fA) with the In photocathode immersed directly in liquid superfluid helium. The UV light (λ=254 nm) was guided to the photocathode through a two-meter long Al-covered quartz optical fiber.

  16. How to make Raman-inactive helium visible in Raman spectra of tritium-helium gas mixtures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schloesser, M.; Pakari, O.; Rupp, S.

    2015-03-15

    Raman spectroscopy, a powerful method for the quantitative compositional analysis of molecular gases, e.g. mixtures of hydrogen isotopologues, is not able to detect monoatomic species like helium. This deficit can be overcome by using radioluminescence emission from helium atoms induced by β-electrons from tritium decay. We present theoretical considerations and combined Raman/radioluminescence spectra. Furthermore, we discuss the linearity of the method together with validation measurements for determining the pressure dependence. Finally, we conclude how this technique can be used for samples of helium with traces of tritium, and vice versa. (authors)

  17. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  18. Properties of axially loaded implant-abutment assemblies using digital holographic interferometry analysis.

    PubMed

    Brozović, Juraj; Demoli, Nazif; Farkaš, Nina; Sušić, Mato; Alar, Zeljko; Gabrić Pandurić, Dragana

    2014-03-01

    The aim of this study was to (i) obtain the force-related interferometric patterns of loaded dental implant-abutment assemblies differing in diameter and brand using digital holographic interferometry (DHI) and (ii) determine the influence of implant diameter on the extent of load-induced implant deformation by quantifying and comparing the obtained interferometric data. Experiments included five implant brands (Ankylos, Astra Tech, blueSKY, MIS and Straumann), each represented by a narrow and a wide diameter implant connected to a corresponding abutment. A quasi-Fourier setup with a 25mW helium-neon laser was used for interferometric measurements in the cervical 5mm of the implants. Holograms were recorded in two conditions per measurement: a 10N preloaded and a measuring-force loaded assembly, resulting with an interferogram. This procedure was repeated throughout the whole process of incremental axial loading, from 20N to 120N. Each measurement series was repeated three times for each assembly, with complete dismantling of the implant-loading device in between. Additional software analyses calculated deformation data. Deformations were presented as mean values±standard deviations. Statistical analysis was performed using linear mixed effects modeling in R's lme4 package. Implants exhibited linear deformation patterns. The wide diameter group had lower mean deformation values than the narrow diameter group. The diameter significantly affected the deformation throughout loading sessions. This study gained in vitro implant performance data, compared the deformations in implant bodies and numerically stated the biomechanical benefits of wider diameter implants. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  19. LOX Tank Helium Removal for Propellant Scavenging

    NASA Technical Reports Server (NTRS)

    Chato, David J.

    2009-01-01

    System studies have shown a significant advantage to reusing the hydrogen and oxygen left in these tanks after landing on the Moon in fuel cells to generate power and water for surface systems. However in the current lander concepts, the helium used to pressurize the oxygen tank can substantially degrade fuel cell power and water output by covering the reacting surface with inert gas. This presentation documents an experimental investigation of methods to remove the helium pressurant while minimizing the amount of the oxygen lost. This investigation demonstrated that significant quantities of Helium (greater than 90% mole fraction) remain in the tank after draining. Although a single vent cycle reduced the helium quantity, large amounts of helium remained. Cyclic venting appeared to be more effective. Three vent cycles were sufficient to reduce the helium to small (less than 0.2%) quantities. Two vent cycles may be sufficient since once the tank has been brought up to pressure after the second vent cycle the helium concentration has been reduced to the less than 0.2% level. The re-pressurization process seemed to contribute to diluting helium. This is as expected since in order to raise the pressure liquid oxygen must be evaporated. Estimated liquid oxygen loss is on the order of 82 pounds (assuming the third vent cycle is not required).

  20. Are Masking-Based Models of Risk Useful?

    PubMed

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  1. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  2. Global helium particle balance in LHD

    NASA Astrophysics Data System (ADS)

    Motojima, G.; Masuzaki, S.; Tokitani, M.; Kasahara, H.; Yoshimura, Y.; Kobayashi, M.; Sakamoto, R.; Morisaki, T.; Miyazawa, J.; Akiyama, T.; Ohno, N.; Mutoh, T.; Yamada, H.; LHD Experiment Group

    2015-08-01

    Global helium particle balance in long-pulse discharges is analyzed for the first time in the Large Helical Device (LHD) with the plasma-facing components of the first wall and the divertor tiles composed of stainless steel and carbon, respectively. During the 2-min discharge sustained by ion cyclotron resonance heating (ICRH) and electron cyclotron heating (ECH), helium is observed to be highly retained in the wall (regarded as both the first wall and the divertor tiles). Almost all (about 96%) puffed helium particles (1.3 × 1022 He) are absorbed in the wall near the end of the discharge. Even though a dynamic retention is eliminated, 56% is still absorbed. The analysis is also applied to longer pulse discharges over 40 min by ICRH and ECH, indicating that the helium wall retention is dynamically changed in time. At the initial phase of the discharge, a mechanism for adsorbing helium other than dynamical retention is invoked.

  3. Dynamic Simulation of a Helium Liquefier

    NASA Astrophysics Data System (ADS)

    Maekawa, R.; Ooba, K.; Nobutoki, M.; Mito, T.

    2004-06-01

    Dynamic behavior of a helium liquefier has been studied in detail with a Cryogenic Process REal-time SimulaTor (C-PREST) at the National Institute for Fusion Science (NIFS). The C-PREST is being developed to integrate large-scale helium cryogenic plant design, operation and maintenance for optimum process establishment. As a first step of simulations of cooldown to 4.5 K with the helium liquefier model is conducted, which provides a plant-process validation platform. The helium liquefier consists of seven heat exchangers, a liquid-nitrogen (LN2) precooler, two expansion turbines and a liquid-helium (LHe) reservoir. Process simulations are fulfilled with sequence programs, which were implemented with C-PREST based on an existing liquefier operation. The interactions of a JT valve, a JT-bypass valve and a reservoir-return valve have been dynamically simulated. The paper discusses various aspects of refrigeration process simulation, including its difficulties such as a balance between complexity of the adopted models and CPU time.

  4. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    PubMed Central

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  5. Helium as a Dynamical Tracer in the Thermosphere

    NASA Astrophysics Data System (ADS)

    Thayer, J. P.; Liu, X.; Wang, W.; Burns, A. G.

    2014-12-01

    Helium has been a missing constituent in current thermosphere general circulation models. Although typically a minor gas relative to the more abundant major gasses, its unique properties of being chemically inert and light make it an excellent tracer of thermosphere dynamics. Studying helium can help simplify understanding of transport effects. This understanding can then be projected to other gasses whose overall structure and behavior are complex but, by contrasting with helium, can be evaluated for its transport dependencies. The dynamical influences on composition impact estimates of thermosphere mass density, where helium during solar minima can have a direct contribution, as well as ionosphere electron density. Furthermore, helium estimates in the upper thermosphere during solar minima have not been observed since the 1976 minimum. Indirect estimates of helium in the upper thermosphere during the recent extreme solar minimum indicates winter-time helium concentrations exceeded NRL-MSISE00 estimates by 30%-70% during periods of quiet geomagnetic activity. For times of active geomagnetic conditions, helium concentrations near ~450 km altitude are estimated to decrease while oxygen concentrations increase. An investigation of the altitude structure in thermosphere mass density storm-time perturbations reveal the important effects of composition change with maximum perturbation occurring near the He/O transition region and a much weaker maximum occurring near the O/N2 transition region. However, evaluating helium behavior and its role as a dynamical tracer is not straightforward and model development is necessary to adequately establish the connection to specific dynamical processes. Fortunately recent efforts have led to the implementation of helium modules in the NCAR TIEGCM and TIME-GCM. In this invited talk, the simulated helium behavior and structure will be shown to reproduce observations (such as the wintertime helium bulge and storm-time response) and its

  6. Helium Evolution from the Transfer of Helium Saturated Propellant in Space

    NASA Technical Reports Server (NTRS)

    Nguyen, Bich N.

    2000-01-01

    Helium evolution from the transfer of helium saturated propellant in space is quantified to determine its impact from creating a two-phase mixture in the transfer line. The transfer line is approximately 1/2 inch in diameter and 2400 inches in length comprised of the Fluid Interconnect System (FICS), the Orbiter Propellant Transfer System (OPTS) and the International Space Station (ISS) Propulsion Module (ISSPM). The propellant transfer rate is approximately two to three gallons per minute, and the supply tank pressure is maintained at approximately 250 psig.

  7. Polarization masks: concept and initial assessment

    NASA Astrophysics Data System (ADS)

    Lam, Michael; Neureuther, Andrew R.

    2002-07-01

    Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.

  8. High efficiency pump for space helium transfer

    NASA Technical Reports Server (NTRS)

    Hasenbein, Robert; Izenson, Michael G.; Swift, Walter L.; Sixsmith, Herbert

    1991-01-01

    A centrifugal pump was developed for the efficient and reliable transfer of liquid helium in space. The pump can be used to refill cryostats on orbiting satellites which use liquid helium for refrigeration at extremely low temperatures. The pump meets the head and flow requirements of on-orbit helium transfer: a flow rate of 800 L/hr at a head of 128 J/kg. The overall pump efficiency at the design point is 0.45. The design head and flow requirements are met with zero net positive suction head, which is the condition in an orbiting helium supply Dewar. The mass transfer efficiency calculated for a space transfer operation is 0.99. Steel ball bearings are used with gas fiber-reinforced teflon retainers to provide solid lubrication. These bearings have demonstrated the longest life in liquid helium endurance tests under simulated pumping conditions. Technology developed in the project also has application for liquid helium circulation in terrestrial facilities and for transfer of cryogenic rocket propellants in space.

  9. Helium induced fine structure in the electronic spectra of anthracene derivatives doped into superfluid helium nanodroplets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pentlehner, D.; Slenczka, A., E-mail: alkwin.slenczka@chemie.uni-regensburg.de

    2015-01-07

    Electronic spectra of organic molecules doped into superfluid helium nanodroplets show characteristic features induced by the helium environment. Besides a solvent induced shift of the electronic transition frequency, in many cases, a spectral fine structure can be resolved for electronic and vibronic transitions which goes beyond the expected feature of a zero phonon line accompanied by a phonon wing as known from matrix isolation spectroscopy. The spectral shape of the zero phonon line and the helium induced phonon wing depends strongly on the dopant species. Phonon wings, for example, are reported ranging from single or multiple sharp transitions to broadmore » (Δν > 100 cm{sup −1}) diffuse signals. Despite the large number of example spectra in the literature, a quantitative understanding of the helium induced fine structure of the zero phonon line and the phonon wing is missing. Our approach is a systematic investigation of related molecular compounds, which may help to shed light on this key feature of microsolvation in superfluid helium droplets. This paper is part of a comparative study of the helium induced fine structure observed in electronic spectra of anthracene derivatives with particular emphasis on a spectrally sharp multiplet splitting at the electronic origin. In addition to previously discussed species, 9-cyanoanthracene and 9-chloroanthracene will be presented in this study for the first time.« less

  10. A new helium gas bearing turboexpander

    NASA Astrophysics Data System (ADS)

    Xiong, L. Y.; Chen, C. Z.; Liu, L. Q.; Hou, Y.; Wang, J.; Lin, M. F.

    2002-05-01

    A new helium gas bearing turboexpander of a helium refrigeration system used for space environment simulation experiments is described in this paper. The main design parameters and construction type of some key parts are presented. An improved calculation of thermodynamic efficiency and instability speed of this turboexpander has been obtained by a multiple objects optimization program. Experiments of examining mechanical and thermodynamic performance have been repeatedly conducted in the laboratory by using air at ambient and liquid nitrogen temperature, respectively. In order to predict the helium turboexpander performance, a similarity principles study has been developed. According to the laboratory and on-the-spot experiments, the mechanical and thermodynamic performances of this helium turboexpander are excellent.

  11. Nanofabrication with a helium ion microscope

    NASA Astrophysics Data System (ADS)

    Maas, Diederik; van Veldhoven, Emile; Chen, Ping; Sidorkin, Vadim; Salemink, Huub; van der Drift, Emile..; Alkemade, Paul

    2010-03-01

    The recently introduced helium ion microscope (HIM) is capable of imaging and fabrication of nanostructures thanks to its sub-nanometer sized ion probe. The unique interaction of the helium ions with the sample material provides very localized secondary electron emission, thus providing a valuable signal for high-resolution imaging as well as a mechanism for very precise nanofabrication. The low proximity effects, due to the low yield of backscattered ions and the confinement of the forward scattered ions into a narrow cone, enable patterning of ultra-dense sub-10 nm structures. This paper presents various nanofabrication results obtained with direct-write, with scanning helium ion beam lithography, and with helium ion beam induced deposition.

  12. Shadows Alter Facial Expressions of Noh Masks

    PubMed Central

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  13. A first-principles and experimental study of helium diffusion in periclase MgO

    NASA Astrophysics Data System (ADS)

    Song, Zhewen; Wu, Henry; Shu, Shipeng; Krawczynski, Mike; Van Orman, James; Cherniak, Daniele J.; Bruce Watson, E.; Mukhopadhyay, Sujoy; Morgan, Dane

    2018-02-01

    The distribution of He isotopes is used to trace heterogeneities in the Earth's mantle, and is particularly useful for constraining the length scale of heterogeneity due to the generally rapid diffusivity of helium. However, such an analysis is challenging because He diffusivities are largely unknown in lower mantle phases, which can influence the He profiles in regions that cycle through the lower mantle. With this motivation, we have used first-principles simulations based on density functional theory to study He diffusion in MgO, an important lower mantle phase. We first studied the case of interstitial helium diffusion in perfect MgO and found a migration barrier of 0.73 eV at zero pressure. Then we used the kinetic Monte Carlo method to study the case of substitutional He diffusion in MgO, where we assumed that He diffuses on the cation sublattice through cation vacancies. We also performed experiments on He diffusion at atmospheric pressure using ion implantation and nuclear reaction analysis in both as-received and Ga-doped samples. A comparison between the experimental and simulation results are shown. This work provides a foundation for further studies at high-pressure.

  14. 21 CFR 868.5570 - Nonrebreathing mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  15. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  16. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2011-07-01 2011-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  17. Orientation tuning of contrast masking caused by motion streaks.

    PubMed

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  18. The Meaning behind the Mask. [Lesson Plan].

    ERIC Educational Resources Information Center

    National Endowment for the Humanities (NFAH), Washington, DC.

    In this lesson plan, students explore the cultural significance of masks. After exploring the world of African masks and storytelling, they create masks that tell stories of their own. In these six lessons, students first recall contexts in which masks are worn in the United States, and then discuss their use in stories. Students then investigate…

  19. Metacontrast masking and attention do not interact.

    PubMed

    Agaoglu, Sevda; Breitmeyer, Bruno; Ogmen, Haluk

    2016-07-01

    Visual masking and attention have been known to control the transfer of information from sensory memory to visual short-term memory. A natural question is whether these processes operate independently or interact. Recent evidence suggests that studies that reported interactions between masking and attention suffered from ceiling and/or floor effects. The objective of the present study was to investigate whether metacontrast masking and attention interact by using an experimental design in which saturation effects are avoided. We asked observers to report the orientation of a target bar randomly selected from a display containing either two or six bars. The mask was a ring that surrounded the target bar. Attentional load was controlled by set-size and masking strength by the stimulus onset asynchrony between the target bar and the mask ring. We investigated interactions between masking and attention by analyzing two different aspects of performance: (i) the mean absolute response errors and (ii) the distribution of signed response errors. Our results show that attention affects observers' performance without interacting with masking. Statistical modeling of response errors suggests that attention and metacontrast masking exert their effects by independently modulating the probability of "guessing" behavior. Implications of our findings for models of attention are discussed.

  20. 21 CFR 868.5590 - Scavenging mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  1. 21 CFR 868.5600 - Venturi mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  2. Inadequate face mask ventilation--clinical applications.

    PubMed

    Goranović, Tatjana; Milić, Morena; Holjevac, Jadranka Katancić; Maldini, Branka; Sakić, Katarina

    2010-09-01

    Face mask ventilation is a life saving technique. This article will review aetiology and patophysiological consequences of inadequate mask ventilation. The main focus will be on circulatory changes during induction of anesthesia, before and in a short period after intubation that could be attributed to inadequate mask ventilation in humans.

  3. Fabrication of coronagraph masks and laboratory scale star-shade masks: characteristics, defects, and performance

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; Riggs, A. J. Eldorado; Cady, Eric; White, Victor; Yee, Karl; Wilson, Daniel; Echternach, Pierre; Muller, Richard; Mejia Prada, Camilo; Seo, Byoung-Joon; Shi, Fang; Ryan, Daniel; Fregoso, Santos; Metzman, Jacob; Wilson, Robert Casey

    2017-09-01

    NASA WFIRST mission has planned to include a coronagraph instrument to find and characterize exoplanets. Masks are needed to suppress the host star light to better than 10-8 - 10-9 level contrast over a broad bandwidth to enable the coronagraph mission objectives. Such masks for high contrast coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, etc. We present the technologies employed at JPL to produce these pupil plane and image plane coronagraph masks, and lab-scale external occulter masks, highlighting accomplishments from the high contrast imaging testbed (HCIT) at JPL and from the high contrast imaging lab (HCIL) at Princeton University. Inherent systematic and random errors in fabrication and their impact on coronagraph performance are discussed with model predictions and measurements.

  4. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  5. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  6. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  7. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  8. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  9. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  10. Molecular dynamics modeling of helium bubbles in austenitic steels

    NASA Astrophysics Data System (ADS)

    Jelea, A.

    2018-06-01

    The austenitic steel devices from pressurized water reactors are continuously subjected to neutron irradiation that produces crystalline point defects and helium atoms in the steel matrix. These species evolve into large defects such as dislocation loops and helium filled bubbles. This paper analyzes, through molecular dynamics simulations with recently developed interatomic potentials, the impact of the helium/steel interface on the helium behavior in nanosize bubbles trapped in an austenitic steel matrix. It is shown that the repulsive helium-steel interactions induce higher pressures in the bubble compared to bulk helium at the same temperature and average density. A new equation of state for helium is proposed in order to take into account these interface effects.

  11. The adsorption of helium atoms on coronene cations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurzthaler, Thomas; Rasul, Bilal; Kuhn, Martin

    2016-08-14

    We report the first experimental study of the attachment of multiple foreign atoms to a cationic polycyclic aromatic hydrocarbon (PAH). The chosen PAH was coronene, C{sub 24}H{sub 12}, which was added to liquid helium nanodroplets and then subjected to electron bombardment. Using mass spectrometry, coronene cations decorated with helium atoms were clearly seen and the spectrum shows peaks with anomalously high intensities (“magic number” peaks), which represent ion-helium complexes with added stability. The data suggest the formation of a rigid helium layer consisting of 38 helium atoms that completely cover both faces of the coronene ion. Additional magic numbers canmore » be seen for the further addition of 3 and 6 helium atoms, which are thought to attach to the edge of the coronene. The observation of magic numbers for the addition of 38 and 44 helium atoms is in good agreement with a recent path integral Monte Carlo prediction for helium atoms on neutral coronene. An understanding of how atoms and molecules attach to PAH ions is important for a number of reasons including the potential role such complexes might play in the chemistry of the interstellar medium.« less

  12. Enhancement in Informational Masking

    ERIC Educational Resources Information Center

    Cao, Xiang; Richards, Virginia M.

    2012-01-01

    Purpose: The ability to detect a tone added to a random masker improves when a preview of the masker is provided. In 2 experiments, the authors explored the role that perceptual organization plays in this release from masking. Method: Detection thresholds were measured in informational masking studies. The maskers were drawn at random prior to…

  13. Simulation-based MDP verification for leading-edge masks

    NASA Astrophysics Data System (ADS)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  14. Influence of contralateral acoustic hearing on adult bimodal outcomes after cochlear implantation.

    PubMed

    Plant, Kerrie; van Hoesel, Richard; McDermott, Hugh; Dawson, Pamela; Cowan, Robert

    2016-08-01

    To examine post-implantation benefit and time taken to acclimate to the cochlear implant for adult candidates with more hearing in the contralateral non-implanted ear than has been previously considered within local candidacy guidelines. Prospective, within-subject experimental design. Forty postlingual hearing-impaired adult subjects with a contralateral ear word score in quiet ranging from 27% to 100% (median 67%). Post-implantation improvement of 2.4 dB and 4.0 dB was observed on a sentence in coincident babble test at presentation levels of 65 and 55 dB SPL respectively, and a 2.1 dB benefit in spatial release from masking (SRM) advantage observed when the noise location favoured the implanted side. Significant post-operative group mean change of between 2.1 and 3.0 was observed on the sub-scales of the speech, spatial, and qualities (SSQ) questionnaire. Degree of post-implantation speech reception threshold (SRT) benefit on the coincident babble test and on perception of soft speech and sounds in the environment was greater for subjects with less contralateral hearing. The degree of contralateral acoustic hearing did not affect time taken to acclimate to the device. The findings from this study support cochlear implantation for candidates with substantial acoustic hearing in the contralateral ear, and provide guidance regarding post-implantation expectations.

  15. Helium sell-off risks future supply

    NASA Astrophysics Data System (ADS)

    Banks, Michael

    2010-03-01

    The US must stop selling off its helium reserves so that the country has enough of the gas to meet the needs of researchers and medical programmes, warns a report by the National Academy of Sciences (NAS). The report, entitled "Selling the Nation's Helium Reserve", says that failure to halt the sale of helium could lead to a drop in supply of the gas, which is vital for research into magnetic resonance imaging (MRI) techniques and low-temperature physics.

  16. Approximating the Helium Wavefunction in Positronium-Helium Scattering

    NASA Technical Reports Server (NTRS)

    DiRienzi, Joseph; Drachman, Richard J.

    2003-01-01

    In the Kohn variational treatment of the positronium- hydrogen scattering problem the scattering wave function is approximated by an expansion in some appropriate basis set, but the target and projectile wave functions are known exactly. In the positronium-helium case, however, a difficulty immediately arises in that the wave function of the helium target atom is not known exactly, and there are several ways to deal with the associated eigenvalue in formulating the variational scattering equations to be solved. In this work we will use the Kohn variational principle in the static exchange approximation to d e t e e the zero-energy scattering length for the Ps-He system, using a suite of approximate target functions. The results we obtain will be compared with each other and with corresponding values found by other approximation techniques.

  17. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    At the commissioning of a new high-pressure helium pipeline at Kennedy Space Center, participants cut the lines to helium-filled balloons. From left, they are Center Director Roy Bridges; Michael Butchko, president, SGS; Pierre Dufour, president and CEO, Air Liquide America Corporation; David Herst, director, Delta IV Launch Sites; Pamela Gillespie, executive administrator, office of Congressman Dave Weldon; and Col. Samuel Dick, representative of the 45th Space Wing. The nine-mile-long buried pipeline will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. It will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad. Others at the ceremony were Jerry Jorgensen, pipeline project manager, Space Gateway Support (SGS), and Ramon Lugo, acting executive director, JPMO.

  18. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    At the commissioning of a new high-pressure helium pipeline at Kennedy Space Center, participants watch as helium-filled balloons take to the sky after their lines were cut. From left, they are Center Director Roy Bridges; Michael Butchko, president, SGS; Pierre Dufour, president and CEO, Air Liquide America Corporation; David Herst, director, Delta IV Launch Sites; Pamela Gillespie, executive administrator, office of Congressman Dave Weldon; and Col. Samuel Dick, representative of the 45th Space Wing. The nine-mile-long buried pipeline will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. It will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad. Others at the ceremony were Jerry Jorgensen, pipeline project manager, Space Gateway Support (SGS), and Ramon Lugo, acting executive director, JPMO.

  19. Transparent Helium in Stripped Envelope Supernovae

    NASA Astrophysics Data System (ADS)

    Piro, Anthony L.; Morozova, Viktoriya S.

    2014-09-01

    Using simple arguments based on photometric light curves and velocity evolution, we propose that some stripped envelope supernovae (SNe) show signs that a significant fraction of their helium is effectively transparent. The main pieces of evidence are the relatively low velocities with little velocity evolution, as are expected deep inside an exploding star, along with temperatures that are too low to ionize helium. This means that the helium should not contribute to the shaping of the main SN light curve, and thus the total helium mass may be difficult to measure from simple light curve modeling. Conversely, such modeling may be more useful for constraining the mass of the carbon/oxygen core of the SN progenitor. Other stripped envelope SNe show higher velocities and larger velocity gradients, which require an additional opacity source (perhaps the mixing of heavier elements or radioactive nickel) to prevent the helium from being transparent. We discuss ways in which similar analysis can provide insights into the differences and similarities between SNe Ib and Ic, which will lead to a better understanding of their respective formation mechanisms.

  20. Helium-Shrouded Planets Artist Concept

    NASA Image and Video Library

    2015-06-11

    Planets having atmospheres rich in helium may be common in our galaxy, according to a new theory based on data from NASA's Spitzer Space Telescope. These planets would be around the mass of Neptune, or lighter, and would orbit close to their stars, basking in their searing heat. According to the new theory, radiation from the stars would boil off hydrogen in the planets' atmospheres. Both hydrogen and helium are common ingredients of gas planets like these. Hydrogen is lighter than helium and thus more likely to escape. After billions of years of losing hydrogen, the planet's atmosphere would become enriched with helium. Scientists predict the planets would appear covered in white or gray clouds. This is in contrast to our own Neptune, which is blue due to the presence of methane. Methane absorbs the color red, leaving blue. Neptune is far from our sun and hasn't lost its hydrogen. The hydrogen bonds with carbon to form methane. This artist's concept depicts a proposed helium-atmosphere planet called GJ 436b, which was found by Spitzer to lack in methane -- a first clue about its lack of hydrogen. The planet orbits every 2.6 days around its star, which is cooler than our sun and thus appears more yellow-orange in color. http://photojournal.jpl.nasa.gov/catalog/PIA19344

  1. Reinforced Masks for Ion Plating of Solar Cells

    NASA Technical Reports Server (NTRS)

    Conley, W. R.; Swick, E. G.; Volkers, J. C.

    1987-01-01

    Proposed mask for ion plating of surface electrodes on silicon solar cells reinforced to hold shape better during handling. Fabrication process for improved mask similar to conventional mask. Additional cuts and bends made in wide diametral strip to form bridges between pairs of mask fingers facing each other across this strip. Bridges high enough not to act as masks so entire strip area plated.

  2. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  3. Evaluation of a new pediatric positive airway pressure mask.

    PubMed

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  4. Analytical modeling of helium turbomachinery using FORTRAN 77

    NASA Astrophysics Data System (ADS)

    Balaji, Purushotham

    Advanced Generation IV modular reactors, including Very High Temperature Reactors (VHTRs), utilize helium as the working fluid, with a potential for high efficiency power production utilizing helium turbomachinery. Helium is chemically inert and nonradioactive which makes the gas ideal for a nuclear power-plant environment where radioactive leaks are a high concern. These properties of helium gas helps to increase the safety features as well as to decrease the aging process of plant components. The lack of sufficient helium turbomachinery data has made it difficult to study the vital role played by the gas turbine components of these VHTR powered cycles. Therefore, this research work focuses on predicting the performance of helium compressors. A FORTRAN77 program is developed to simulate helium compressor operation, including surge line prediction. The resulting design point and off design performance data can be used to develop compressor map files readable by Numerical Propulsion Simulation Software (NPSS). This multi-physics simulation software that was developed for propulsion system analysis has found applications in simulating power-plant cycles.

  5. Rapid iconic erasure without masking.

    PubMed

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  6. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    PubMed Central

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open

  7. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  8. Helium heater design for the helium direct cycle component test facility. [for gas-cooled nuclear reactor power plant

    NASA Technical Reports Server (NTRS)

    Larson, V. R.; Gunn, S. V.; Lee, J. C.

    1975-01-01

    The paper describes a helium heater to be used to conduct non-nuclear demonstration tests of the complete power conversion loop for a direct-cycle gas-cooled nuclear reactor power plant. Requirements for the heater include: heating the helium to a 1500 F temperature, operating at a 1000 psia helium pressure, providing a thermal response capability and helium volume similar to that of the nuclear reactor, and a total heater system helium pressure drop of not more than 15 psi. The unique compact heater system design proposed consists of 18 heater modules; air preheaters, compressors, and compressor drive systems; an integral control system; piping; and auxiliary equipment. The heater modules incorporate the dual-concentric-tube 'Variflux' heat exchanger design which provides a controlled heat flux along the entire length of the tube element. The heater design as proposed will meet all system requirements. The heater uses pressurized combustion (50 psia) to provide intensive heat transfer, and to minimize furnace volume and heat storage mass.

  9. Speech Perception in Tones and Noise via Cochlear Implants Reveals Influence of Spectral Resolution on Temporal Processing

    PubMed Central

    Kreft, Heather A.

    2014-01-01

    Under normal conditions, human speech is remarkably robust to degradation by noise and other distortions. However, people with hearing loss, including those with cochlear implants, often experience great difficulty in understanding speech in noisy environments. Recent work with normal-hearing listeners has shown that the amplitude fluctuations inherent in noise contribute strongly to the masking of speech. In contrast, this study shows that speech perception via a cochlear implant is unaffected by the inherent temporal fluctuations of noise. This qualitative difference between acoustic and electric auditory perception does not seem to be due to differences in underlying temporal acuity but can instead be explained by the poorer spectral resolution of cochlear implants, relative to the normally functioning ear, which leads to an effective smoothing of the inherent temporal-envelope fluctuations of noise. The outcome suggests an unexpected trade-off between the detrimental effects of poorer spectral resolution and the beneficial effects of a smoother noise temporal envelope. This trade-off provides an explanation for the long-standing puzzle of why strong correlations between speech understanding and spectral resolution have remained elusive. The results also provide a potential explanation for why cochlear-implant users and hearing-impaired listeners exhibit reduced or absent masking release when large and relatively slow temporal fluctuations are introduced in noise maskers. The multitone maskers used here may provide an effective new diagnostic tool for assessing functional hearing loss and reduced spectral resolution. PMID:25315376

  10. A superfluid helium system for an LST IR experiment

    NASA Technical Reports Server (NTRS)

    Breckenridge, R. W., Jr.; Moore, R. W., Jr.

    1975-01-01

    The results are presented of a study program directed toward evaluating the problems associated with cooling an LST instrument to 2 K for a year by using superfluid helium as the cooling means. The results include the parametric analysis of systems using helium only, and systems using helium plus a shield cryogen. A baseline system, using helium only is described. The baseline system is sized for an instrument heat leak of 50 mw. It contains 71 Kg of superfluid helium and has a total, filled weight of 217 Kg. A brief assessment of the technical problems associated with a long life, spaceborne superfluid helium storage system is also made. It is concluded that a one year life, superfluid helium cooling system is feasible, pending experimental verification of a suitable low g vent system.

  11. Anticipating and controlling mask costs within EDA physical design

    NASA Astrophysics Data System (ADS)

    Rieger, Michael L.; Mayhew, Jeffrey P.; Melvin, Lawrence S.; Lugg, Robert M.; Beale, Daniel F.

    2003-08-01

    For low k1 lithography, more aggressive OPC is being applied to critical layers, and the number of mask layers with OPC treatments is growing rapidly. The 130 nm, process node required, on average, 8 layers containing rules- or model-based OPC. The 90 nm node will have 16 OPC layers, of which 14 layers contain aggressive model-based OPC. This escalation of mask pattern complexity, coupled with the predominant use of vector-scan e-beam (VSB) mask writers contributes to the rising costs of advanced mask sets. Writing times for OPC layouts are several times longer than for traditional layouts, making mask exposure the single largest cost component for OPC masks. Lower mask yields, another key factor in higher mask costs, is also aggravated by OPC. Historical mask set costs are plotted below. The initial cost of a 90 nm-node mask set will exceed one million dollars. The relative impact of mask cost on chip depends on how many total wafers are printed with each mask set. For many foundry chips, where unit production is often well below 1000 wafers, mask costs are larger than wafer processing costs. Further increases in NRE may begin to discourage these suppliers' adoption to 90 nm and smaller nodes. In this paper we will outline several alternatives for reducing mask costs by strategically leveraging dimensional margins. Dimensional specifications for a particular masking layer usually are applied uniformly to all features on that layer. As a practical matter, accuracy requirements on different features in the design may vary widely. Take a polysilicon layer, for example: global tolerance specifications for that layer are driven by the transistor-gate requirements; but these parameters over-specify interconnect feature requirements. By identifying features where dimensional accuracy requirements can be reduced, additional margin can be leveraged to reduce OPC complexity. Mask writing time on VSB tools will drop in nearly direct proportion to reduce shot count. By

  12. Self-masking: Listening during vocalization. Normal hearing.

    PubMed

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  13. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  14. Does technology acceleration equate to mask cost acceleration?

    NASA Astrophysics Data System (ADS)

    Trybula, Walter J.; Grenon, Brian J.

    2003-06-01

    The technology acceleration of the ITRS Roadmap has many implications on both the semiconductor sup-plier community and the manufacturers. INTERNATIONAL SEMATECH has revaluated the projected cost of advanced technology masks. Building on the methodology developed in 1996 for mask costs, this work provided a critical review of mask yields and factors relating to the manufacture of photolithography masks. The impact of the yields provided insight into the learning curve for leading edge mask manufac-turing. The projected mask set cost was surprising, and the ability to provide first and second year cost estimates provided additional information on technology introduction. From this information, the impact of technology acceleration can be added to the projected yields to evaluate the impact on mask costs.

  15. Helium diffusion in the sun

    NASA Technical Reports Server (NTRS)

    Bahcall, J. N.; Pinsonneault, M. H.

    1992-01-01

    We calculate improved standard solar models using the new Livermore (OPAL) opacity tables, an accurate (exportable) nuclear energy generation routine which takes account of recent measurements and analyses, and the recent Anders-Grevesse determination of heavy element abundances. We also evaluate directly the effect of the diffusion of helium with respect to hydrogen on the calculated neutrino fluxes, on the primordial solar helium abundance, and on the depth of the convective zone. Helium diffusion increases the predicted event rates by about 0.8 SNU, or 11 percent of the total rate, in the chlorine solar neutrino experiment, by about 3.5 SNU, or 3 percent, in the gallium solar neutrino experiments, and by about 12 percent in the Kamiokande and SNO solar neutrino experiments. The best standard solar model including helium diffusion and the most accurate nuclear parameters, element abundances, and radiative opacity predicts a value of 8.0 SNU +/- 3.0 SNU for the C1-37 experiment and 132 +21/-17 SNU for the Ga - 71 experiment, where the uncertainties include 3 sigma errors for all measured input parameters.

  16. Masks For Deposition Of Aspherical Optical Surfaces

    NASA Technical Reports Server (NTRS)

    Rogers, John R.; Martin, John D.

    1992-01-01

    Masks of improved design developed for use in fabrication of aspherical, rotationally symmetrical surfaces of mirrors, lenses, and lens molds by evaporative deposition onto rotating substrates. In deposition chamber, source and mask aligned with axis of rotation of substrate. Mask shadows source of rotating substrate. Azimuthal opening (as function of radius) in mask proportional to desired thickness (as function of radius) to which material deposited on substrate. Combination of improved masks and modern coating chambers provides optical surfaces comparable or superior to those produced by conventional polishing, computer-controlled polishing, replication from polished molds, and diamond turning, at less cost in material, labor, and capital expense.

  17. Helium-3 and helium-4 acceleration by high power laser pulses for hadron therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bulanov, S. S.; Esarey, E.; Schroeder, C. B.

    The laser driven acceleration of ions is considered a promising candidate for an ion source for hadron therapy of oncological diseases. Though proton and carbon ion sources are conventionally used for therapy, other light ions can also be utilized. Whereas carbon ions require 400 MeV per nucleon to reach the same penetration depth as 250 MeV protons, helium ions require only 250 MeV per nucleon, which is the lowest energy per nucleon among the light ions (heavier than protons). This fact along with the larger biological damage to cancer cells achieved by helium ions, than that by protons, makes thismore » species an interesting candidate for the laser driven ion source. Two mechanisms (magnetic vortex acceleration and hole-boring radiation pressure acceleration) of PW-class laser driven ion acceleration from liquid and gaseous helium targets are studied with the goal of producing 250 MeV per nucleon helium ion beams that meet the hadron therapy requirements. We show that He3 ions, having almost the same penetration depth as He4 with the same energy per nucleon, require less laser power to be accelerated to the required energy for the hadron therapy.« less

  18. Helium-3 and helium-4 acceleration by high power laser pulses for hadron therapy

    DOE PAGES

    Bulanov, S. S.; Esarey, E.; Schroeder, C. B.; ...

    2015-06-24

    The laser driven acceleration of ions is considered a promising candidate for an ion source for hadron therapy of oncological diseases. Though proton and carbon ion sources are conventionally used for therapy, other light ions can also be utilized. Whereas carbon ions require 400 MeV per nucleon to reach the same penetration depth as 250 MeV protons, helium ions require only 250 MeV per nucleon, which is the lowest energy per nucleon among the light ions (heavier than protons). This fact along with the larger biological damage to cancer cells achieved by helium ions, than that by protons, makes thismore » species an interesting candidate for the laser driven ion source. Two mechanisms (magnetic vortex acceleration and hole-boring radiation pressure acceleration) of PW-class laser driven ion acceleration from liquid and gaseous helium targets are studied with the goal of producing 250 MeV per nucleon helium ion beams that meet the hadron therapy requirements. We show that He3 ions, having almost the same penetration depth as He4 with the same energy per nucleon, require less laser power to be accelerated to the required energy for the hadron therapy.« less

  19. Removable pellicle for lithographic mask protection and handling

    DOEpatents

    Klebanoff, Leonard E.; Rader, Daniel J.; Hector, Scott D.; Nguyen, Khanh B.; Stulen, Richard H.

    2002-01-01

    A removable pellicle for a lithographic mask that provides active and robust particle protection, and which utilizes a traditional pellicle and two deployments of thermophoretic protection to keep particles off the mask. The removable pellicle is removably attached via a retaining structure to the mask substrate by magnetic attraction with either contacting or non-contacting magnetic capture mechanisms. The pellicle retaining structural is composed of an anchor piece secured to the mask substrate and a frame member containing a pellicle. The anchor piece and the frame member are in removable contact or non-contact by the magnetic capture or latching mechanism. In one embodiment, the frame member is retained in a floating (non-contact) relation to the anchor piece by magnetic levitation. The frame member and the anchor piece are provided with thermophoretic fins which are interdigitated to prevent particles from reaching the patterned area of the mask. Also, the anchor piece and mask are maintained at a higher temperature than the frame member and pellicle which also prevents particles from reaching the patterned mask area by thermophoresis. The pellicle can be positioned over the mask to provide particle protection during mask handling, inspection, and pumpdown, but which can be removed manually or robotically for lithographic use of the mask.

  20. Masked response priming in expert typists.

    PubMed

    Heinemann, Alexander; Kiesel, Andrea; Pohl, Carsten; Kunde, Wilfried

    2010-03-01

    In masked priming tasks responses are usually faster when prime and target require identical rather than different responses. Previous research has extensively manipulated the nature and number of response-affording stimuli. However, little is known about the constraints of masked priming regarding the nature and number of response alternatives. The present study explored the limits of masked priming in a six-choice reaction time task, where responses from different fingers of both hands were required. We studied participants that were either experts for the type of response (skilled typists) or novices. Masked primes facilitated responding to targets that required the same response, responses with a different finger of the same hand, and with a homologous finger of the other hand. These effects were modulated by expertise. The results show that masked primes facilitate responding especially for experts in the S-R mapping and with increasing similarity of primed and required response.

  1. Reticle writer for next-generation SEMI mask standard: mask handling and exposure

    NASA Astrophysics Data System (ADS)

    Ehrlich, Christian

    1998-12-01

    The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.

  2. Comodulation masking release in an off-frequency masking paradigm.

    PubMed

    Grzeschik, Ramona; Lübken, Björn; Verhey, Jesko L

    2015-08-01

    Detection threshold of a sinusoidal signal masked by a broadband masker is lower when on- and off-frequency masker components have a correlated envelope, compared to a condition in which these masker components have different envelopes. This effect is commonly referred to as comodulation masking release (CMR). The present study investigated if there is a CMR in the absence of a masker component at the signal frequency, i.e., in an off-frequency masking paradigm. Thresholds were measured for a 500-Hz signal in the presence of a broadband masker with a spectral notch at the signal frequency. Thresholds were significantly lower for a (co-)modulated than for an unmodulated masker for all notch widths up to 400 Hz. An additional experiment showed that the particularly large CMR for the no-notch condition was due to the way the modulated masker was generated. No CMR was measured when the notched-noise masker was replaced by a pair of narrowband noises. The addition of more remote masker bands resulted in a CMR of about 3-4 dB. The notched-noise data were predicted on the basis of a modulation-filterbank model. The predictions of the narrowband noise conditions indicated that all mechanisms underlying CMR might still not be fully understood.

  3. Thermal desorption behavior of helium in aged titanium tritide films

    NASA Astrophysics Data System (ADS)

    Cheng, G. J.; Shi, L. Q.; Zhou, X. S.; Liang, J. H.; Wang, W. D.; Long, X. G.; Yang, B. F.; Peng, S. M.

    2015-11-01

    The desorption behavior of helium in TiT(1.5∼1.8)-x3Hex film samples (x = 0.0022-0.22) was investigated by thermal desorption technique in vacuum condition in this paper. The thermal helium desorption spectrometry (THDS) of aging titanium tritide films prepared by electron beam evaporation revealed that, depending on the decayed 3He concentration in the samples, there are more than four states of helium existing in the films. The divided four zones in THDS based on helium states represent respectively: (1) the mobile single helium atoms with low activation energy in all aging samples resulted from the interstitial sites or dissociated from interstitial clusters, loops and dislocations, (2) helium bubbles inside the grain lattices, (3) helium bubbles in the grain boundaries and interconnected networks of dislocations in the helium concentration of 3Hegen/Ti > 0.0094, and (4) helium bubbles near or linked to the film surface by interconnected channel for later aging stage with 3Hegen/Ti > 0.18. The proportion of helium desorption in each zone was estimated, and dissociated energies of helium for different trapping states were given.

  4. Sonic Helium Detectors in the Fermilab Tevatron

    NASA Astrophysics Data System (ADS)

    Bossert, R. J.

    2006-04-01

    In the Fermilab Tevatron cryogenic system there are many remotely located low-pressure plate relief valves that must vent large volumes of cold helium gas when magnet quenches occur. These valves can occasionally stick open or not reseat completely, resulting in a large helium loss. As such, the need exists for a detector to monitor the relief valve's discharge area for the presence of helium. Due to the quantity needed, cost is an important factor. A unit has been developed and built for this purpose that is quite inexpensive. Its operating principle is based on the speed of sound, where two closely matched tubes operate at their acoustic resonant frequency. When helium is introduced into one of these tubes, the resulting difference in acoustic time of flight is used to trigger an alarm. At present, there are 39 of these units installed and operating in the Tevatron. They have detected many minor and major helium leaks, and have also been found useful in detecting a rise in the helium background in the enclosed refrigerator buildings. This paper covers the construction, usage and operational experience gained with these units over the last several years.

  5. Advanced helium magnetometer for space applications

    NASA Technical Reports Server (NTRS)

    Slocum, Robert E.

    1987-01-01

    The goal of this effort was demonstration of the concepts for an advanced helium magnetometer which meets the demands of future NASA earth orbiting, interplanetary, solar, and interstellar missions. The technical effort focused on optical pumping of helium with tunable solid state lasers. We were able to demonstrate the concept of a laser pumped helium magnetometer with improved accuracy, low power, and sensitivity of the order of 1 pT. A number of technical approaches were investigated for building a solid state laser tunable to the helium absorption line at 1083 nm. The laser selected was an Nd-doped LNA crystal pumped by a diode laser. Two laboratory versions of the lanthanum neodymium hexa-aluminate (LNA) laser were fabricated and used to conduct optical pumping experiments in helium and demonstrate laser pumped magnetometer concepts for both the low field vector mode and the scalar mode of operation. A digital resonance spectrometer was designed and built in order to evaluate the helium resonance signals and observe scalar magnetometer operation. The results indicate that the laser pumped sensor in the VHM mode is 45 times more sensitive than a lamp pumped sensor for identical system noise levels. A study was made of typical laser pumped resonance signals in the conventional magnetic resonance mode. The laser pumped sensor was operated as a scalar magnetometer, and it is concluded that magnetometers with 1 pT sensitivity can be achieved with the use of laser pumping and stable laser pump sources.

  6. Jig Aligns Shadow Mask On CCD

    NASA Technical Reports Server (NTRS)

    Matus, Carlos V.

    1989-01-01

    Alignment viewed through microscope. Alignment jig positions shadow mask on charge-coupled device (CCD) so metal film deposited on it precisely. Allows CCD package to be inserted and removed without disturbing alignment of mask. Holds CCD packages securely and isolates it electrostatically while providing electrical contact to each of its pins. When alignment jig assembled with CCD, used to move mask under micrometer control.

  7. Masked Hypertension is Associated With Cognitive Decline in Geriatric Age-Geriatric MASked Hypertension and Cognition (G-MASH-cog) Study.

    PubMed

    Esme, Mert; Yavuz, Burcu Balam; Yavuz, Bunyamin; Asil, Serkan; Tuna Dogrul, Rana; Sumer, Fatih; Kilic, Mustafa Kemal; Kizilarslanoglu, Muhammet Cemal; Varan, Hacer Dogan; Sagir, Aykut; Balci, Cafer; Halil, Meltem; Cankurtaran, Mustafa

    2018-01-16

    Masked hypertension is described as high ambulatory blood pressure measurements (ABPM) where office blood pressure measurements are normal. Effect of hypertension on cognitive functions is well known. However, the effect of masked hypertension on cognitive functions is unclear. The aim of this study is to examine the relationship between masked hypertension and cognitive functions. One hundred-two normotensive patients admitted to the Geriatric Medicine outpatient clinic were included. Exclusion criteria were hypertension, dementia, major depression, and usage of antihypertensive medication. All patients underwent ABPM procedures and average daytime blood pressure, mean blood pressure at night and the 24-hour average blood pressure measurements were recorded. Comprehensive geriatric assessment tests and neuropsychological tests were administered. The diagnosis of masked hypertension was based on the definitions in the 2013 guideline of the European Society of Cardiology. Forty-four patients (43%) were diagnosed with masked hypertension. Patients with masked hypertension had significantly lower scores on Mini-Mental State Examination (MMSE) test, Quick Mild Cognitive Impairment Test (QMCI), and Categorical Fluency Test than the normotensive patients (p = .011; p = .046; and p = .004; respectively). Montreal Cognitive Assessment Scale test score was lower in masked hypertension, although this was not statistically significant. This study may indicate that geriatric patients with masked hypertension, compared to normotensive patients have decreased cognitive functions. Masked hypertension should be kept in mind while assessing older adults. When masked hypertension is detected, cognitive assessment is essential to diagnose possible cognitive dysfunction at early stage. © The Author 2017. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  8. Assessment of molecular contamination in mask pod

    NASA Astrophysics Data System (ADS)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  9. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  10. Evaluation of a New Pediatric Positive Airway Pressure Mask

    PubMed Central

    Kushida, Clete A.; Halbower, Ann C.; Kryger, Meir H.; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J.; Mendoza, June

    2014-01-01

    Study Objectives: The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Methods: Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. Results: AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. Conclusions: The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. Citation: Kushida CA, Halbower AC, Kryger MH, Pelayo R, Assalone V, Cardell CY, Huston S, Willes L, Wimms AJ, Mendoza J. Evaluation of a new pediatric positive airway pressure mask. J Clin Sleep Med 2014;10(9):979-984. PMID:25142768

  11. LRO-LAMP Observations of Lunar Exospheric Helium

    NASA Astrophysics Data System (ADS)

    Grava, Cesare; Retherford, Kurt D.; Hurley, Dana M.; Feldman, Paul D.; Gladstone, Randy; Greathouse, Thomas K.; Cook, Jason C.; Stern, Alan; Pryor, Wayne R.; Halekas, Jasper S.; Kaufmann, David E.

    2015-11-01

    We present results from Lunar Reconnaissance Orbiter’s (LRO) UV spectrograph LAMP (Lyman-Alpha Mapping Project) campaign to study the lunar atmosphere. Two kinds of off-nadir maneuvers (lateral rolls and pitches towards and opposite the direction of motion of LRO) were performed to search for resonantly scattering species, increasing the illuminated line-of-sight (and hence the signal from atoms resonantly scattering the solar photons) compared to previously reported LAMP “twilight observations” [Cook & Stern, 2014]. Helium was the only element distinguishable on a daily basis, and we present latitudinal profiles of its line-of-sight column density in December 2013. We compared the helium line-of-sight column densities with solar wind alpha particle fluxes measured from the ARTEMIS (Acceleration, Reconnection, Turbulence, & Electrodynamics of Moon’s Interaction with the Sun) twin spacecraft. Our data show a correlation with the solar wind alpha particle flux, confirming that the solar wind is the main source of the lunar helium, but not with a 1:1 relationship. Assuming that the lunar soil is saturated with helium atoms, our results suggest that not all of the incident alpha particles are converted to thermalized helium, allowing for a non-negligible fraction (~50 %) to escape as suprathermal helium or simply backscattered from the lunar surface. We also support the finding by Benna et al. [2015] and Hurley et al. [2015], that a non-zero contribution from endogenic helium, coming from radioactive decay of 232Th and 238U within the mantle, is present, and is estimated to be (4.5±1.2) x 106 He atoms cm-2 s-1. Finally, we compare LAMP-derived helium surface density with the one recorded by the mass spectrometer LACE (Lunar Atmospheric Composition Experiment) deployed on the lunar surface during the Apollo 17 mission, finding good agreement between the two measurements. These LRO off-nadir maneuvers allow LAMP to provide unique coverage of local solar time and

  12. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  13. Masking reduces orientation selectivity in rat visual cortex

    PubMed Central

    Alwis, Dasuni S.; Richards, Katrina L.

    2016-01-01

    In visual masking the perception of a target stimulus is impaired by a preceding (forward) or succeeding (backward) mask stimulus. The illusion is of interest because it allows uncoupling of the physical stimulus, its neuronal representation, and its perception. To understand the neuronal correlates of masking, we examined how masks affected the neuronal responses to oriented target stimuli in the primary visual cortex (V1) of anesthetized rats (n = 37). Target stimuli were circular gratings with 12 orientations; mask stimuli were plaids created as a binarized sum of all possible target orientations. Spatially, masks were presented either overlapping or surrounding the target. Temporally, targets and masks were presented for 33 ms, but the stimulus onset asynchrony (SOA) of their relative appearance was varied. For the first time, we examine how spatially overlapping and center-surround masking affect orientation discriminability (rather than visibility) in V1. Regardless of the spatial or temporal arrangement of stimuli, the greatest reductions in firing rate and orientation selectivity occurred for the shortest SOAs. Interestingly, analyses conducted separately for transient and sustained target response components showed that changes in orientation selectivity do not always coincide with changes in firing rate. Given the near-instantaneous reductions observed in orientation selectivity even when target and mask do not spatially overlap, we suggest that monotonic visual masking is explained by a combination of neural integration and lateral inhibition. PMID:27535373

  14. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  15. Evaluation of a native vegetation masking technique

    NASA Technical Reports Server (NTRS)

    Kinsler, M. C.

    1984-01-01

    A crop masking technique based on Ashburn's vegetative index (AVI) was used to evaluate native vegetation as an indicator of crop moisture condition. A mask of the range areas (native vegetation) was generated for each of thirteen Great Plains LANDSAT MSS sample segments. These masks were compared to the digitized ground truth and accuracies were computed. An analysis of the types of errors indicates a consistency in errors among the segments. The mask represents a simple quick-look technique for evaluating vegetative cover.

  16. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  17. Is masked priming modulated by memory load? A test of the automaticity of masked identity priming in lexical decision.

    PubMed

    Perea, Manuel; Marcet, Ana; Lozano, Mario; Gomez, Pablo

    2018-05-29

    One of the key assumptions of the masked priming lexical decision task (LDT) is that primes are processed without requiring attentional resources. Here, we tested this assumption by presenting a dual-task manipulation to increase memory load and measure the change in masked identity priming on the targets in the LDT. If masked priming does not require attentional resources, increased memory load should have no influence on the magnitude of the observed identity priming effects. We conducted two LDT experiments, using a within-subjects design, to investigate the effect of memory load (via a concurrent matching task Experiment 1 and a concurrent search task in Experiment 2) on masked identity priming. Results showed that the magnitude of masked identity priming on word targets was remarkably similar under high and low memory load. Thus, these experiments provide empirical evidence for the automaticity assumption of masked identity priming in the LDT.

  18. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  19. Effect of Masked Regions on Weak-lensing Statistics

    NASA Astrophysics Data System (ADS)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi

    2013-09-01

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation. We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple χ2 analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg2 survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting χ2/n dof = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard ΛCDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.

  20. EFFECT OF MASKED REGIONS ON WEAK-LENSING STATISTICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi, E-mail: masato.shirasaki@utap.phys.s.u-tokyo.ac.jp

    2013-09-10

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation.more » We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple {chi}{sup 2} analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg{sup 2} survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting {chi}{sup 2}/n{sub dof} = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard {Lambda}CDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.« less

  1. Laser induced fluorescence spectroscopy of the Ca dimer deposited on helium and mixed helium/xenon clusters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gaveau, Marc-André; Pothier, Christophe; Briant, Marc

    2014-12-09

    We study how the laser induced fluorescence spectroscopy of the calcium dimer deposited on pure helium clusters is modified by the addition of xenon atoms. In the wavelength range between 365 and 385 nm, the Ca dimer is excited from its ground state up to two excited electronic states leading to its photodissociation in Ca({sup 1}P)+Ca({sup 1}S): this process is monitored by recording the Ca({sup 1}P) fluorescence at 422.7nm. One of these electronic states of Ca{sub 2} is a diexcited one correlating to the Ca(4s4p{sup 3}P(+Ca(4s3d{sup 3}D), the other one is a repulsive state correlating to the Ca(4s4p1P)+Ca(4s21S) asymptote, accountingmore » for the dissociation of Ca{sub 2} and the observation of the subsequent Ca({sup 1}P) emission. On pure helium clusters, the fluorescence exhibits the calcium atomic resonance line Ca({sup 1}S←{sup 1}P) at 422.7 nm (23652 cm{sup −1}) assigned to ejected calcium, and a narrow red sided band corresponding to calcium that remains solvated on the helium cluster. When adding xenon atoms to the helium clusters, the intensity of these two features decreases and a new spectral band appears on the red side of calcium resonance line; the intensity and the red shift of this component increase along with the xenon quantity deposited on the helium cluster: it is assigned to the emission of Ca({sup 1}P) associated with the small xenon aggregate embedded inside the helium cluster.« less

  2. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    Center Director Roy Bridges addresses the audience at the commissioning of a new high-pressure helium pipeline at Kennedy Space Center that will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. The nine-mile- long buried pipeline will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad. Others at the ceremony were Jerry Jorgensen, pipeline project manager, Space Gateway Support (SGS); Col. Samuel Dick, representative of the 45th Space Wing; Ramon Lugo, acting executive director, JPMO; David Herst, director, Delta IV Launch Sites; Pierre Dufour, president and CEO, Air Liquide America Corporation; and Michael Butchko, president, SGS.

  3. Cooling-capacity characteristics of Helium-4 JT cryocoolers

    NASA Astrophysics Data System (ADS)

    Wang, Y. L.; Liu, D. L.; Gan, Z. H.; Guo, Y. X.; Shen, Y. W.; Chen, S. F.

    2017-12-01

    Cooling capacity of a Helium-4 JT cryocooler may be achieved at a temperature higher than liquid helium temperature. The latent cooling capacity, which should be obtained at liquid helium temperature, is defined as a special part of cooling capacity. With the thermodynamic analysis on steady working conditions of a Helium-4 JT cryocooler, its cooling capacity and temperature characteristics are presented systematically. The effects of precooling temperature and high pressure on the cooling capacity and latent cooling capacity are illustrated. Furthermore, the JT cryocoolers using hydrogen and neon as the working fluids are also discussed. It is shown that helium JT cryocooler has a special cooling capacity characteristic which does not exist in JT cryocoolers using other pure working fluids.

  4. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  5. Auditory Temporal Acuity Probed With Cochlear Implant Stimulation and Cortical Recording

    PubMed Central

    Kirby, Alana E.

    2010-01-01

    Cochlear implants stimulate the auditory nerve with amplitude-modulated (AM) electric pulse trains. Pulse rates >2,000 pulses per second (pps) have been hypothesized to enhance transmission of temporal information. Recent studies, however, have shown that higher pulse rates impair phase locking to sinusoidal AM in the auditory cortex and impair perceptual modulation detection. Here, we investigated the effects of high pulse rates on the temporal acuity of transmission of pulse trains to the auditory cortex. In anesthetized guinea pigs, signal-detection analysis was used to measure the thresholds for detection of gaps in pulse trains at rates of 254, 1,017, and 4,069 pps and in acoustic noise. Gap-detection thresholds decreased by an order of magnitude with increases in pulse rate from 254 to 4,069 pps. Such a pulse-rate dependence would likely influence speech reception through clinical speech processors. To elucidate the neural mechanisms of gap detection, we measured recovery from forward masking after a 196.6-ms pulse train. Recovery from masking was faster at higher carrier pulse rates and masking increased linearly with current level. We fit the data with a dual-exponential recovery function, consistent with a peripheral and a more central process. High-rate pulse trains evoked less central masking, possibly due to adaptation of the response in the auditory nerve. Neither gap detection nor forward masking varied with cortical depth, indicating that these processes are likely subcortical. These results indicate that gap detection and modulation detection are mediated by two separate neural mechanisms. PMID:19923242

  6. Homophone Dominance Modulates the Phonemic-Masking Effect.

    ERIC Educational Resources Information Center

    Berent, Iris; Van Orden, Guy C.

    2000-01-01

    Finds (1) positive phonemic-masking effects occurred for dominant homophones; (2) null phonemic-masking effects occurred for subordinate homophones; and (3) subordinate homophones were much more likely to be falsely identified as their dominant mate. Suggests the source of these null phonemic-masking is itself a phonology effect. Concludes…

  7. The total face mask is more comfortable than the oronasal mask in noninvasive ventilation but is not associated with improved outcome.

    PubMed

    Chacur, Fernando Hauaji; Vilella Felipe, Luis Marcelo; Fernandes, Cintia Gonçalves; Lazzarini, Luiz Claudio Oliveira

    2011-01-01

    Noninvasive positive-pressure ventilation (NPPV) is commonly used to improve ventilation and oxygenation and avoid endotracheal intubation and mechanical ventilation. Although clinically indicated, most patients fail to use NPPV due to mask intolerance. A total face mask was designed to increase compliance, but whether this translates into better outcome (improvement in clinical and blood gas parameters and less intubation) is unknown. We compared the evolution of the clinical parameters, blood gases, levels of ventilatory support and rate of endotracheal intubation using the total face mask or the traditional oronasal mask during NPPV. A total of 60 patients were randomized to use either mask during NPPV. The clinical and laboratory parameters, as well as the level of ventilatory support were recorded at different intervals in both groups for up to 6 h. In addition, the tolerance for each mask and the need for endotracheal intubation were compared. Patients tolerated the total face mask significantly better (p = 0.0010) and used NPPV for a longer time (p = 0.0017) when compared with the oronasal mask. Just 1 patient switched to the total face mask because of intolerance. Although better tolerated, the rate of endotracheal intubation was similar in both groups (p = 0.4376), as was the clinical and laboratory evolution. The total face mask was more comfortable, allowing the patients to tolerate NPPV longer; however, these accomplishments did not translate into a better outcome. Due to its comfort, the total face mask should be available, at least as an option, in units where NPPVs are routinely applied. Copyright © 2011 S. Karger AG, Basel.

  8. 21 CFR 868.5560 - Gas mask head strap.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  9. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  10. Helium refrigeration considerations for cryomodule design

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ganni, V.; Knudsen, P.

    Many of the present day accelerators are based on superconducting radio frequency (SRF) cavities, packaged in cryo-modules (CM), which depend on helium refrigeration at sub-atmospheric pressures, nominally 2 K. These specialized helium refrigeration systems are quite cost intensive to produce and operate. Particularly as there is typically no work extraction below the 4.5-K supply, it is important that the exergy loss between this temperature level and the CM load temperature(s) be minimized by the process configuration choices. This paper will present, compare and discuss several possible helium distribution process arrangements to support the CM loads.

  11. How color, regularity, and good Gestalt determine backward masking.

    PubMed

    Sayim, Bilge; Manassi, Mauro; Herzog, Michael

    2014-06-18

    The strength of visual backward masking depends on the stimulus onset asynchrony (SOA) between target and mask. Recently, it was shown that the conjoint spatial layout of target and mask is as crucial as SOA. Particularly, masking strength depends on whether target and mask group with each other. The same is true in crowding where the global spatial layout of the flankers and target-flanker grouping determine crowding strength. Here, we presented a vernier target followed by different flanker configurations at varying SOAs. Similar to crowding, masking of a red vernier target was strongly reduced for arrays of 10 green compared with 10 red flanking lines. Unlike crowding, single green lines flanking the red vernier showed strong masking. Irregularly arranged flanking lines yielded stronger masking than did regularly arranged lines, again similar to crowding. While cuboid flankers reduced crowding compared with single lines, this was not the case in masking. We propose that, first, masking is reduced when the flankers are part of a larger spatial structure. Second, spatial factors counteract color differences between the target and the flankers. Third, complex Gestalts, such as cuboids, seem to need longer processing times to show ungrouping effects as observed in crowding. Strong parallels between masking and crowding suggest similar underlying mechanism; however, temporal factors in masking additionally modulate performance, acting as an additional grouping cue. © 2014 ARVO.

  12. Combining Simultaneous with Temporal Masking

    ERIC Educational Resources Information Center

    Hermens, Frouke; Herzog, Michael H.; Francis, Gregory

    2009-01-01

    Simultaneous and temporal masking are two frequently used techniques in psychology and vision science. Although there are many studies and theories related to each masking technique, there are no systematic investigations of their mutual relationship, even though both techniques are often applied together. Here, the authors show that temporal…

  13. How to Make a Helium Atmosphere

    NASA Image and Video Library

    2015-06-11

    This diagram illustrates how hypothetical helium atmospheres might form. These would be on planets about the mass of Neptune, or smaller, which orbit tightly to their stars, whipping around in just days. They are thought to have cores of water or rock, surrounded by thick atmospheres of gas. Radiation from their nearby stars would boil off hydrogen and helium, but because hydrogen is lighter, more hydrogen would escape. It's also possible that planetary bodies, such as asteroids, could impact the planet, sending hydrogen out into space. Over time, the atmospheres would become enriched in helium. With less hydrogen in the planets' atmospheres, the concentration of methane and water would go down. Both water and methane consist in part of hydrogen. Eventually, billions of years later (a "Gyr" equals one billion years), the abundances of the water and methane would be greatly reduced. Since hydrogen would not be abundant, the carbon would be forced to pair with oxygen, forming carbon monoxide. NASA's Spitzer Space Telescope observed a proposed helium planet, GJ 436b, with these traits: it lacks methane, and appears to contain carbon monoxide. Future observations are needed to detect helium itself in the atmospheres of these planets, and confirm this theory. http://photojournal.jpl.nasa.gov/catalog/PIA19345

  14. Helium Transfer System for the Superconducting Devices at NSRRC

    NASA Astrophysics Data System (ADS)

    Li, H. C.; Hsiao, F. Z.; Chang, S. H.; Chiou, W. S.

    2006-04-01

    A helium cryogenic plant with a maximum cooling power of 450 W at 4.5K was installed at the end of the year 2003. This plant has provide the cooling power for the test of one superconducting cavity and the commission of one superconducting magnet for nine months. In November 2004, we installed one helium transfer system in NSRRC's storage ring to fulfill the cooling requirement for the operation of one superconducting cavity and two superconducting magnets. This helium transfer system consists of a switch valve box and the nitrogen-shielding multi-channel transfer lines. The averaged heat leak to the helium process line (including the straight section, the joint, the elbow, the coupling) at liquid helium temperature is specified to be less than 0.1 W/m at 4.2K; the total heat leak of the switching valve box to helium process lines is less than 16 W at 4.2K. In this paper we present the function, design parameters and test result of the helium transfer system. Commissioning results of both the cavity and the magnets using this helium transfer system will be shown as well.

  15. Masked mycotoxins: A review

    PubMed Central

    Berthiller, Franz; Crews, Colin; Dall'Asta, Chiara; Saeger, Sarah De; Haesaert, Geert; Karlovsky, Petr; Oswald, Isabelle P; Seefelder, Walburga; Speijers, Gerrit; Stroka, Joerg

    2013-01-01

    The aim of this review is to give a comprehensive overview of the current knowledge on plant metabolites of mycotoxins, also called masked mycotoxins. Mycotoxins are secondary fungal metabolites, toxic to human and animals. Toxigenic fungi often grow on edible plants, thus contaminating food and feed. Plants, as living organisms, can alter the chemical structure of mycotoxins as part of their defence against xenobiotics. The extractable conjugated or non-extractable bound mycotoxins formed remain present in the plant tissue but are currently neither routinely screened for in food nor regulated by legislation, thus they may be considered masked. Fusarium mycotoxins (deoxynivalenol, zearalenone, fumonisins, nivalenol, fusarenon-X, T-2 toxin, HT-2 toxin, fusaric acid) are prone to metabolisation or binding by plants, but transformation of other mycotoxins by plants (ochratoxin A, patulin, destruxins) has also been described. Toxicological data are scarce, but several studies highlight the potential threat to consumer safety from these substances. In particular, the possible hydrolysis of masked mycotoxins back to their toxic parents during mammalian digestion raises concerns. Dedicated chapters of this article address plant metabolism as well as the occurrence of masked mycotoxins in food, analytical aspects for their determination, toxicology and their impact on stakeholders. PMID:23047235

  16. Helium interactions with alumina formed by atomic layer deposition show potential for mitigating problems with excess helium in spent nuclear fuel

    NASA Astrophysics Data System (ADS)

    Zhang, Shenli; Yu, Erick; Gates, Sean; Cassata, William S.; Makel, James; Thron, Andrew M.; Bartel, Christopher; Weimer, Alan W.; Faller, Roland; Stroeve, Pieter; Tringe, Joseph W.

    2018-02-01

    Helium gas accumulation from alpha decay during extended storage of spent fuel has potential to compromise the structural integrity the fuel. Here we report results obtained with surrogate nickel particles which suggest that alumina formed by atomic layer deposition can serve as a low volume-fraction, uniformly-distributed phase for retention of helium generated in fuel particles such as uranium oxide. Thin alumina layers may also form transport paths for helium in the fuel rod, which would otherwise be impermeable. Micron-scale nickel particles, representative of uranium oxide particles in their low helium solubility and compatibility with the alumina synthesis process, were homogeneously coated with alumina approximately 3-20 nm by particle atomic layer deposition (ALD) using a fluidized bed reactor. Particles were then loaded with helium at 800 °C in a tube furnace. Subsequent helium spectroscopy measurements showed that the alumina phase, or more likely a related nickel/alumina interface structure, retains helium at a density of at least 1017 atoms/cm3. High resolution transmission electron microscopy revealed that the thermal treatment increased the alumina thickness and generated additional porosity. Results from Monte Carlo simulations on amorphous alumina predict the helium retention concentration at room temperature could reach 1021 atoms/cm3 at 400 MPa, a pressure predicted by others to be developed in uranium oxide without an alumina secondary phase. This concentration is sufficient to eliminate bubble formation in the nuclear fuel for long-term storage scenarios, for example. Measurements by others of the diffusion coefficient in polycrystalline alumina indicate values several orders of magnitude higher than in uranium oxide, which then can also allow for helium transport out of the spent fuel.

  17. Self-reports about tinnitus and about cochlear implants.

    PubMed

    Noble, W

    2000-08-01

    Analyze literature on self-report outcomes in two areas of audiological rehabilitation: 1) tinnitus and 2) cochlear implant hearing aids. 1) Tinnitus: survey of features in the development of self-report approaches and of formal scales used in assessment of tinnitus disability and handicaps. 2) Cochlear implants: summary of the literature using self-report approaches to cochlear implant experience that indicates points of theoretical significance. 1) Major features of tinnitus are: a) disabilities such as interference with and distortion of normal auditory perception; b) handicaps such as emotional distress, interference with sleep, and with personal and social life. Nonauditory factors-chronic depression, high self-focused attention-mediate the degree of experienced tinnitus handicap. 2) People with prelingual loss of hearing report that a cochlear implant primarily enables improved detection and discrimination of environmental sound; those with postlingual loss find that an implant in addition provides improved speech recognition. 1) Coping with tinnitus is influenced by the personal resources that can be brought to bear on the experience, highlighting a general point that any rehabilitation outcome is not only a matter of acoustical solutions. By the same token, tinnitus can be easier to cope with if its "psychoacoustic presence" can be diminished by some form of masking. 2) Cochlear implants fitted in childhood that do not provide meaningful input signals in real-world settings may be rejected in adolescence. 3) "Hearing," as a capacity, does not have a fixed worth. Different circumstances mean it will be taken as desirable or as delivering torment (extreme tinnitus, e.g.). Its value will also vary depending on the extent of a person's access to spoken language (aiding in very early childhood, e.g.).

  18. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  19. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  20. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  1. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  2. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  3. Informational masking and musical training

    NASA Astrophysics Data System (ADS)

    Oxenham, Andrew J.; Fligor, Brian J.; Mason, Christine R.; Kidd, Gerald

    2003-09-01

    The relationship between musical training and informational masking was studied for 24 young adult listeners with normal hearing. The listeners were divided into two groups based on musical training. In one group, the listeners had little or no musical training; the other group was comprised of highly trained, currently active musicians. The hypothesis was that musicians may be less susceptible to informational masking, which is thought to reflect central, rather than peripheral, limitations on the processing of sound. Masked thresholds were measured in two conditions, similar to those used by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)]. In both conditions the signal was comprised of a series of repeated tone bursts at 1 kHz. The masker was comprised of a series of multitone bursts, gated with the signal. In one condition the frequencies of the masker were selected randomly for each burst; in the other condition the masker frequencies were selected randomly for the first burst of each interval and then remained constant throughout the interval. The difference in thresholds between the two conditions was taken as a measure of informational masking. Frequency selectivity, using the notched-noise method, was also estimated in the two groups. The results showed no difference in frequency selectivity between the two groups, but showed a large and significant difference in the amount of informational masking between musically trained and untrained listeners. This informational masking task, which requires no knowledge specific to musical training (such as note or interval names) and is generally not susceptible to systematic short- or medium-term training effects, may provide a basis for further studies of analytic listening abilities in different populations.

  4. Effects of immobilization mask material on surface dose

    PubMed Central

    Hadley, Scott W.; Kelly, Robin; Lam, Kwok

    2005-01-01

    This work investigates the increase in surface dose caused by thermoplastic masks used for patient positioning and immobilization. A thermoplastic mask is custom fit by stretching a heated mask over the patient at the time of treatment simulation. This mask is then used at treatment to increase the reproducibility of the patient position. The skin sparing effect of mega‐voltage X‐ray beams can be reduced when the patient's skin surface is under the mask material. The sheet of thermoplastic mask has holes to reduce this effect and is available from one manufacturer with two different sizes of holes, one larger than the other. This work investigates the increase in surface dose caused by the mask material and quantifies the difference between the two samples of masks available. The change in the dose buildup was measured using an Attix parallel plate chamber by measuring tissue maximum ratios (TMRs) using solid water. Measurements were made with and without the mask material on the surface of the solid water for 6‐MV and 15‐MV X‐ray beams. The effective thickness of equivalent water was estimated from the TMR curves, and the increase in surface dose was estimated. The buildup effect was measured to be equivalent to 2.2 mm to 0.6 mm for masks that have been stretched by different amounts. The surface dose was estimated to change from 16% and 12% for 6 MV and 15 MV, respectively, to 27% to 61% for 6 MV and 18% to 40% for 15 MV with the mask samples. PACS number: 87.53.Dq PMID:15770192

  5. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    PubMed

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  6. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    PubMed Central

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  7. Area laser crystallized LTPS TFTs with implanted contacts for active matrix OLED displays

    NASA Astrophysics Data System (ADS)

    Persidis, Efstathios; Baur, Holger; Pieralisi, Fabio; Schalberger, Patrick; Fruehauf, Norbert

    2008-03-01

    We have developed a four mask low temperature poly-Si (LTPS) TFT process for p- and n-channel devices. Our PECVD deposited amorphous silicon is recrystallized to polycrystalline silicon with single area excimer laser crystallization while formation of drain and source is carried out with self aligned ion beam implantation. We have investigated implantation parameters, suitability of various metallizations as well as laser activation and annealing procedures. To prove the potential capability of our devices, which are suitable for conventional and inverted OLEDs alike, we have produced several functional active matrix backplanes implementing different pixel circuits. Our active matrix backplane process has been customized to drive small molecules as well as polymers, regardless if top or bottom emitting.

  8. Mask etcher data strategy for 45nm and beyond

    NASA Astrophysics Data System (ADS)

    Lewington, Richard; Ibrahim, Ibrahim M.; Panayil, Sheeba; Kumar, Ajay; Yamartino, John

    2006-05-01

    Mask Etching for the 45nm technology node and beyond requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher to increasingly stringent and diverse requirements of the mask production environment. Increasing mask costs and the capability to acquire and consolidate a wealth of data within the mask etch platform are primary motivators towards harnessing data mines for feedback into the mask etching optimization. There are offline and real-time possibilities and scenarios. Here, we discuss the data architecture, acquisition, and strategies of the Applied Materials Tetra II TM Mask Etch System.

  9. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  10. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  11. Optimal mask characterization by Surrogate Wafer Print (SWaP) method

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hoellein, Ingo; Peters, Jan Hendrick; Ackmann, Paul; Connolly, Brid; West, Craig

    2008-10-01

    Traditionally, definition of mask specifications is done completely by the mask user, while characterization of the mask relative to the specifications is done completely by the mask maker. As the challenges of low-k1 imaging continue to grow in scope of designs and in absolute complexity, the inevitable partnership between wafer lithographers and mask makers has strengthened as well. This is reflected in the jointly owned mask facilities and device manufacturers' continued maintenance of fully captive mask shops which foster the closer mask-litho relationships. However, while some device manufacturers have leveraged this to optimize mask specifications before the mask is built and, therefore, improve mask yield and cost, the opportunity for post-fabrication partnering on mask characterization is more apparent and compelling. The Advanced Mask Technology Center (AMTC) has been investigating the concept of assessing how a mask images, rather than the mask's physical attributes, as a technically superior and lower-cost method to characterize a mask. The idea of printing a mask under its intended imaging conditions, then characterizing the imaged wafer as a surrogate for traditional mask inspections and measurements represents the ultimate method to characterize a mask's performance, which is most meaningful to the user. Surrogate wafer print (SWaP) is already done as part of leading-edge wafer fab mask qualification to validate defect and dimensional performance. In the past, the prospect of executing this concept has generally been summarily discarded as technically untenable and logistically intractable. The AMTC published a paper at BACUS 2007 successfully demonstrating the performance of SWaP for the characterization of defects as an alternative to traditional mask inspection [1]. It showed that this concept is not only feasible, but, in some cases, desirable. This paper expands on last year's work at AMTC to assess the full implementation of SWaP as an

  12. Quantification of fatal helium exposure following self-administration.

    PubMed

    Malbranque, S; Mauillon, D; Turcant, A; Rouge-Maillart, C; Mangin, P; Varlet, V

    2016-11-01

    Helium is nontoxic at standard conditions, plays no biological role, and is found in trace amounts in human blood. Helium can be dangerous if inhaled to excess, since it is a simple tissue hypoxia and so displaces the oxygen needed for normal respiration. This report presents a fatal case of a middle-aged male victim who died from self-administered helium exposure. For the first time, the quantification of the helium levels in gastric and lung air and in blood samples was achieved using gas chromatography-mass spectrometry after airtight sampling. The results of the toxicological investigation showed that death was caused directly by helium exposure. However, based on the pathomorphological changes detected during the forensic autopsy, we suppose that the fatal outcome was the result of the lack of oxygen after inhalation.

  13. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    At the commissioning of a new high-pressure helium pipeline at Kennedy Space Center, Ramon Lugo, acting executive director, JPMO , presents a plaque to Center Director Roy Bridges. The pipeline will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. Others at the ceremony were Jerry Jorgensen, pipeline project manager, Space Gateway Support (SGS); Col. Samuel Dick, representative of the 45th Space Wing; David Herst, director, Delta IV Launch Sites; Pierre Dufour, president and CEO, Air Liquide America Corporation; and Michael Butchko, president, SGS. The nine-mile-long buried pipeline will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad.

  14. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    Jerry Jorgensen, pipeline project manager, Space Gateway Support (SGS) presents an award of appreciation to H.T. Everett, KSC Propellants manager, at the commissioning of a new high-pressure helium pipeline at Kennedy Space Center. The pipeline will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. The nine-mile-long buried pipeline will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad. Others at the ceremony were Center Director Roy Bridges;); Col. Samuel Dick, representative of the 45th Space Wing; Ramon Lugo, acting executive director, JPMO; David Herst, director, Delta IV Launch Sites; Pierre Dufour, president and CEO, Air Liquide America Corporation; and Michael Butchko, president, SGS.

  15. Commissioning of a new helium pipeline

    NASA Technical Reports Server (NTRS)

    2000-01-01

    Jerry Jorgensen welcomes the audience to the commissioning of a new high-pressure helium pipeline at Kennedy Space Center. Jorgensen, with Space Gateway Support (SGS), is the pipeline project manager. To the right is Ramon Lugo, acting executive director, JPMO. Others at the ceremony were Center Director Roy Bridges; Col. Samuel Dick, representative of the 45th Space Wing; David Herst, director, Delta IV Launch Sites; Pierre Dufour, president and CEO, Air Liquide America Corporation; and Michael Butchko, president, SGS. The pipeline will service launch needs at the new Delta IV Complex 37 at Cape Canaveral Air Force Station. The nine-mile-long buried pipeline will also serve as a backup helium resource for Shuttle launches. Nearly one launch's worth of helium will be available in the pipeline to support a Shuttle pad in an emergency. The line originates at the Helium Facility on KSC and terminates in a meter station at the perimeter of the Delta IV launch pad.

  16. Detection of Charged Particles in Superfluid Helium

    NASA Astrophysics Data System (ADS)

    Bandler, Simon Richard

    1995-01-01

    At the present time the measurement of the flux of neutrinos from the sun remains a challenging experimental problem. The ideal detector would be able to detect neutrinos at high rate, in real time, with good energy resolution and would have a threshold which is low enough for investigation of the entire solar neutrino spectrum. A new detection scheme using superfluid helium as a target has been proposed which has the potential to meet most of the criteria of the ideal detector. In this scheme a neutrino would be detected when it elastically scatters off an atomic electron in superfluid helium. The electron loses energy via a number of processes eventually leading to the generation of phonons and rotons in the liquid. At low temperatures these excitations propagate ballistically through the superfluid helium. When the excitations reach the free surface some of them are able to evaporate helium atoms. These atoms can be detected by an array of calorimeters suspended above the liquid surface. In this thesis, results are presented for a small -scale prototype of this type of detector. Experiments have been performed using various radioactive sources to generate energy depositions in the liquid. The results reveal details about the processes of generation of rotons and phonons, the propagation of these excitations through the superfluid, the evaporation of helium atoms and the adsorption of helium atoms onto the wafer. Results are also presented on the detection of fluorescent photons generated in the liquid. One source of energy depositions was 241{rm Am} which produces monoenergetic 5.5 MeV alpha particles. It was found that the ratio of the energy deposited in a calorimeter to the energy deposited in liquid helium was 0.084 when alpha's are emitted parallel to the liquid surface, and 0.020 for alpha's emitted perpendicular. The difference is due to the anisotropic distribution of helium excitations generated. A 113{rm Sn} source of 360 keV electrons stopped in

  17. Coronagraphic mask design using Hermite functions.

    PubMed

    Cagigal, Manuel P; Canales, Vidal F; Valle, Pedro J; Oti, José E

    2009-10-26

    We introduce a stellar coronagraph that uses a coronagraphic mask described by a Hermite function or a combination of them. It allows the detection of exoplanets providing both deep starlight extinction and high angular resolution. This angular resolution depends on the order of the Hermite function used. An analysis of the coronagraph performance is carried out for different even order masks. Numerical simulations of the ideal case, with no phase errors and perfect telescope pointing, show that on-axis starlight is reduced to very low intensity levels corresponding to a gain of at least 25 magnitudes (10(-10) light intensity reduction). The coronagraphic throughput depends on the Hermite function or combination selected. The proposed mask series presents the same advantages of band limited masks along with the benefit of reducing the light diffracted by the mask border thanks to its particular shape. Nevertheless, for direct detection of Earth-like exoplanets it requires the use of adaptive optics facilities for compensating the perturbations introduced by the atmosphere and by the optical system.

  18. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  19. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    PubMed

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  20. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  1. Respiratory Source Control Using Surgical Masks With Nanofiber Media

    PubMed Central

    Skaria, Shaji D.; Smaldone, Gerald C.

    2014-01-01

    Background: Potentially infected individuals (‘source’) are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others (‘receiver’). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Methods: Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of ‘infectious’ aerosols from the ‘source’ to a ‘receiver’). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an ‘N95 respirator’). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Results: Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, ‘infected’ source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face

  2. Respiratory source control using surgical masks with nanofiber media.

    PubMed

    Skaria, Shaji D; Smaldone, Gerald C

    2014-07-01

    Potentially infected individuals ('source') are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others ('receiver'). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of 'infectious' aerosols from the 'source' to a 'receiver'). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an 'N95 respirator'). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, 'infected' source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face masks, Schlieren optical imaging demonstrated enhanced

  3. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  4. The Art of Japanese Masks and Kimonos

    ERIC Educational Resources Information Center

    Brooks, Nancy Johnston

    2009-01-01

    Japanese masks have been worn for a number of reasons. In the past they were often used in plays and celebrations. Today in Japan, social masks are essential in many party gatherings as a form of expressing one's personality. In this article, the author describes an art project wherein students created Japanese masks and kimonos.

  5. Method for mask repair using defect compensation

    DOEpatents

    Sweeney, Donald W.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    A method for repair of amplitude and/or phase defects in lithographic masks. The method involves modifying or altering a portion of the absorber pattern on the surface of the mask blank proximate to the mask defect to compensate for the local disturbance (amplitude or phase) of the optical field due to the defect.

  6. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  7. Mask aligner for ultrahigh vacuum with capacitive distance control

    NASA Astrophysics Data System (ADS)

    Bhaskar, Priyamvada; Mathioudakis, Simon; Olschewski, Tim; Muckel, Florian; Bindel, Jan Raphael; Pratzer, Marco; Liebmann, Marcus; Morgenstern, Markus

    2018-04-01

    We present a mask aligner driven by three piezomotors which guides and aligns a SiN shadow mask under capacitive control towards a sample surface. The three capacitors for read out are located at the backside of the thin mask such that the mask can be placed at a μm distance from the sample surface, while keeping it parallel to the surface, without touching the sample by the mask a priori. Samples and masks can be exchanged in-situ and the mask can additionally be displaced parallel to the surface. We demonstrate an edge sharpness of the deposited structures below 100 nm, which is likely limited by the diffusion of the deposited Au on Si(111).

  8. Masked Repetition Priming Using Magnetoencephalography

    ERIC Educational Resources Information Center

    Monahan, Philip J.; Fiorentino, Robert; Poeppel, David

    2008-01-01

    Masked priming is used in psycholinguistic studies to assess questions about lexical access and representation. We present two masked priming experiments using MEG. If the MEG signal elicited by words reflects specific aspects of lexical retrieval, then one expects to identify specific neural correlates of retrieval that are sensitive to priming.…

  9. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  10. Adaptation to different noninvasive ventilation masks in critically ill patients*

    PubMed Central

    da Silva, Renata Matos; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    OBJECTIVE: To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. METHODS: An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. RESULTS: We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. CONCLUSIONS: In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed. PMID:24068269

  11. Adaptation to different noninvasive ventilation masks in critically ill patients.

    PubMed

    Silva, Renata Matos da; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed.

  12. Helium resources of the United States, 1993. Information circular/1995

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamak, J.E.; Driskill, D.L.

    1995-12-31

    This report uses several criteria to determine reserves, marginal reserves, and subeconomic resources, including helium content, proximity to major gas transmission lines, and size of field. Refinements in evaluating other occurrences of helium and undiscovered resources also have been made for this report. As of this report, there is 33.7 Bcf of helium stored in Bush Dome at Cliffside Gasfield. The USBM owns 31.7 Bcf, and 2.0 Bcf is owned by private companies. There is also approximately 3.8 Bcf of helium contained in the natural gas in Bush Dome. This reserve of helium and the helium on Federal lands inmore » nondepleting fields will fulfill the USBM`s mission of supplying helium to meet all essential Government needs for several decades.« less

  13. Attentional capture by masked colour singletons.

    PubMed

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  14. Capacity enhancement of indigenous expansion engine based helium liquefier

    NASA Astrophysics Data System (ADS)

    Doohan, R. S.; Kush, P. K.; Maheshwari, G.

    2017-02-01

    Development of technology and understanding for large capacity helium refrigeration and liquefaction at helium temperature is indispensable for coming-up projects. A new version of helium liquefier designed and built to provide approximately 35 liters of liquid helium per hour. The refrigeration capacity of this reciprocating type expansion engine machine has been increased from its predecessor version with continuous improvement and deficiency debugging. The helium liquefier has been built using components by local industries including cryogenic Aluminum plate fin heat exchangers. Two compressors with nearly identical capacity have been deployed for the operation of system. Together they consume about 110 kW of electric power. The system employs liquid Nitrogen precooling to enhance liquid Helium yield. This paper describes details of the cryogenic expander design improvements, reconfiguration of heat exchangers, performance simulation and their experimental validation.

  15. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    PubMed

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  16. Feasibility of lunar Helium-3 mining

    NASA Astrophysics Data System (ADS)

    Kleinschneider, Andreas; Van Overstraeten, Dmitry; Van der Reijnst, Roy; Van Hoorn, Niels; Lamers, Marvin; Hubert, Laurent; Dijk, Bert; Blangé, Joey; Hogeveen, Joel; De Boer, Lennaert; Noomen, Ron

    With fossil fuels running out and global energy demand increasing, the need for alternative energy sources is apparent. Nuclear fusion using Helium-3 may be a solution. Helium-3 is a rare isotope on Earth, but it is abundant on the Moon. Throughout the space community lunar Helium-3 is often cited as a major reason to return to the Moon. Despite the potential of lunar Helium-3 mining, little research has been conducted on a full end-to-end mission. This abstract presents the results of a feasibility study conducted by students from Delft University of Technology. The goal of the study was to assess whether a continuous end-to-end mission to mine Helium-3 on the Moon and return it to Earth is a viable option for the future energy market. The set requirements for the representative end-to-end mission were to provide 10% of the global energy demand in the year 2040. The mission elements have been selected with multiple trade-offs among both conservative and novel concepts. A mission architecture with multiple decoupled elements for each transportation segment (LEO, transfer, lunar surface) was found to be the best option. It was found that the most critical element is the lunar mining operation itself. To supply 10% of the global energy demand in 2040, 200 tons of Helium-3 would be required per year. The resulting regolith mining rate would be 630 tons per second, based on an optimistic concentration of 20 ppb Helium-3 in lunar regolith. Between 1,700 to 2,000 Helium-3 mining vehicles would be required, if using University of Wisconsin’s Mark III miner. The required heating power, if mining both day and night, would add up to 39 GW. The resulting power system mass for the lunar operations would be in the order of 60,000 to 200,000 tons. A fleet of three lunar ascent/descent vehicles and 22 continuous-thrust vehicles for orbit transfer would be required. The costs of the mission elements have been spread out over expected lifetimes. The resulting profits from Helium

  17. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    PubMed

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, P<0.01). The snap-fit mask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  18. Liquid Oxygen Thermodynamic Vent System Testing with Helium Pressurization

    NASA Technical Reports Server (NTRS)

    VanDresar, Neil T.

    2014-01-01

    This report presents the results of several thermodynamic vent system (TVS) tests with liquid oxygen plus a test with liquid nitrogen. In all tests, the liquid was heated above its normal boiling point to 111 K for oxygen and 100 K for nitrogen. The elevated temperature was representative of tank conditions for a candidate lunar lander ascent stage. An initial test series was conducted with saturated oxygen liquid and vapor at 0.6 MPa. The initial series was followed by tests where the test tank was pressurized with gaseous helium to 1.4 to 1.6 MPa. For these tests, the helium mole fraction in the ullage was quite high, about 0.57 to 0.62. TVS behavior is different when helium is present than when helium is absent. The tank pressure becomes the sum of the vapor pressure and the partial pressure of helium. Therefore, tank pressure depends not only on temperature, as is the case for a pure liquid-vapor system, but also on helium density (i.e., the mass of helium divided by the ullage volume). Thus, properly controlling TVS operation is more challenging with helium pressurization than without helium pressurization. When helium was present, the liquid temperature would rise with each successive TVS cycle if tank pressure was kept within a constant control band. Alternatively, if the liquid temperature was maintained within a constant TVS control band, the tank pressure would drop with each TVS cycle. The final test series, which was conducted with liquid nitrogen pressurized with helium, demonstrated simultaneous pressure and temperature control during TVS operation. The simultaneous control was achieved by systematic injection of additional helium during each TVS cycle. Adding helium maintained the helium partial pressure as the liquid volume decreased because of TVS operation. The TVS demonstrations with liquid oxygen pressurized with helium were conducted with three different fluid-mixer configurations-a submerged axial jet mixer, a pair of spray hoops in the tank

  19. Effect of Ibuprofen on masking endodontic diagnosis.

    PubMed

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  20. Fabless company mask technology approach: fabless but not fab-careless

    NASA Astrophysics Data System (ADS)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  1. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    PubMed

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  2. Face mask use by patients in primary care.

    PubMed

    Tischendorf, Jessica S; Temte, Jonathan L

    2012-02-01

    Face masks are recommended for patients with respiratory symptoms to reduce influenza transmission. Little knowledge exists regarding actual utilization and acceptance of face masks in primary care. Compare distribution of face masks to clinic and community trends in respiratory infection (RI) and influenza-like illness (ILI); estimate the annual need for face masks in primary care. Retrospective observational study of practice data from a 31-week period starting in October 2009. Family practice clinic in Madison, Wis. Patients with fever, cough, or other respiratory symptoms as evaluated by reception staff. Age, sex, and weekly counts of individuals receiving a face mask, as well as counts of RI and ILI patients based on ICD-9 coding from 27 statewide clinics. Face mask counts were 80% of RI counts for the clinic and reflected the demographics of the clinic population. Distribution was correlated to prevalence of RI (R = 0.783, P < 0.001) and ILI (R = 0.632, P < 0.001). Annually, 8% of clinic visits were for RI. The high percentage of face mask use among RI patients reflects the feasibility of this intervention to help control influenza transmission in a primary care setting. Using the present data, clinics can estimate the annual need for face masks.

  3. The 2002 to 2010 mask survey trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David

    2011-03-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the ninth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Results will be used to guide future investments in critical path issues. This year's survey is basically the same as the 2005 through 2010 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that ultimately create a detailed profile of both the business and technical status of the critical mask industry.

  4. Monaural informational masking release in children and adults

    NASA Astrophysics Data System (ADS)

    Buss, Emily; Hall, Joseph W.; Grose, John H.

    2004-05-01

    Informational masking refers to an elevation in signal threshold due to stimulus uncertainty, rather than to energetic masking. This study assessed informational masking and utilization of cues to reduce that masking in children aged 5-9 and adults. We used a manipulation introduced by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)] in which the signal was a train of eight consecutive tone bursts, each at 1 kHz and 60 ms in duration. Maskers were comprised of a pair of synchronous tone-burst trains whose frequencies were selected from the range spanning 0.2-5 kHz, with a protected region 851-1175 Hz. In the reference condition, where informational masking is pronounced, these maskers were eight bursts and had a fixed frequency within each interval, with new frequencies chosen randomly prior to each interval. Two conditions of masking release were tested: random frequency selection for each masker burst and a masker leading fringe of two additional 60-ms bursts. Both children and adults showed a significant informational masking effect, with children showing a larger effect. Both groups also showed significant release from masking, though initial results suggest that this may have been reduced in the youngest children. [Work supported by NIH, RO1 DC00397.

  5. Visual Masking in Schizophrenia: Overview and Theoretical Implications

    PubMed Central

    Green, Michael F.; Lee, Junghee; Wynn, Jonathan K.; Mathis, Kristopher I.

    2011-01-01

    Visual masking provides several key advantages for exploring the earliest stages of visual processing in schizophrenia: it allows for control over timing at the millisecond level, there are several well-supported theories of the underlying neurobiology of visual masking, and it is amenable to examination by electroencephalogram (EEG) and functional magnetic resonance imaging (fMRI). In this paper, we provide an overview of the visual masking impairment schizophrenia, including the relevant theoretical mechanisms for masking impairment. We will discuss its relationship to clinical symptoms, antipsychotic medications, diagnostic specificity, and presence in at-risk populations. As part of this overview, we will cover the neural correlates of visual masking based on recent findings from EEG and fMRI. Finally, we will suggest a possible mechanism that could explain the patterns of masking findings and other visual processing findings in schizophrenia. PMID:21606322

  6. Influence of face mask design on bag-valve-mask ventilation performance: a randomized simulation study.

    PubMed

    Na, J U; Han, S K; Choi, P C; Cho, J H; Shin, D H

    2013-10-01

    Different face mask designs can influence bag-valve-mask (BVM) ventilation performance during resuscitation. We compared a single-use, air-cushioned face mask (AM) with a reusable silicone face mask (SM) for quality of BVM ventilation on a manikin simulating cardiac arrest. Thirty-two physicians were recruited, and a prospective, randomized, crossover observational study was conducted after an American Heart Association-accredited basic life support provider course and standardized practice time were completed. Participants performed 12 cycles of BVM ventilation with both the AM and SM on a SmartMan lung simulator. Mean tidal volume was significantly higher in ventilations performed using the AM vs. the SM (548 ± 159 ml vs. 439 ± 163 ml, P < 0.01). In addition, the proportion of low-volume ventilation was significantly lower with the AM than the SM [6/12 (2-11) vs. 9/12 (5-12), P = 0.03]. Bag-valve-AM ventilation volume was not affected by the physical characteristics of the rescuers, except for sex. In contrast, bag-valve-SM ventilation volume was affected by most of the characteristics tested, including sex, height, weight, hand width, hand length, and grip power. The AM seems to be a more efficient face mask than the SM at delivering sufficient ventilation volumes. The performance of the AM did not seem to be associated with the physical characteristics of the rescuers, whereas that of the SM was affected by these factors. The SM may not be an appropriate face mask for performing one-person BVM ventilation during resuscitation for rescuers who are smaller in stature, have a smaller hand size, or have weaker grip power. © 2013 The Acta Anaesthesiologica Scandinavica Foundation. Published by John Wiley & Sons Ltd.

  7. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    PubMed

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P < .001), and lowest airway pressure (P < .001), compared to the Boussignac valve. In all measurements the Boussignac valve showed higher than imposed CPAP level (P < .001). In ICU subjects the high-flow mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  8. A New MRI Masking Technique Based on Multi-Atlas Brain Segmentation in Controls and Schizophrenia: A Rapid and Viable Alternative to Manual Masking.

    PubMed

    Del Re, Elisabetta C; Gao, Yi; Eckbo, Ryan; Petryshen, Tracey L; Blokland, Gabriëlla A M; Seidman, Larry J; Konishi, Jun; Goldstein, Jill M; McCarley, Robert W; Shenton, Martha E; Bouix, Sylvain

    2016-01-01

    Brain masking of MRI images separates brain from surrounding tissue and its accuracy is important for further imaging analyses. We implemented a new brain masking technique based on multi-atlas brain segmentation (MABS) and compared MABS to masks generated using FreeSurfer (FS; version 5.3), Brain Extraction Tool (BET), and Brainwash, using manually defined masks (MM) as the gold standard. We further determined the effect of different masking techniques on cortical and subcortical volumes generated by FreeSurfer. Images were acquired on a 3-Tesla MR Echospeed system General Electric scanner on five control and five schizophrenia subjects matched on age, sex, and IQ. Automated masks were generated from MABS, FS, BET, and Brainwash, and compared to MM using these metrics: a) volume difference from MM; b) Dice coefficients; and c) intraclass correlation coefficients. Mean volume difference between MM and MABS masks was significantly less than the difference between MM and FS or BET masks. Dice coefficient between MM and MABS was significantly higher than Dice coefficients between MM and FS, BET, or Brainwash. For subcortical and left cortical regions, MABS volumes were closer to MM volumes than were BET or FS volumes. For right cortical regions, MABS volumes were closer to MM volumes than were BET volumes. Brain masks generated using FreeSurfer, BET, and Brainwash are rapidly obtained, but are less accurate than manually defined masks. Masks generated using MABS, in contrast, resemble more closely the gold standard of manual masking, thereby offering a rapid and viable alternative. Copyright © 2015 by the American Society of Neuroimaging.

  9. Exoplanet Coronagraph Shaped Pupil Masks and Laboratory Scale Star Shade Masks: Design, Fabrication and Characterization

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; hide

    2015-01-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10 -9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  10. Exoplanet coronagraph shaped pupil masks and laboratory scale star shade masks: design, fabrication and characterization

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; Zhou, Hanying; Kern, Brian; Riggs, A. J.; Zimmerman, Neil T.; Sirbu, Dan; Shaklan, Stuart; Kasdin, Jeremy

    2015-09-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10-9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  11. Evaluation of lattice displacement in Mg - Implanted GaN by Rutherford backscattering spectroscopy

    NASA Astrophysics Data System (ADS)

    Nishikata, N.; Kushida, K.; Nishimura, T.; Mishima, T.; Kuriyama, K.; Nakamura, T.

    2017-10-01

    Evaluation of lattice displacement in Mg-ion implanted GaN is studied by combining elastic recoil detection analysis (ERDA), Rutherford backscattering spectroscopy (RBS) and Photoluminescence (PL) measurements. Mg-ion implantation into GaN single crystal wafer is performed with energies of 30 keV (ion fluence; 3.5 × 1014 cm-2) and 60 keV (6.5 × 1014 cm-2) at room temperature. The ERDA measurements using the 1.5 MeV helium beam can evaluate hydrogen from the surface to ∼300 nm. The hydrogen concentration for un-implanted and as-implanted GaN is 3.1 × 1014 cm-2 and 6.1 × 1014 cm-2 at around 265 nm in depth. χmin (the ratio of aligned and random yields) near the surface of the 〈0 0 0 1〉 direction for Ga is 1.61% for un-implanted and 2.51% for Mg-ion implanted samples. On the other hand, the value of χmin for N is 10.08% for un-implanted and 11.20% for Mg-ion implanted samples. The displacement concentration of Ga and N estimated from these χmin values is 4.01 × 1020 cm-3 and 5.46 × 1020 cm-3, respectively. This suggests that Ga vacancy (VGa), N vacancy (VN), Ga interstitial (Gai), and N interstitial (Ni) is introduced in Mg-ion implanted GaN. A strong emission at around 400 nm in as-implanted GaN is related to a VN donor and some acceptor pairs. It is suggested that the origin of the very high resistivity after the Mg-ion implantation is attributed to the carrier compensation effect due to the deep level of Ni as a non-radiative center.

  12. DUV mask writer for BEOL 90-nm technology layers

    NASA Astrophysics Data System (ADS)

    Hong, Dongsung; Krishnan, Prakash; Coburn, Dianna; Jeewakhan, Nazneen; Xie, Shengqi; Broussard, Joshua; Ferguson, Bradley; Green, Kent G.; Buck, Peter; Jackson, Curt A.; Martinez, Larry

    2003-12-01

    Mask CD resolution and uniformity requirements for back end of line (BEOL) layers for the 90nm Technology Node push the capability of I-line mask writers; yet, do not require the capability offered by more expensive 50KeV ebeam mask writers. This suite of mask layers seems to be a perfect match for the capabilities of the DUV mask writing tools, which offer a lower cost option to the 50KeV platforms. This paper will evaluate both the mask and wafer results from all three platforms of mask writers (50KeV VSB,ETEC Alta 4300TM DUV laser and ETEC Alta 3500TM I-line laser) for a Cypress 90nm node Metal 1 layer, and demonstrate the benefits of the DUV platform with no change to OPC for this layer.

  13. Phase-shifting point diffraction interferometer mask designs

    DOEpatents

    Goldberg, Kenneth Alan

    2001-01-01

    In a phase-shifting point diffraction interferometer, different image-plane mask designs can improve the operation of the interferometer. By keeping the test beam window of the mask small compared to the separation distance between the beams, the problem of energy from the reference beam leaking through the test beam window is reduced. By rotating the grating and mask 45.degree., only a single one-dimensional translation stage is required for phase-shifting. By keeping two reference pinholes in the same orientation about the test beam window, only a single grating orientation, and thus a single one-dimensional translation stage, is required. The use of a two-dimensional grating allows for a multiplicity of pinholes to be used about the pattern of diffracted orders of the grating at the mask. Orientation marks on the mask can be used to orient the device and indicate the position of the reference pinholes.

  14. Helium isotopes in ferromanganese crusts from the central Pacific Ocean

    USGS Publications Warehouse

    Basu, S.; Stuart, F.M.; Klemm, V.; Korschinek, G.; Knie, K.; Hein, J.R.

    2006-01-01

    Helium isotopes have been measured in samples of two ferromanganese crusts (VA13/2 and CD29-2) from the central Pacific Ocean. With the exception of the deepest part of crust CD29-2 the data can be explained by a mixture of implanted solar- and galactic cosmic ray-produced (GCR) He, in extraterrestrial grains, and radiogenic He in wind-borne continental dust grains. 4He concentrations are invariant and require retention of less than 12% of the in situ He produced since crust formation. Loss has occurred by recoil and diffusion. High 4He in CD29-2 samples older than 42 Ma are correlated with phosphatization and can be explained by retention of up to 12% of the in situ-produced 4He. 3He/4He of VA13/2 samples varies from 18.5 to 1852 Ra due almost entirely to variation in the extraterrestrial He contribution. The highest 3He/4He is comparable to the highest values measured in interplanetary dust particles (IDPs) and micrometeorites (MMs). Helium concentrations are orders of magnitude lower than in oceanic sediments reflecting the low trapping efficiency for in-falling terrestrial and extraterrestrial grains of Fe-Mn crusts. The extraterrestrial 3He concentration of the crusts rules out whole, undegassed 4–40 μm diameter IDPs as the host. Instead it requires that the extraterrestrial He inventory is carried by numerous particles with significantly lower He concentrations, and occasional high concentration GCR-He-bearing particles.

  15. Atmospheric helium and geomagnetic field reversals.

    NASA Technical Reports Server (NTRS)

    Sheldon, W. R.; Kern, J. W.

    1972-01-01

    The problem of the earth's helium budget is examined in the light of recent work on the interaction of the solar wind with nonmagnetic planets. It is proposed that the dominant mode of helium (He4) loss is ion pumping by the solar wind during geomagnetic field reversals, when the earth's magnetic field is very small. The interaction of the solar wind with the earth's upper atmosphere during such a period is found to involve the formation of a bow shock. The penetration altitude of the shock-heated solar plasma is calculated to be about 700 km, and ionization rates above this level are estimated for a cascade ionization (electron avalanche) process to average 10 to the 9th power ions/sq cm/sec. The calculated ionization rates and the capacity of the solar wind to remove ionized helium (He4) from the upper atmosphere during geomagnetic dipole reversals are sufficient to yield a secular equilibrium over geologic time scales. The upward transport of helium from the lower atmosphere under these conditions is found to be adequate to sustain the proposed loss rate.

  16. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  17. A closer look at four-dot masking of a foveated target

    PubMed Central

    Wilson, Hugh R.

    2016-01-01

    Four-dot masking with a common onset mask was recently demonstrated in a fully attended and foveated target (Filmer, Mattingley & Dux, 2015). Here, we replicate and extend this finding by directly comparing a four-dot mask with an annulus mask while probing masking as a function of mask duration, and target-mask separation. Our results suggest that while an annulus mask operates via spatially local contour interactions, a four-dot mask operates through spatially global mechanisms. We also measure how the visual system’s representation of an oriented bar is impacted by a four-dot mask, and find that masking here does not degrade the precision of perceived targets, but instead appears to be driven exclusively by rendering the target completely invisible. PMID:27280073

  18. Realization of mechanical rotation in superfluid helium

    NASA Astrophysics Data System (ADS)

    Gordon, E. B.; Kulish, M. I.; Karabulin, A. V.; Matyushenko, V. I.; Dyatlova, E. V.; Gordienko, A. S.; Stepanov, M. E.

    2017-09-01

    The possibility of using miniaturized low-power electric motors submerged in superfluid helium for organization of rotation inside a cryostat has been investigated. It has been revealed that many of commercial micromotors can operate in liquid helium consuming low power. Turret with 5 sample holders, assembled on the base of stepper motor, has been successfully tested in experiments on the nanowire production in quantized vortices of superfluid helium. Application of the stepper motor made it possible in a single experiment to study the effect of various experimental parameters on the yield and quality of the nanowires. The promises for continuous fast rotation of the bath filled by superfluid helium by using high-speed brushless micromotor were outlined and tested. Being realized, this approach will open new possibility to study the guest particles interaction with the array of parallel linear vortices in He II.

  19. Functional neuroanatomy of visual masking deficits in schizophrenia.

    PubMed

    Green, Michael F; Lee, Junghee; Cohen, Mark S; Engel, Steven A; Korb, Alexander S; Nuechterlein, Keith H; Wynn, Jonathan K; Glahn, David C

    2009-12-01

    Visual masking procedures assess the earliest stages of visual processing. Patients with schizophrenia reliably show deficits on visual masking, and these procedures have been used to explore vulnerability to schizophrenia, probe underlying neural circuits, and help explain functional outcome. To identify and compare regional brain activity associated with one form of visual masking (ie, backward masking) in schizophrenic patients and healthy controls. Subjects received functional magnetic resonance imaging scans. While in the scanner, subjects performed a backward masking task and were given 3 functional localizer activation scans to identify early visual processing regions of interest (ROIs). University of California, Los Angeles, and the Department of Veterans Affairs Greater Los Angeles Healthcare System. Nineteen patients with schizophrenia and 19 healthy control subjects. Main Outcome Measure The magnitude of the functional magnetic resonance imaging signal during backward masking. Two ROIs (lateral occipital complex [LO] and the human motion selective cortex [hMT+]) showed sensitivity to the effects of masking, meaning that signal in these areas increased as the target became more visible. Patients had lower activation than controls in LO across all levels of visibility but did not differ in other visual processing ROIs. Using whole-brain analyses, we also identified areas outside the ROIs that were sensitive to masking effects (including bilateral inferior parietal lobe and thalamus), but groups did not differ in signal magnitude in these areas. The study results support a key role in LO for visual masking, consistent with previous studies in healthy controls. The current results indicate that patients fail to activate LO to the same extent as controls during visual processing regardless of stimulus visibility, suggesting a neural basis for the visual masking deficit, and possibly other visual integration deficits, in schizophrenia.

  20. Coatings on reflective mask substrates

    DOEpatents

    Tong, William Man-Wai; Taylor, John S.; Hector, Scott D.; Mangat, Pawitter J. S.; Stivers, Alan R.; Kofron, Patrick G.; Thompson, Matthew A.

    2002-01-01

    A process for creating a mask substrate involving depositing: 1) a coating on one or both sides of a low thermal expansion material EUVL mask substrate to improve defect inspection, surface finishing, and defect levels; and 2) a high dielectric coating, on the backside to facilitate electrostatic chucking and to correct for any bowing caused by the stress imbalance imparted by either other deposited coatings or the multilayer coating of the mask substrate. An film, such as TaSi, may be deposited on the front side and/or back of the low thermal expansion material before the material coating to balance the stress. The low thermal expansion material with a silicon overlayer and a silicon and/or other conductive underlayer enables improved defect inspection and stress balancing.

  1. Bilateral implantation of +2.5 D multifocal intraocular lens and contralateral implantation of +2.5 D and +3.0 D multifocal intraocular lenses: Clinical outcomes.

    PubMed

    Nuijts, Rudy M M A; Jonker, Soraya M R; Kaufer, Robert A; Lapid-Gortzak, Ruth; Mendicute, Javier; Martinez, Cristina Peris; Schmickler, Stefanie; Kohnen, Thomas

    2016-02-01

    To assess the clinical visual outcomes of bilateral implantation of Restor +2.5 diopter (D) multifocal intraocular lenses (IOLs) and contralateral implantation of a Restor +2.5 D multifocal IOL in the dominant eye and Restor +3.0 D multifocal IOL in the fellow eye. Multicenter study at 8 investigative sites. Prospective randomized parallel-group patient-masked 2-arm study. This study comprised adults requiring bilateral cataract extraction followed by multifocal IOL implantation. The primary endpoint was corrected intermediate visual acuity (CIVA) at 60 cm, and the secondary endpoint was corrected near visual acuity (CNVA) at 40 cm. Both endpoints were measured 3 months after implantation with a noninferiority margin of Δ = 0.1 logMAR. In total, 103 patients completed the study (53 bilateral, 50 contralateral). At 3 months, the mean CIVA at 60 cm was 0.13 logMAR and 0.10 logMAR in the bilateral group and contralateral group, respectively (difference 0.04 logMAR), achieving noninferiority. Noninferiority was not attained for CNVA at 40 cm; mean values at 3 months for bilateral and contralateral implantation were 0.26 logMAR and 0.11 logMAR, respectively (difference 0.15 logMAR). Binocular defocus curves suggested similar performance in distance vision between the 2 groups. Treatment-emergent ocular adverse events rates were similar between the groups. Bilateral implantation of the +2.5 D multifocal IOL resulted in similar distance as contralateral implantation of the +2.5 D multifocal IOL and +3.0 D multifocal IOL for intermediate vision (60 cm), while noninferiority was not achieved for near distances (40 cm). Copyright © 2016 ASCRS and ESCRS. Published by Elsevier Inc. All rights reserved.

  2. 46 CFR 197.322 - Surface-supplied helmets and masks.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Surface-supplied helmets and masks. 197.322 Section 197... helmets and masks. (a) Each surface-supplied helmet or mask must have— (1) A nonreturn valve at the attachment point between helmet or mask and umbilical that closes readily and positively; (2) An exhaust...

  3. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  4. Formation of a periodic diffractive structure based on poly(methyl methacrylate) with ion-implanted silver nanoparticles

    NASA Astrophysics Data System (ADS)

    Galyautdinov, M. F.; Nuzhdin, V. I.; Fattakhov, Ya. V.; Farrakhov, B. F.; Valeev, V. F.; Osin, Yu. N.; Stepanov, A. L.

    2016-02-01

    We propose to form optical diffractive elements on the surface of poly(methyl methacrylate) (PMMA) by implanting the polymer with silver ions ( E = 30 keV; D = 5.0 × 1014 to 1.5 × 1017 ion/cm2; I = 2 μA/cm2) through a nickel grid (mask). Ion implantation leads to the nucleation and growth of silver nanoparticles in unmasked regions of the polymer. The formation of periodic surface microstructures during local sputtering of the polymer by incident ions was monitored using an optical microscope. The diffraction efficiency of obtained gratings is demonstrated under conditions of their probing with semiconductor laser radiation in the visible spectral range.

  5. Optomechanics in a Levitated Droplet of Superfluid Helium

    NASA Astrophysics Data System (ADS)

    Brown, Charles; Harris, Glen; Harris, Jack

    2017-04-01

    A critical issue common to all optomechanical systems is dissipative coupling to the environment, which limits the system's quantum coherence. Superfluid helium's extremely low optical and mechanical dissipation, as well as its high thermal conductivity and its ability cool itself via evaporation, makes the mostly uncharted territory of superfluid optomechanics an exciting avenue for exploring quantum effects in macroscopic objects. I will describe ongoing work that aims to exploit the unique properties of superfluid helium by constructing an optomechanical system consisting of a magnetically levitated droplet of superfluid helium., The optical whispering gallery modes (WGMs) of the droplet, as well as the mechanical oscillations of its surface, should offer exceptionally low dissipation, and should couple to each other via the usual optomechanical interactions. I will present recent progress towards this goal, and also discuss the background for this work, which includes prior demonstrations of magnetic levitation of superfluid helium, high finesse WGMs in liquid drops, and the self-cooling of helium drops in vacuum.

  6. Simplified Helium Refrigerator Cycle Analysis Using the `Carnot Step'

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    P. Knudsen; V. Ganni

    2006-05-01

    An analysis of the Claude form of an idealized helium liquefier for the minimum input work reveals the ''Carnot Step'' for helium refrigerator cycles. As the ''Carnot Step'' for a multi-stage polytropic compression process consists of equal pressure ratio stages; similarly for an idealized helium liquefier the ''Carnot Step'' consists of equal temperature ratio stages for a given number of expansion stages. This paper presents the analytical basis and some useful equations for the preliminary examination of existing and new Claude helium refrigeration cycles.

  7. Individual differences in metacontrast masking regarding sensitivity and response bias.

    PubMed

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  8. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  9. An investigation into the efficiency of disposable face masks.

    PubMed Central

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  10. Face mask ventilation--the dos and don'ts.

    PubMed

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  12. Nanopore fabrication and characterization by helium ion microscopy

    NASA Astrophysics Data System (ADS)

    Emmrich, D.; Beyer, A.; Nadzeyka, A.; Bauerdick, S.; Meyer, J. C.; Kotakoski, J.; Gölzhäuser, A.

    2016-04-01

    The Helium Ion Microscope (HIM) has the capability to image small features with a resolution down to 0.35 nm due to its highly focused gas field ionization source and its small beam-sample interaction volume. In this work, the focused helium ion beam of a HIM is utilized to create nanopores with diameters down to 1.3 nm. It will be demonstrated that nanopores can be milled into silicon nitride, carbon nanomembranes, and graphene with well-defined aspect ratio. To image and characterize the produced nanopores, helium ion microscopy and high resolution scanning transmission electron microscopy were used. The analysis of the nanopores' growth behavior allows inferring on the profile of the helium ion beam.

  13. Performance and stability of mask process correction for EBM-7000

    NASA Astrophysics Data System (ADS)

    Saito, Yasuko; Chen, George; Wang, Jen-Shiang; Bai, Shufeng; Howell, Rafael; Li, Jiangwei; Tao, Jun; VanDenBroeke, Doug; Wiley, Jim; Takigawa, Tadahiro; Ohnishi, Takayuki; Kamikubo, Takashi; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi

    2010-05-01

    In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.

  14. Helium tables.

    NASA Technical Reports Server (NTRS)

    Havill, Clinton H

    1928-01-01

    These tables are intended to provide a standard method and to facilitate the calculation of the quantity of "Standard Helium" in high pressure containers. The research data and the formulas used in the preparation of the tables were furnished by the Research Laboratory of Physical Chemistry, of the Massachusetts Institute of Technology.

  15. Designs and Materials for Better Coronagraph Occulting Masks

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham

    2010-01-01

    New designs, and materials appropriate for such designs, are under investigation in an effort to develop coronagraph occulting masks having broad-band spectral characteristics superior to those currently employed. These designs and materials are applicable to all coronagraphs, both ground-based and spaceborne. This effort also offers potential benefits for the development of other optical masks and filters that are required (1) for precisely tailored spatial transmission profiles, (2) to be characterized by optical-density neutrality and phase neutrality (that is, to be characterized by constant optical density and constant phase over broad wavelength ranges), and/or (3) not to exhibit optical- density-dependent phase shifts. The need for this effort arises for the following reasons: Coronagraph occulting masks are required to impose, on beams of light transmitted through them, extremely precise control of amplitude and phase according to carefully designed transmission profiles. In the original application that gave rise to this effort, the concern has been to develop broad-band occulting masks for NASA s Terrestrial Planet Finder coronagraph. Until now, experimental samples of these masks have been made from high-energy-beam-sensitive (HEBS) glass, which becomes locally dark where irradiated with a high-energy electron beam, the amount of darkening depending on the electron-beam energy and dose. Precise mask profiles have been written on HEBS glass blanks by use of electron beams, and the masks have performed satisfactorily in monochromatic light. However, the optical-density and phase profiles of the HEBS masks vary significantly with wavelength; consequently, the HEBS masks perform unsatisfactorily in broad-band light. The key properties of materials to be used in coronagraph occulting masks are their extinction coefficients, their indices of refraction, and the variations of these parameters with wavelength. The effort thus far has included theoretical

  16. Neutral helium beam probe

    NASA Astrophysics Data System (ADS)

    Karim, Rezwanul

    1999-10-01

    This article discusses the development of a code where diagnostic neutral helium beam can be used as a probe. The code solves numerically the evolution of the population densities of helium atoms at their several different energy levels as the beam propagates through the plasma. The collisional radiative model has been utilized in this numerical calculation. The spatial dependence of the metastable states of neutral helium atom, as obtained in this numerical analysis, offers a possible diagnostic tool for tokamak plasma. The spatial evolution for several hypothetical plasma conditions was tested. Simulation routines were also run with the plasma parameters (density and temperature profiles) similar to a shot in the Princeton beta experiment modified (PBX-M) tokamak and a shot in Tokamak Fusion Test Reactor tokamak. A comparison between the simulation result and the experimentally obtained data (for each of these two shots) is presented. A good correlation in such comparisons for a number of such shots can establish the accurateness and usefulness of this probe. The result can possibly be extended for other plasma machines and for various plasma conditions in those machines.

  17. A respiratory mask for resting and exercising dogs.

    PubMed

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  18. Communication masking in marine mammals: A review and research strategy.

    PubMed

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  19. Psychometric functions for informational masking

    NASA Astrophysics Data System (ADS)

    Lutfi, Robert A.; Kistler, Doris J.; Callahan, Michael R.; Wightman, Frederic L.

    2003-12-01

    The term informational masking has traditionally been used to refer to elevations in signal threshold resulting from masker uncertainty. In the present study, the method of constant stimuli was used to obtain complete psychometric functions (PFs) from 44 normal-hearing listeners in conditions known to produce varying amounts of informational masking. The listener's task was to detect a pure-tone signal in the presence of a broadband noise masker (low masker uncertainty) and in the presence of multitone maskers with frequencies and amplitudes that varied at random from one presentation to the next (high masker uncertainty). Relative to the broadband noise condition, significant reductions were observed in both the slope and the upper asymptote of the PF for multitone maskers producing large amounts of informational masking. Slope was affected more for some listeners and conditions while asymptote was affected more for others; consequently, neither parameter alone was highly predictive of individual thresholds or the amount of informational masking. Mean slopes and asymptotes varied nonmonotonically with the number of masker components in a manner similar to mean thresholds, particularly when the estimated effect of energetic masking on thresholds was subtracted out. As in past studies, the threshold data were well described by a model in which trial-by-trial judgments are based on a weighted sum of levels in dB at the output of independent auditory filters. The psychometric data, however, complicated the model's interpretation in two ways: First, they suggested that, depending on the listener and condition, the weights can either reflect a fixed influence of masker components on each trial or the effect of occasionally mistaking a masker component for the signal from trial to trial. Second, they indicated that in either case the variance of the underlying decision variable as estimated from PF slope is not by itself great enough to account for the observed changes

  20. Vector scattering analysis of TPF coronagraph pupil masks

    NASA Astrophysics Data System (ADS)

    Ceperley, Daniel P.; Neureuther, Andrew R.; Lieber, Michael D.; Kasdin, N. Jeremy; Shih, Ta-Ming

    2004-10-01

    Rigorous finite-difference time-domain electromagnetic simulation is used to simulate the scattering from proto-typical pupil mask cross-section geometries and to quantify the differences from the normally assumed ideal on-off behavior. Shaped pupil plane masks are a promising technology for the TPF coronagraph mission. However the stringent requirements placed on the optics require that the detailed behavior of the edge-effects of these masks be examined carefully. End-to-end optical system simulation is essential and an important aspect is the polarization and cross-section dependent edge-effects which are the subject of this paper. Pupil plane masks are similar in many respects to photomasks used in the integrated circuit industry. Simulation capabilities such as the FDTD simulator, TEMPEST, developed for analyzing polarization and intensity imbalance effects in nonplanar phase-shifting photomasks, offer a leg-up in analyzing coronagraph masks. However, the accuracy in magnitude and phase required for modeling a chronograph system is extremely demanding and previously inconsequential errors may be of the same order of magnitude as the physical phenomena under study. In this paper, effects of thick masks, finite conductivity metals, and various cross-section geometries on the transmission of pupil-plane masks are illustrated. Undercutting the edge shape of Cr masks improves the effective opening width to within λ/5 of the actual opening but TE and TM polarizations require opposite compensations. The deviation from ideal is examined at the reference plane of the mask opening. Numerical errors in TEMPEST, such as numerical dispersion, perfectly matched layer reflections, and source haze are also discussed along with techniques for mitigating their impacts.

  1. Forward Masking of the Speech-Evoked Auditory Brainstem Response.

    PubMed

    Hodge, Sarah E; Menezes, Denise C; Brown, Kevin D; Grose, John H

    2018-02-01

    The hypothesis tested was that forward masking of the speech-evoked auditory brainstem response (sABR) increases peak latency as an inverse function of masker-signal interval (Δt), and that the overall persistence of forward masking is age dependent. Older listeners exhibit deficits in forward masking. If forward-masked sABRs provide an objective measure of the susceptibility of speech sounds to prior stimulation, then this provides a novel approach to examining the age dependence of temporal processing. A /da/ stimulus forward masked by speech-shaped noise (Δt = 4-64 ms) was used to measure sABRs in 10 younger and nine older participants. Forward masking of subsegments of the /da/ stimulus (Δt = 16 ms) and click trains (Δt = 0-64 ms) was also measured. Forward-masked sABRs from young participants showed an increase in latency with decreasing Δt for the initial peak. Latency shifts for later peaks were smaller and more uniform. None of the peak latencies returned to baseline by Δt = 64 ms. Forward-masked /da/ subsegments showed peak latency shifts that did not depend simply on peak position, while forward-masked click trains showed latency shifts that were dependent on click position. The sABRs from older adults were less robust but confirmed the viability of the approach. Forward masking of the sABR provides an objective measure of the susceptibility of the auditory system to prior stimulation. Failure of recovery functions to return to baseline suggests an interaction between forward masking by the prior masker and temporal effects within the stimulus itself.

  2. The scattering of low energy positrons by helium

    NASA Technical Reports Server (NTRS)

    Humberston, J. W.

    1973-01-01

    Kohn's variational method is used to calculate the positron-helium scattering length and low energy S-wave phase shifts for a quite realistic Hylleraas type of helium function containing an electron-electron correlation term. The zero energy wavefunction is used to calculate the value of the annihilation rate parameter Z sub eff. All the results are significantly different from those for Drachman's helium model B, but are in better agreement with the available experimental data.

  3. The Role of Helium Metastable States in Radio-Frequency Helium-Oxygen Atmospheric Pressure Plasma Jets: Measurement and Numerical Simulation

    NASA Astrophysics Data System (ADS)

    Niemi, Kari; Waskoenig, Jochen; Sadeghi, Nader; Gans, Timo; O'Connell, Deborah

    2011-10-01

    Absolute densities of metastable He atoms were measured line-of sight integrated along the plasma channel of a capacitively-coupled radio-frequency driven atmospheric pressure plasma jet operated in helium oxygen mixtures by tunable diode-laser absorption spectroscopy. Dependencies of the He metastable density with oxygen admixtures up to 1 percent were investigated. Results are compared to a 1-d numerical simulation, which includes a semi-kinetical treatment of the electron dynamics and the complex plasma chemistry (20 species, 184 reactions), and very good agreement is found. The main formation mechanisms for the helium metastables are identified and analyzed, including their pronounced spatio-temporal dynamics. Penning ionization through helium metastables is found to be significant for plasma sustainment, while it is revealed that helium metastables are not an important energy carrying species into the jet effluent and therefore will not play a direct role in remote surface treatments.

  4. The attentional blink is not affected by backward masking of T2, T2-mask SOA, or level of T2 impoverishment.

    PubMed

    Jannati, Ali; Spalek, Thomas M; Lagroix, Hayley E P; Di Lollo, Vincent

    2012-02-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This attentional blink (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using a dynamic threshold-tracking procedure that is inherently free from ceiling constraints. In two experiments we examined how AB magnitude is affected by three masking-related factors: (a) presence/absence of T2 mask, (b) T2-mask stimulus onset asynchrony (SOA), and (c) level of T2 impoverishment (signal-to-noise ratio [SNR]). In Experiment 1, overall accuracy decreased with T2-mask SOA. The magnitude of the AB, however, was invariant with SOA and with mask presence/absence. Experiment 2 further showed that the AB was invariant with T2 SNR. The relationship among mask presence/absence, SOA, and T2 SNR and the AB is encompassed in a qualitative model.

  5. Fast synthesis of topographic mask effects based on rigorous solutions

    NASA Astrophysics Data System (ADS)

    Yan, Qiliang; Deng, Zhijie; Shiely, James

    2007-10-01

    Topographic mask effects can no longer be ignored at technology nodes of 45 nm, 32 nm and beyond. As feature sizes become comparable to the mask topographic dimensions and the exposure wavelength, the popular thin mask model breaks down, because the mask transmission no longer follows the layout. A reliable mask transmission function has to be derived from Maxwell equations. Unfortunately, rigorous solutions of Maxwell equations are only manageable for limited field sizes, but impractical for full-chip optical proximity corrections (OPC) due to the prohibitive runtime. Approximation algorithms are in demand to achieve a balance between acceptable computation time and tolerable errors. In this paper, a fast algorithm is proposed and demonstrated to model topographic mask effects for OPC applications. The ProGen Topographic Mask (POTOMAC) model synthesizes the mask transmission functions out of small-sized Maxwell solutions from a finite-difference-in-time-domain (FDTD) engine, an industry leading rigorous simulator of topographic mask effect from SOLID-E. The integral framework presents a seamless solution to the end user. Preliminary results indicate the overhead introduced by POTOMAC is contained within the same order of magnitude in comparison to the thin mask approach.

  6. Aerial image metrology for OPC modeling and mask qualification

    NASA Astrophysics Data System (ADS)

    Chen, Ao; Foong, Yee Mei; Thaler, Thomas; Buttgereit, Ute; Chung, Angeline; Burbine, Andrew; Sturtevant, John; Clifford, Chris; Adam, Kostas; De Bisschop, Peter

    2017-06-01

    As nodes become smaller and smaller, the OPC applied to enable these nodes becomes more and more sophisticated. This trend peaks today in curve-linear OPC approaches that are currently starting to appear on the roadmap. With this sophistication of OPC, the mask pattern complexity increases. CD-SEM based mask qualification strategies as they are used today are starting to struggle to provide a precise forecast of the printing behavior of a mask on wafer. An aerial image CD measurement performed on ZEISS Wafer-Level CD system (WLCD) is a complementary approach to mask CD-SEMs to judge the lithographical performance of the mask and its critical production features. The advantage of the aerial image is that it includes all optical effects of the mask such as OPC, SRAF, 3D mask effects, once the image is taken under scanner equivalent illumination conditions. Additionally, it reduces the feature complexity and analyzes the printing relevant CD.

  7. Effect of C-implantation on Nerve-Cell Attachment to Polystyrene Films

    NASA Astrophysics Data System (ADS)

    Sommani, Piyanuch; Tsuji, Hiroshi; Kitamura, Tsuyoshi; Hattori, Mitsutaka; Yamada, Tetsuya; Sato, Hiroko; Gotoh, Yasuhito; Ishikawa, Junzo

    The surfaces of the polystyrene films spin-coated on glass were modified by carbon negative-ion implantation with various ion doses from 1×1014 to 3×1016 ions/cm2 at 5 and 10 keV. The implantation conditions with and without a pattering mask were for investigation of the cell-attachment properties and for evaluation of surface physical properties of contact angle, respectively. The contact angles of modified surface were investigated by pure water drop and air bubble method. The lowest angle value of the implanted films at 5 and 10 keV were approximately 72° at 3×1015 ions/cm2 after dipping in the de-ionized water for 2 hours. The lowering of contact angles on C-implanted surfaces when increase the ion dose is due to formation of the OH and C-O bonds. Nerve-cell-attachment properties of modified surface were investigated by the nerve-like cell of rat adrenal pheochromocytoma (PC12h) in vitro. After 2 days culture of the PC12h cells, no cells attached on the polystyrene films implanted with low ion dose from 1×1014 to 3×1014 ions/cm2. On the polystyrene films implanted with the dose order of 1015 ions/cm2, the cells selectively attached only on the implanted region. Whereas on the surfaces implanted with high dose such as 1×1016 and 3×1016 ions/cm2 mostly cells attached on the implanted region, and some attached on the unimplanted region, as well as cells were abnormal in shape and large size. Therefore, the suitable dose implantation for the selective-attachment of nerve-cells on the polystyrene films implanted at 5 and 10 keV were obtained around the dose order of 1015 ions/cm2, and the best condition for the selective attachment properties was at 3×1015 ions/cm2 corresponding to the lowest contact angle.

  8. Masking the Feeling of Being Stupid.

    ERIC Educational Resources Information Center

    Smith, Sally L.

    1988-01-01

    Teaching experience at The Lab School of Washington has shown that learning-disabled children and adults cope with their lack of self-esteem and feelings of stupidity by developing masks to hide their hurt. These include masks of super-competence, helplessness, invisibility, clowning, injustice collecting, indifference, boredom, outrageousness,…

  9. Strategy optimization for mask rule check in wafer fab

    NASA Astrophysics Data System (ADS)

    Yang, Chuen Huei; Lin, Shaina; Lin, Roger; Wang, Alice; Lee, Rachel; Deng, Erwin

    2015-07-01

    Photolithography process is getting more and more sophisticated for wafer production following Moore's law. Therefore, for wafer fab, consolidated and close cooperation with mask house is a key to achieve silicon wafer success. However, generally speaking, it is not easy to preserve such partnership because many engineering efforts and frequent communication are indispensable. The inattentive connection is obvious in mask rule check (MRC). Mask houses will do their own MRC at job deck stage, but the checking is only for identification of mask process limitation including writing, etching, inspection, metrology, etc. No further checking in terms of wafer process concerned mask data errors will be implemented after data files of whole mask are composed in mask house. There are still many potential data errors even post-OPC verification has been done for main circuits. What mentioned here are the kinds of errors which will only occur as main circuits combined with frame and dummy patterns to form whole reticle. Therefore, strategy optimization is on-going in UMC to evaluate MRC especially for wafer fab concerned errors. The prerequisite is that no impact on mask delivery cycle time even adding this extra checking. A full-mask checking based on job deck in gds or oasis format is necessary in order to secure acceptable run time. Form of the summarized error report generated by this checking is also crucial because user friendly interface will shorten engineers' judgment time to release mask for writing. This paper will survey the key factors of MRC in wafer fab.

  10. Pulsed helium ionization detection system

    DOEpatents

    Ramsey, R.S.; Todd, R.A.

    1985-04-09

    A helium ionization detection system is provided which produces stable operation of a conventional helium ionization detector while providing improved sensitivity and linearity. Stability is improved by applying pulsed dc supply voltage across the ionization detector, thereby modifying the sampling of the detectors output current. A unique pulse generator is used to supply pulsed dc to the detector which has variable width and interval adjust features that allows up to 500 V to be applied in pulse widths ranging from about 150 nsec to about dc conditions.

  11. Pulsed helium ionization detection system

    DOEpatents

    Ramsey, Roswitha S.; Todd, Richard A.

    1987-01-01

    A helium ionization detection system is provided which produces stable operation of a conventional helium ionization detector while providing improved sensitivity and linearity. Stability is improved by applying pulsed dc supply voltage across the ionization detector, thereby modifying the sampling of the detectors output current. A unique pulse generator is used to supply pulsed dc to the detector which has variable width and interval adjust features that allows up to 500 V to be applied in pulse widths ranging from about 150 nsec to about dc conditions.

  12. Hybrid Circuit QED with Electrons on Helium

    NASA Astrophysics Data System (ADS)

    Yang, Ge

    Electrons on helium (eHe) is a 2-dimensional system that forms naturally at the interface between superfluid helium and vacuum. It has the highest measured electron mobility, and long predicted spin coherence time. In this talk, we will first review various quantum computer architecture proposals that take advantage of these exceptional properties. In particular, we describe how electrons on helium can be combined with superconducting microwave circuits to take advantage of the recent progress in the field of circuit quantum electrodynamics (cQED). We will then demonstrate how to reliably trap electrons on these devices hours at a time, at millikelvin temperatures inside a dilution refrigerator. The coupling between the electrons and the microwave resonator exceeds 1 MHz, and can be reproduced from the design geometry using our numerical simulation. Finally, we will present our progress on isolating individual electrons in such circuits, to build single-electron quantum dots with electrons on helium.

  13. New method of contour-based mask-shape compiler

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  14. In collaboration with mask suppliers for change management enhancement

    NASA Astrophysics Data System (ADS)

    Deng, Erwin; Lee, Chun Der; Lee, Rachel

    2013-06-01

    For those wafer fabs that have no their own maskshops, the main target of mask quality department is to gain stable mask quality performance through effective supplier management, and therefore achieves competitive business results. After dealing with lots of mask data preparation (MDP) quality problems with suppliers, we have found that incomplete change management procedures are one of major sources that induce incorrect mask data for writing. This article will share our experience in how to enhance change management flows with mask suppliers together and will also show the utility after a series of flow improvement actions.

  15. Developing a New Quantitative Account of Backward Masking

    ERIC Educational Resources Information Center

    Francis, Gregory

    2003-01-01

    A new general explanation for u-shaped backward masking is analyzed and found to predict shifts in the interstimulus interval (ISI) that produces strongest masking. This predicted shift is then compared to six sets of masking data. The resulting comparisons force the general explanation to make certain assumptions to account for the data. In this…

  16. Formation of the lunar helium corona and atmosphere

    NASA Technical Reports Server (NTRS)

    Hodges, R. R., Jr.

    1977-01-01

    Helium is one of the dominant gases of the lunar atmosphere. Its presence is easily identified in data from the mass spectrometer at the Apollo 17 landing site. The major part of these data was obtained in lunar nighttime, where helium concentration reaches the maximum of its diurnal cyclic variation. The large night to day concentration ratio agrees with the basic theory of exospheric lateral transport reported by Hodges and Johnson (1968). A reasonable fraction of atmospheric helium atoms has a velocity in excess of the gravitational escape velocity. The result is a short average lifetime and a tenuous helium atmosphere. A description is presented of an investigation which shows that the atmosphere of the moon has two distinct components including low energy atoms, which are gravitationally bound in trajectories that intersect the lunar surface, and higher energy atoms, which are trapped in satellite orbits. The total helium abundance in the lunar corona is shown to be about 1.3 times 10 to the 30th power atoms.

  17. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  18. Masking interrupts figure-ground signals in V1.

    PubMed

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (<100 msec) followed by a second stimulus. This typically results in a dramatic decrease in the visibility of the target stimulus. It has been shown that masking reduces responses in V1. It is not known, however, which process in V1 is affected by the mask. In the past, we have shown that in V1, modulations of neural activity that are specifically related to figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  19. Low-order aberration sensitivity of eighth-order coronagraph masks

    NASA Technical Reports Server (NTRS)

    Shaklan, Stuart B.; Green, Joseph J.

    2005-01-01

    In a recent paper, Kuchner, Crepp, and Ge describe new image-plane coronagraph mask designs that reject to eighth order the leakage of starlight caused by image motion at the mask, resulting in a substantial relaxation of image centroiding requirements compared to previous fourth-order and second-order masks. They also suggest that the new masks are effective at rejecting leakage caused by low-order aberrations (e.g., focus, coma, and astigmatism). In this paper, we derive the sensitivity of eighth-order masks to aberrations of any order and provide simulations of coronagraph behavior in the presence of optical aberrations.We find that the masks leak light as the fourth power of focus, astigmatism, coma, and trefoil. This has tremendous performance advantages for the Terrestrial Planet Finder Coronagraph.

  20. Helium vs. Proton Induced Displacement Damage in Electronic Materials

    NASA Technical Reports Server (NTRS)

    Ringo, Sawnese; Barghouty, A. F.

    2010-01-01

    In this project, the specific effects of displacement damage due to the passage of protons and helium nuclei on some typical electronic materials will be evaluated and contrasted. As the electronic material absorbs the energetic proton and helium momentum, degradation of performance occurs, eventually leading to overall failure. Helium nuclei traveling at the same speed as protons are expected to impart more to the material displacement damage; due to the larger mass, and thus momentum, of helium nuclei compared to protons. Damage due to displacement of atoms in their crystalline structure can change the physical properties and hence performance of the electronic materials.

  1. Improved techniques reduce face mask leak during simulated neonatal resuscitation: study 2.

    PubMed

    Wood, Fiona E; Morley, Colin J; Dawson, Jennifer A; Kamlin, C Omar F; Owen, Louise S; Donath, Susan; Davis, Peter G

    2008-05-01

    Techniques of positioning and holding neonatal face masks vary. Studies have shown that leak at the face mask is common and often substantial irrespective of operator experience. (1) To identify a technique for face mask placement and hold which will minimise mask leak. (2) To investigate the effect of written instruction and demonstration of the identified technique on mask leak for two round face masks. Three experienced neonatologists compared methods of placing and holding face masks to minimise the leak for Fisher & Paykel 60 mm and Laerdal size 0/1 masks. 50 clinical staff gave positive pressure ventilation to a modified manikin designed to measure leak at the face mask. They were provided with written instructions on how to position and hold each mask and then received a demonstration. Face mask leak was measured after each teaching intervention. A technique of positioning and holding the face masks was identified which minimised leak. The mean (SD) mask leaks before instruction, after instruction and after demonstration were 55% (31), 49% (30), 33% (26) for the Laerdal mask and 57% (25), 47% (28), 32% (30) for the Fisher & Paykel mask. There was no significant difference in mask leak between the two masks. Written instruction alone reduced leak by 8.8% (CI 1.4% to 16.2%) for either mask; when combined with a demonstration mask leak was reduced by 24.1% (CI 16.4% to 31.8%). Written instruction and demonstration of the identified optimal technique resulted in significantly reduced face mask leak.

  2. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    NASA Astrophysics Data System (ADS)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  3. Measuring helium nano-bubble formation in tungsten with grazing-incidence small angle X-ray scattering

    NASA Astrophysics Data System (ADS)

    Thompson, Matt A. T.

    exponential diameter distribution, with a mean nano-bubble diameters mu=0.596+/-0.001 nm and mu=0.68+/-0.04 nm computed for GISAXS and TEM, respectively. Depth distributions were also approximately exponential, with average bubble depths estimated at tau=9.1+/-0.4 nm and tau=8.4+/-0.5 for GISAXS and TEM, respectively. GISAXS was then applied to study the effects of plasma fluence, sample temperature and large transient heat and particle loads on nano-bubble formation. Nano-bubble sizes were found to saturate with increasing fluence at fluences less than 2.7x10. 24 He/m. 2 at 473 K. At higher temperatures larger nano-bubblesare able to form, suggesting a shift in the growth mechanisms, possibly from vacancy capture to bubble coalescence. Evidence is also presented which indicates that nano-bubble size distributions are qualitatively different for tungsten exposed to transient heat and particle loads due edge localised modes (ELMs) in the DIII-D tokamak, with a relatively large population of smaller (0.5-1 nm) nano-bubbles forming in this case. This is posited to be a consequence of rapid precipitation due to either extremely high helium concentrations during the ELM, or rapid cooling after it. Finally, synergistic effects between plasma composition and sample temperature are explored to determine which factors are most relevant for hydrogen and helium retention. Here, evidence has been found that helium ions from the plasma require a minimum energy of 9.0+/-1.4 eV in order to be implanted into tungsten. This was the dominant factor governing helium retention in this experiment. On the other hand, sample temperature is the dominant factor for hydrogen retention.

  4. Optimization of single keV ion implantation for the construction of single P-donor devices

    NASA Astrophysics Data System (ADS)

    Yang, Changyi; Jamieson, David N.; Hopf, Toby; Andresen, Soren E.; Hearne, Sean M.; Hudson, Fay E.; Pakes, Christopher I.; Mitic, Mladen; Gauja, Eric; Tamanyan, Grigori; Dzurak, Andrew S.; Prawer, Steven; Clark, Robert G.

    2005-02-01

    We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the "top down" strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.

  5. How Does Target Duration Affect Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Carter, Wakefield; Guest, Duncan

    2010-01-01

    Object substitution masking (OSM) is typically studied using a brief search display. The target item may be indicated by a cue/mask surrounding but not overlapping it. Report of the target is reduced when mask offset trails target offset rather than being simultaneous with it. We report 5 experiments investigating whether OSM can be obtained if…

  6. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    ERIC Educational Resources Information Center

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  7. 1995 mask industry quality assessment

    NASA Astrophysics Data System (ADS)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  8. Diffusion of radiogenic helium in natural uranium oxides

    NASA Astrophysics Data System (ADS)

    Roudil, Danièle; Bonhoure, Jessica; Pik, Raphaël; Cuney, Michel; Jégou, Christophe; Gauthier-Lafaye, F.

    2008-08-01

    The issue of nuclear waste management - and especially spent fuel disposal - demands further research on the long-term behavior of helium and its impact on physical changes in UO 2 and (U,Pu)O 2 matrices subjected to self-irradiation. Helium produced by radioactive decay of the actinides concentrates in the grains or is trapped at the grain boundaries. Various scenarios can be considered, and can have a significant effect on the radionuclide source terms that will be accessible to water after the canisters have been breached. Helium production and matrix damage is generally simulated by external irradiation or with actinide-doped materials. A natural uranium oxide sample was studied to acquire data on the behavior of radiogenic helium and its diffusion under self-irradiation in spent fuel. The sample from the Pen Ar Ran deposit in the Vendée region of France dated at 320 ± 9 million of years was selected for its simple geological history, making it a suitable natural analog of spent fuel under repository conditions during the initial period in a closed system not subject to mass transfer with the surrounding environment. Helium outgassing measured by mass spectrometry to determine the He diffusion coefficients through the ore shows that: (i) a maximum of 5% (2.1% on average) of the helium produced during the last 320 Ma in this natural analog was conserved, (ii) about 33% of the residual helium is occluded in the matrix and vacancy defects (about 10 -5 mol g -1) and 67% in bubbles that were analyzed by HRTEM. A similar distribution has been observed in spent fuel and in (U 0.9,Pu 0.1)O 2. The results obtained for the natural Pen Ar Ran sample can be applied by analogy to spent fuel, especially in terms of the apparent solubility limit and the formation, characteristics and behavior of the helium bubbles.

  9. Development of movable mask system to cope with high beam current

    NASA Astrophysics Data System (ADS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-07-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator.

  10. Fin field effect transistor directionality impacts printing of implantation shapes

    NASA Astrophysics Data System (ADS)

    Wang, Xiren; Granik, Yuri

    2018-01-01

    In modern integrated circuit (IC) fabrication processes, the photoresist receives considerable illumination energy that is reflected by underlying topography during optical lithography of implantation layers. Bottom antireflective coating (BARC) is helpful to mitigate the reflection. Often, however, BARC is not used, because its removal is technically challenging, in addition to its relatively high economic cost. Furthermore, the advanced technology nodes, such as 14/10-nm nodes, have introduced fin field effect transistor (FinFET), which makes reflection from nonuniform silicon substrates exceptionally complicated. Therefore, modeling reflection from topography becomes obligatory to accurately predict printing of implantation shapes. Typically, FinFET is always fixed in one direction in realistic designs. However, the same implantation rectangle may be oriented in either horizontal or vertical direction. Then, there are two types of relations between the critical dimension (CD) and FinFET, namely a parallel-to and a perpendicular-to relation. We examine the fin directionality impact on CD. We found that this impact may be considerable in some cases. We use our in-house rigorous optical topography simulator to reveal underlining physical reasons. One of the major causes of the CD differences is that in the parallel orientation, the solid sidewalls of the fins conduct considerable light reflections unlike for the perpendicular orientation. This finding can aid the compact modeling in optical proximity correction of implantation masks.

  11. Electronic Spectroscopy of Phthalocyanine and Porphyrin Derivatives in Superfluid Helium Nanodroplets.

    PubMed

    Slenczka, Alkwin

    2017-07-25

    Phthalocyanine and porphyrin were among the first organic compounds investigated by means of electronic spectroscopy in superfluid helium nanodroplets. Superfluid helium nanodroplets serve as a very gentle host system for preparing cold and isolated molecules. The uniqueness of helium nanodroplets is with respect to the superfluid phase which warrants the vanishing viscosity and, thus, minimal perturbation of the dopant species at a temperature as low as 0.37 K. These are ideal conditions for the study of molecular spectra in order to analyze structures as well as dynamic processes. Besides the investigation of the dopant species itself, molecular spectroscopy in helium droplets provides information on the helium droplet and in particular on microsolvation. This article, as part of a special issue on phthalocyanines and porphyrins, reviews electronic spectroscopy of phthalocyanine and porphyrin compounds in superfluid helium nanodroplets. In addition to the wide variety of medical as well as technical and synthetical aspects, this article discusses electronic spectroscopy of phthalocyanines and porphyrins in helium droplets in order to learn about both the dopant and the helium environment.

  12. Force-dependent static dead space of face masks used with holding chambers.

    PubMed

    Shah, Samir A; Berlinski, Ariel B; Rubin, Bruce K

    2006-02-01

    Pressurized metered-dose inhalers with valved holding chambers and masks are commonly used for aerosol delivery in children. Drug delivery can decrease when the dead-space volume (DSV) of the valved holding chamber is increased, but there are no published data evaluating force-dependent DSV among different masks. Seven masks were studied. Masks were sealed at the valved holding chamber end and filled with water to measure mask volume. To measure mask DSV we used a mannequin of 2-year-old-size face and we applied the mask with forces of 1.5, 3.5, and 7 pounds. Mask seal was determined by direct observation. Intra-brand analysis was done via analysis of variance. At 3.5 pounds of force, the DSV ranged from 29 mL to 100 mL, with 3 masks having DSV of < 50 mL. The remaining masks all had DSV > 60 mL. At 3.5 pounds of force, DSV percent of mask volume ranged from 33.7% (Aerochamber, p < 0.01 compared with other masks) to 100% (Pocket Chamber). DSV decreased with increasing force with most of the masks, and the slope of this line was inversely proportional to mask flexibility. Mask fit was 100% at 1.5 pounds of force only with the Aerochamber and Optichamber. Mask fit was poorest with the Vortex, Pocket Chamber, and BreatheRite masks. Rigid masks with large DSV might not be not suitable for use in children, especially if discomfort from the stiff mask makes its use less acceptable to the child.

  13. Physiological functioning of the ear and masking

    NASA Technical Reports Server (NTRS)

    1984-01-01

    The physiological functions of the ear and the role masking plays in speech communication are examined. Topics under investigation include sound analysis of the ear, the aural reflex, and various types of noise masking.

  14. Speech intelligibility at high helium-oxygen pressures.

    PubMed

    Rothman, H B; Gelfand, R; Hollien, H; Lambertsen, C J

    1980-12-01

    Word-list intelligibility scores of unprocessed speech (mean of 4 subjects) were recorded in helium-oxygen atmospheres at stable pressures equivalent to 1600, 1400, 1200, 1000, 860, 690, 560, 392, and 200 fsw daring Predictive Studies IV-1975 by wide-bandwidth condenser microphones (frequency responses not degraded by increased gas density). Intelligibility scores were substantially lower in helium-oxygen a 200 fsw than in air at l ATA, but there was little difference between 200 fsw and 1600 fsw. A previously documented prominent decrease in intelligibility of speech between 200 or 600 fsw because of helium and pressure was probably due to degradation of microphone frequency response by high gas density.

  15. Using Uncertainty Principle to Find the Ground-State Energy of the Helium and a Helium-like Hookean Atom

    ERIC Educational Resources Information Center

    Harbola, Varun

    2011-01-01

    In this paper, we accurately estimate the ground-state energy and the atomic radius of the helium atom and a helium-like Hookean atom by employing the uncertainty principle in conjunction with the variational approach. We show that with the use of the uncertainty principle, electrons are found to be spread over a radial region, giving an electron…

  16. Masked Repetition Priming Treatment for Anomia

    ERIC Educational Resources Information Center

    Silkes, JoAnn P.

    2018-01-01

    Purpose: Masked priming has been suggested as a way to directly target implicit lexical retrieval processes in aphasia. This study was designed to investigate repeated use of masked repetition priming to improve picture naming in individuals with anomia due to aphasia. Method: A single-subject, multiple-baseline design was used across 6 people…

  17. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    PubMed Central

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  18. [Patients' reaction to pharmacists wearing a mask during their consultations].

    PubMed

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  19. Masking disrupts reentrant processing in human visual cortex.

    PubMed

    Fahrenfort, J J; Scholte, H S; Lamme, V A F

    2007-09-01

    In masking, a stimulus is rendered invisible through the presentation of a second stimulus shortly after the first. Over the years, authors have typically explained masking by postulating some early disruption process. In these feedforward-type explanations, the mask somehow "catches up" with the target stimulus, disrupting its processing either through lateral or interchannel inhibition. However, studies from recent years indicate that visual perception--and most notably visual awareness itself--may depend strongly on cortico-cortical feedback connections from higher to lower visual areas. This has led some researchers to propose that masking derives its effectiveness from selectively interrupting these reentrant processes. In this experiment, we used electroencephalogram measurements to determine what happens in the human visual cortex during detection of a texture-defined square under nonmasked (seen) and masked (unseen) conditions. Electro-encephalogram derivatives that are typically associated with reentrant processing turn out to be absent in the masked condition. Moreover, extrastriate visual areas are still activated early on by both seen and unseen stimuli, as shown by scalp surface Laplacian current source-density maps. This conclusively shows that feedforward processing is preserved, even when subject performance is at chance as determined by objective measures. From these results, we conclude that masking derives its effectiveness, at least partly, from disrupting reentrant processing, thereby interfering with the neural mechanisms of figure-ground segmentation and visual awareness itself.

  20. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    NASA Technical Reports Server (NTRS)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  1. Development of an Agent-based Model to Analyze Contemporary Helium Markets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riddle, Matthew E.; Uckun, Canan; Conzelmann, Guenter

    Although U.S. helium demand has remained relatively flat since 2009, exports of helium have increased significantly since then, driven primarily by demand for electronic and semiconductor manufacturing in Asia. In the midst of this global demand shift, the Helium Act dictates a new procedure for pricing and distributing the gas through a reserve that historically functioned as a loose “oligarchy.” The new procedure requires prices to be determined by the open market through auctions and a survey of market prices, as opposed to increasing prices according to the consumer price index. Response to these changes has caused temporary shortages, pricemore » increases, and a significant increase in the development of the helium extraction technologies used to produce helium from formerly marginal sources. Technologies are being developed and refined to extract helium from formerly low-yielding natural gas fields containing much lower amounts of helium than the previously considered economic threshold of 0.3%. Combining these transformative policies with the potential for new and significant global supplies from Qatar, Algeria, and Russia could lead to new and unforeseen market behaviors and reactions from global helium markets. The objective of the project is to analyze the global helium markets.« less

  2. Vertical Feature Mask Feature Classification Flag Extraction

    Atmospheric Science Data Center

    2013-03-28

      Vertical Feature Mask Feature Classification Flag Extraction This routine demonstrates extraction of the ... in a CALIPSO Lidar Level 2 Vertical Feature Mask feature classification flag value. It is written in Interactive Data Language (IDL) ...

  3. Design and Manufacturing of the Kstar Tokamak Helium Refrigeration System

    NASA Astrophysics Data System (ADS)

    Dauguet, P.; Briend, P.; Abe, I.; Fauve, E.; Bernhardt, J. M.; Andrieu, F.; Beauvisage, J.

    2008-03-01

    The KSTAR (Korean Superconducting Tokamak Advanced Research) project makes intensive use of superconducting (SC) magnets operated at 4.4 K. The cold components of KSTAR require a forced flow of supercritical helium for magnets and structure, boiling liquid helium for current leads, and gaseous helium for thermal shields. A helium refrigeration system has been custom-designed for this project. The purpose of this paper is to give a brief overview of the proposed cryogenic system. The specified thermal loads for the different operating modes are presented. This specification results in the definition of a design mode for the refrigerator. The design and construction of the resulting 9 kW at 4.5-K Helium Refrigeration System (HSR) are presented.

  4. Rescue therapy by switching to total face mask after failure of face mask-delivered noninvasive ventilation in do-not-intubate patients in acute respiratory failure.

    PubMed

    Lemyze, Malcolm; Mallat, Jihad; Nigeon, Olivier; Barrailler, Stéphanie; Pepy, Florent; Gasan, Gaëlle; Vangrunderbeeck, Nicolas; Grosset, Philippe; Tronchon, Laurent; Thevenin, Didier

    2013-02-01

    To evaluate the impact of switching to total face mask in cases where face mask-delivered noninvasive mechanical ventilation has already failed in do-not-intubate patients in acute respiratory failure. Prospective observational study in an ICU and a respiratory stepdown unit over a 12-month study period. Switching to total face mask, which covers the entire face, when noninvasive mechanical ventilation using facial mask (oronasal mask) failed to reverse acute respiratory failure. Seventy-four patients with a do-not-intubate order and treated by noninvasive mechanical ventilation for acute respiratory failure. Failure of face mask-delivered noninvasive mechanical ventilation was associated with a three-fold increase in in-hospital mortality (36% vs. 10.5%; p = 0.009). Nevertheless, 23 out of 36 patients (64%) in whom face mask-delivered noninvasive mechanical ventilation failed to reverse acute respiratory failure and, therefore, switched to total face mask survived hospital discharge. Reasons for switching from facial mask to total face mask included refractory hypercapnic acute respiratory failure (n = 24, 66.7%), painful skin breakdown or facial mask intolerance (n = 11, 30%), and refractory hypoxemia (n = 1, 2.7%). In the 24 patients switched from facial mask to total face mask because of refractory hypercapnia, encephalopathy score (3 [3-4] vs. 2 [2-3]; p < 0.0001), PaCO2 (87 ± 25 mm Hg vs. 70 ± 17 mm Hg; p < 0.0001), and pH (7.24 ± 0.1 vs. 7.32 ± 0.09; p < 0.0001) significantly improved after 2 hrs of total face mask-delivered noninvasive ventilation. Patients switched early to total face mask (in the first 12 hrs) developed less pressure sores (n = 5, 24% vs. n = 13, 87%; p = 0.0002), despite greater length of noninvasive mechanical ventilation within the first 48 hrs (44 hrs vs. 34 hrs; p = 0.05) and less protective dressings (n = 2, 9.5% vs. n = 8, 53.3%; p = 0.007). The optimal cutoff value for face mask-delivered noninvasive mechanical ventilation

  5. Education in Helium Refrigeration

    NASA Astrophysics Data System (ADS)

    Gistau Baguer, G. M.

    2004-06-01

    On the one hand, at the end of the time I was active in helium refrigeration, I noticed that cryogenics was stepping into places where it was not yet used. For example, a conventional accelerator, operating at room temperature, was to be upgraded to reach higher particle energy. On the other hand, I was a little bit worried to let what I had so passionately learned during these years to be lost. Retirement made time available, and I came gradually to the idea to teach about what was my basic job. I thought also about other kinds of people who could be interested in such lessons: operators of refrigerators or liquefiers who, often by lack of time, did not get a proper introduction to their job when they started, young engineers who begin to work in cryogenics… and so on. Consequently, I have assembled a series of lessons about helium refrigeration. As the audiences have different levels of knowledge in the field of cryogenics, I looked for a way of teaching that is acceptable for all of them. The course is split into theory of heat exchangers, refrigeration cycles, technology and operation of main components, process control, and helium purity.

  6. Coupling of the coronal helium abundance to the solar wind

    NASA Technical Reports Server (NTRS)

    Hansteen, Viggo H.; Leer, Egil; Holzer, Thomas E.

    1994-01-01

    Models of the transition region-corona-solar wind system are investigated in order to find the coronal helium abundance and to study the role played by coronal helium in controlling the solar wind proton flux. The thermal force on alpha-particles in the transition region sets the flow of helium into the corona. The frictional coupling between alpha-particles and protons and/or the electric polarization field determines the proton flux in the solar wind as well as the fate of the coronal helium content. The models are constructed by solving the time-dependent population and momentum equations for all species of hydrogen and helium in an atmosphere with a given temperature profile. Several temperature profiles are considered in order to very the roles of frictional coupling and electric polarization field in the solar wind, and the thermal force in the transition region. Steady-state solutions are found for coronae with a hydrogen flux at 1 AU of 1.0 x 10(exp 9)/cm(exp 2)/sec or larger. For coronae with lower hydrogen fluxes, the helium flux into the corona is larger than the flux 'pulled out' by the solar wind protons, and solutions with increasing coronal helium content are found. The timescale for forming a helium-filled corona, that may allow for a steady outflow, is long compared to the mixing time for the corona.

  7. Theory of Positron Annihilation in Helium-Filled Bubbles in Plutonium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sterne, P A; Pask, J E

    2003-02-13

    Positron annihilation lifetime spectroscopy is a sensitive probe of vacancies and voids in materials. This non-destructive measurement technique can identify the presence of specific defects in materials at the part-per-million level. Recent experiments by Asoka-Kumar et al. have identified two lifetime components in aged plutonium samples--a dominant lifetime component of around 182 ps and a longer lifetime component of around 350-400ps. This second component appears to increase with the age of the sample, and accounts for only about 5 percent of the total intensity in 35 year-old plutonium samples. First-principles calculations of positron lifetimes are now used extensively to guidemore » the interpretation of positron lifetime data. At Livermore, we have developed a first-principles finite-element-based method for calculating positron lifetimes for defects in metals. This method is capable of treating system cell sizes of several thousand atoms, allowing us to model defects in plutonium ranging in size from a mono-vacancy to helium-filled bubbles of over 1 nm in diameter. In order to identify the defects that account for the observed lifetime values, we have performed positron lifetime calculations for a set of vacancies, vacancy clusters, and helium-filled vacancy clusters in delta-plutonium. The calculations produced values of 143ps for defect-free delta-Pu and 255ps for a mono-vacancy in Pu, both of which are inconsistent with the dominant experimental lifetime component of 182ps. Larger vacancy clusters have even longer lifetimes. The observed positron lifetime is significantly shorter than the calculated lifetimes for mono-vacancies and larger vacancy clusters, indicating that open vacancy clusters are not the dominant defect in the aged plutonium samples. When helium atoms are introduced into the vacancy cluster, the positron lifetime is reduced due to the increased density of electrons available for annihilation. For a mono-vacancy in Pu containing one

  8. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  9. Micro-engineered first wall tungsten armor for high average power laser fusion energy systems

    NASA Astrophysics Data System (ADS)

    Sharafat, Shahram; Ghoniem, Nasr M.; Anderson, Michael; Williams, Brian; Blanchard, Jake; Snead, Lance; HAPL Team

    2005-12-01

    The high average power laser program is developing an inertial fusion energy demonstration power reactor with a solid first wall chamber. The first wall (FW) will be subject to high energy density radiation and high doses of high energy helium implantation. Tungsten has been identified as the candidate material for a FW armor. The fundamental concern is long term thermo-mechanical survivability of the armor against the effects of high temperature pulsed operation and exfoliation due to the retention of implanted helium. Even if a solid tungsten armor coating would survive the high temperature cyclic operation with minimal failure, the high helium implantation and retention would result in unacceptable material loss rates. Micro-engineered materials, such as castellated structures, plasma sprayed nano-porous coatings and refractory foams are suggested as a first wall armor material to address these fundamental concerns. A micro-engineered FW armor would have to be designed with specific geometric features that tolerate high cyclic heating loads and recycle most of the implanted helium without any significant failure. Micro-engineered materials are briefly reviewed. In particular, plasma-sprayed nano-porous tungsten and tungsten foams are assessed for their potential to accommodate inertial fusion specific loads. Tests show that nano-porous plasma spray coatings can be manufactured with high permeability to helium gas, while retaining relatively high thermal conductivities. Tungsten foams where shown to be able to overcome thermo-mechanical loads by cell rotation and deformation. Helium implantation tests have shown, that pulsed implantation and heating releases significant levels of implanted helium. Helium implantation and release from tungsten was modeled using an expanded kinetic rate theory, to include the effects of pulsed implantations and thermal cycles. Although, significant challenges remain micro-engineered materials are shown to constitute potential

  10. Limited Quantum Helium Transportation through Nano-channels by Quantum Fluctuation

    PubMed Central

    Ohba, Tomonori

    2016-01-01

    Helium at low temperatures has unique quantum properties such as superfluidity, which causes it to behave differently from a classical fluid. Despite our deep understanding of quantum mechanics, there are many open questions concerning the properties of quantum fluids in nanoscale systems. Herein, the quantum behavior of helium transportation through one-dimensional nanopores was evaluated by measuring the adsorption of quantum helium in the nanopores of single-walled carbon nanohorns and AlPO4-5 at 2–5 K. Quantum helium was transported unimpeded through nanopores larger than 0.7 nm in diameter, whereas quantum helium transportation was significantly restricted through 0.4-nm and 0.6-nm nanopores. Conversely, nitrogen molecules diffused through the 0.4-nm nanopores at 77 K. Therefore, quantum helium behaved as a fluid comprising atoms larger than 0.4–0.6 nm. This phenomenon was remarkable, considering that helium is the smallest existing element with a (classical) size of approximately 0.27 nm. This finding revealed the presence of significant quantum fluctuations. Quantum fluctuation determined the behaviors of quantum flux and is essential to understanding unique quantum behaviors in nanoscale systems. PMID:27363671

  11. Preparation of orally disintegrating tablets with taste-masking function: masking effect in granules prepared with correctives using the dry granulation method and evaluation of tablets prepared using the taste-masked granules.

    PubMed

    Kawano, Yayoi; Ito, Akihiko; Sasatsu, Masanaho; Machida, Yoshiharu

    2010-01-01

    We investigated several methods of taste masking in the preparation of orally disintegrating tablets (ODTs), using furosemide (FU) as a model drug. Four types of FU preparations were prepared: granules with maltitol (MA), granules with yogurt powder (YO), a physical mixture of FU and MA, and a physical mixture of FU and YO. All taste-masking granules were prepared using the dry granulation method. The taste of each type of preparation was evaluated. All four preparations markedly improved the taste of the FU tablets, but the mixing ratios of the correctives did not affect the masking effect. No difference in masking effect was found between MA and YO in the physical mixtures, but the masking effect in the granules with YO was superior to that of the granules with MA. Taste-masked FU tablets were prepared using the direct compression method; crystalline cellulose (Avicel PH-302) and mannitol were added as excipients at the mixing ratio of 1/1. All four types of tablets displayed sufficient hardness, but MA-containing tablets were harder than YO-containing tablets. The hardness of the tablets prepared from YO granules increased as the YO content increased. The most rapidly disintegrating tablets were those of YO granules prepared at a mixing ratio of FU/YO=1/1, which disintegrated within 20 s, followed by the tablets of MA granules prepared at a mixing ratio of FU/MA=1/1. The disintegration times of the tablets made from physical mixtures, in contrast, were longer than 200 s. Disintegration time lengthened as the mixing ratio of YO or MA increased. The hardness and disintegration time of these tablets could be controlled by varying the compression pressure. We found that YO is more useful than MA in masking unpleasant tastes and confirmed that orally disintegrating tablets with taste-masking function can be prepared using granules of YO prepared using the dry granulation method as a new corrective.

  12. Masking of infrared neural stimulation (INS) in hearing and deaf guinea pigs

    NASA Astrophysics Data System (ADS)

    Kadakia, Sama; Young, Hunter; Richter, Claus-Peter

    2013-03-01

    Spatial selective infrared neural stimulation has potential to improve neural prostheses, including cochlear implants. The heating of a confined target volume depolarizes the cell membrane and results in an action potential. Tissue heating may also results in thermal damage or the generation of a stress relaxation wave. Stress relaxation waves may result in a direct mechanical stimulation of remaining hair cells in the cochlea, so called optophony. Data are presented that quantify the effect of an acoustical stimulus (noise masker) on the response obtained with INS in normal hearing, acutely deafened, and chronic deaf animals. While in normal hearing animals an acoustic masker can reduce the response to INS, in acutely deafened animals the masking effect is reduced, and in chronic deaf animals this effect has not been detected. The responses to INS remain stable following the different degrees of cochlear damage.

  13. Resource letter SH-1: superfluid helium

    NASA Astrophysics Data System (ADS)

    Hallock, Robert B.

    1982-03-01

    The resource letter covers the general subject of superfluid helium and treats 3He and 3He-4He mixtures as well as 4He. No effort has been made to include the fascinating experiments on either solid helium or the equally fascinating work on adsorbed helium where the helium coverage is below that necessary for superfluidity. An earlier resource letter by C. T. Lane [Am. J. Phys. 35, 367 (1967)] may be consulted for additional comments on some of the cited earlier manuscripts, but the present work is self-contained and may be used independently. Many high-quality research reports have not been cited here. Rather, the author has tried in most cases to include works particularly readable or relevant. There is a relatively heavy emphasis on experimental references. The primary reason is that these works tend to be more generally readable. No doubt some works that might have been included, have not, and for this the author takes responsibility with apology. Articles selected for incorporation in a reprint volume (to be published separately by the American Association of Physics Teachers) are marked with an asterisk(*). Following each referenced work the general level of difficulty is indicated by E, I, or A for elementary, intermediate, or advanced.

  14. Counteracting Power Analysis Attacks by Masking

    NASA Astrophysics Data System (ADS)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  15. Pattern masking: the importance of remote spatial frequencies and their phase alignment.

    PubMed

    Huang, Pi-Chun; Maehara, Goro; May, Keith A; Hess, Robert F

    2012-02-16

    To assess the effects of spatial frequency and phase alignment of mask components in pattern masking, target threshold vs. mask contrast (TvC) functions for a sine-wave grating (S) target were measured for five types of mask: a sine-wave grating (S), a square-wave grating (Q), a missing fundamental square-wave grating (M), harmonic complexes consisting of phase-scrambled harmonics of a square wave (Qp), and harmonic complexes consisting of phase-scrambled harmonics of a missing fundamental square wave (Mp). Target and masks had the same fundamental frequency (0.46 cpd) and the target was added in phase with the fundamental frequency component of the mask. Under monocular viewing conditions, the strength of masking depends on phase relationships among mask spatial frequencies far removed from that of the target, at least 3 times the target frequency, only when there are common target and mask spatial frequencies. Under dichoptic viewing conditions, S and Q masks produced similar masking to each other and the phase-scrambled masks (Qp and Mp) produced less masking. The results suggest that pattern masking is spatial frequency broadband in nature and sensitive to the phase alignments of spatial components.

  16. Differential effect of visual masking in perceptual categorization.

    PubMed

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  17. Propagation of resist heating mask error to wafer level

    NASA Astrophysics Data System (ADS)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  18. Non-invasive primate head restraint using thermoplastic masks.

    PubMed

    Drucker, Caroline B; Carlson, Monica L; Toda, Koji; DeWind, Nicholas K; Platt, Michael L

    2015-09-30

    The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. Here we report the use of thermoplastic masks to non-invasively restrain monkeys' heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey's head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Non-invasive primate head restraint using thermoplastic masks

    PubMed Central

    Drucker, Caroline B.; Carlson, Monica L.; Toda, Koji; DeWind, Nicholas K.; Platt, Michael L.

    2015-01-01

    Background The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. New Method Here we report the use of thermoplastic masks to non-invasively restrain monkeys’ heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey’s head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. Results We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Comparison with Existing Method Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. Conclusions We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. PMID:26112334

  20. Effect of carbon and alloying solute atoms on helium behaviors in α-Fe

    NASA Astrophysics Data System (ADS)

    Zhang, Yange; You, Yu-Wei; Xu, Yichun; Liu, C. S.; Chen, J. L.; Luo, G.-N.

    2017-02-01

    Helium bubbles could strongly degrade the mechanical properties of ferritic steels in fission and fusion systems. The formation of helium bubble is directly affected by the interactions between helium and the compositions in steels, such as solute atoms, carbon and irradiation defects. We thereby performed systematical first-principles calculations to investigate the interactions of solute-helium and carbon-solute-helium. It is found that substitutional helium is more attractive than interstitial helium to all the considered 3p, 4p, 5p and 6p solutes. The attraction between carbon and substitutional helium suggests the carbon-solute-helium complex can be formed stably. By examining the charge density difference and thermal stability, it is found that the ternary complex shows stronger attraction with He than that of solute-helium pair for some solutes (S, Se, In, Te, Pb and Bi) and the complex could existed in iron stably at 700 K. The present theoretical results may be helpful for exploring alloy additions to mitigate the formation of large helium bubbles.

  1. A conceptual approach to the masking effect of measures of disproportionality.

    PubMed

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  2. Influence of auditory fatigue on masked speech intelligibility

    NASA Technical Reports Server (NTRS)

    Parker, D. E.; Martens, W. L.; Johnston, P. A.

    1980-01-01

    Intelligibility of PB word lists embedded in simultaneous masking noise was evaluated before and after fatiguing-noise exposure, which was determined by observing the number of words correctly repeated during a shadowing task. Both the speech signal and the masking noise were filtered to a 2825-3185-Hz band. Masking-noise leves were varied from 0- to 90-dB SL. Fatigue was produced by a 1500-3000-Hz octave band of noise at 115 dB (re 20 micron-Pa) presented continuously for 5 min. The results of three experiments indicated that speed intelligibility was reduced when the speech was presented against a background of silence but that the fatiguing-noise exposure had no effect on intelligibility when the speech was made more intense and embedded in masking noise of 40-90-dB SL. These observations are interpreted by considering the recruitment produced by fatigue and masking noise.

  3. Theoretical model of the helium zone plate microscope

    NASA Astrophysics Data System (ADS)

    Salvador Palau, Adrià; Bracco, Gianangelo; Holst, Bodil

    2017-01-01

    Neutral helium microscopy is a new technique currently under development. Its advantages are the low energy, charge neutrality, and inertness of the helium atoms, a potential large depth of field, and the fact that at thermal energies the helium atoms do not penetrate into any solid material. This opens the possibility, among others, for the creation of an instrument that can measure surface topology on the nanoscale, even on surfaces with high aspect ratios. One of the most promising designs for helium microscopy is the zone plate microscope. It consists of a supersonic expansion helium beam collimated by an aperture (skimmer) focused by a Fresnel zone plate onto a sample. The resolution is determined by the focal spot size, which depends on the size of the skimmer, the optics of the system, and the velocity spread of the beam through the chromatic aberrations of the zone plate. An important factor for the optics of the zone plate is the width of the outermost zone, corresponding to the smallest opening in the zone plate. The width of the outermost zone is fabrication limited to around 10 nm with present-day state-of-the-art technology. Due to the high ionization potential of neutral helium atoms, it is difficult to build efficient helium detectors. Therefore, it is crucial to optimize the microscope design to maximize the intensity for a given resolution and width of the outermost zone. Here we present an optimization model for the helium zone plate microscope. Assuming constant resolution and width of the outermost zone, we are able to reduce the problem to a two-variable problem (zone plate radius and object distance) and we show that for a given beam temperature and pressure, there is always a single intensity maximum. We compare our model with the highest-resolution zone plate focusing images published and show that the intensity can be increased seven times. Reducing the width of the outermost zone to 10 nm leads to an increase in intensity of more than 8000

  4. New method of 2-dimensional metrology using mask contouring

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  5. Face mask use and control of respiratory virus transmission in households.

    PubMed

    MacIntyre, C Raina; Cauchemez, Simon; Dwyer, Dominic E; Seale, Holly; Cheung, Pamela; Browne, Gary; Fasher, Michael; Wood, James; Gao, Zhanhai; Booy, Robert; Ferguson, Neil

    2009-02-01

    Many countries are stockpiling face masks for use as a nonpharmaceutical intervention to control virus transmission during an influenza pandemic. We conducted a prospective cluster-randomized trial comparing surgical masks, non-fit-tested P2 masks, and no masks in prevention of influenza-like illness (ILI) in households. Mask use adherence was self-reported. During the 2006 and 2007 winter seasons, 286 exposed adults from 143 households who had been exposed to a child with clinical respiratory illness were recruited. We found that adherence to mask use significantly reduced the risk for ILI-associated infection, but <50% of participants wore masks most of the time. We concluded that household use of face masks is associated with low adherence and is ineffective for controlling seasonal respiratory disease. However, during a severe pandemic when use of face masks might be greater, pandemic transmission in households could be reduced.

  6. Active mask segmentation of fluorescence microscope images.

    PubMed

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  7. Germanium resistance thermometer calibration at superfluid helium temperatures

    NASA Technical Reports Server (NTRS)

    Mason, F. C.

    1985-01-01

    The rapid increase in resistance of high purity semi-conducting germanium with decreasing temperature in the superfluid helium range of temperatures makes this material highly adaptable as a very sensitive thermometer. Also, a germanium thermometer exhibits a highly reproducible resistance versus temperature characteristic curve upon cycling between liquid helium temperatures and room temperature. These two factors combine to make germanium thermometers ideally suited for measuring temperatures in many cryogenic studies at superfluid helium temperatures. One disadvantage, however, is the relatively high cost of calibrated germanium thermometers. In space helium cryogenic systems, many such thermometers are often required, leading to a high cost for calibrated thermometers. The construction of a thermometer calibration cryostat and probe which will allow for calibrating six germanium thermometers at one time, thus effecting substantial savings in the purchase of thermometers is considered.

  8. Performance of an efficient Helium Circulation System on a MEG

    NASA Astrophysics Data System (ADS)

    Takeda, T.; Okamoto, M.; Atsuda, K.; Katagiri, K.

    2009-02-01

    We report a Helium Circulation System (HCS) that re-liquefies all the evaporating helium gas, consumes far less power and has extremely lower magnetic noise compared with conventional systems. It collects warm helium gas about 300 K, cools it to about 40K and returns it to the neck tube of the Dewar to keep it cold. It also collects helium gas just above the liquid helium surface while it is still cold, re-liquefies and returns it to the Dewar. A special transfer tube (TT) about 2 m length with 7 multi-concentric pipes was developed to allow the dual helium streams. It separates the HCS with a MEG to reduce magnetic noise. A refiner to collect the contaminating gases such as oxygen and nitrogen effectively by freezing the gases is developed. It has an electric heater to remove the frozen contamination in the form of gases into the air. A gas flow controller is also developed, which automatically control the heater to cleanup the contamination. The developed TT has very low heat inflow less than 0.1W/m to the liquid helium ensuring the efficient operation. The HCS can re-liquefy up to 35.5 1/D of liquid helium from the evaporated helium gas using two 1.5W@4.2K GM cryocoolers (SRDK-415D, Sumitomo Heavy Industries, Ltd.). It has been confirmed that the HCS could be used with the real MEG system without any noise problem for over one year. The maintenance cost (electricity charges and cryocoolers maintenance fee) of the MEG has reduced to be less than 1/10 of the previous cost.

  9. Dead space variability of face masks for valved holding chambers.

    PubMed

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  10. Helium recovery at the National High Magnetic Field Laboratory

    NASA Astrophysics Data System (ADS)

    Barrios, M.; Kynoch, J.

    2015-12-01

    Helium conservation is becoming increasingly important as helium availability is on the decline and prices are on the rise. The Florida State University National High Magnetic Field Laboratory has taken several steps over the past five years to increase the percentage of helium recovered. These include the installation of a standalone purifier, recovery flow meters, contamination meters, and a new piping system. The improvements to the recovery system have reduced the amount of helium purchased by the Mag Lab by 60% while helium usage has increased by roughly 40%. This article will provide details about the recovery system as a whole and describe some of the main components. There will also be some examples of the problems we've had to overcome, and some that we are still working on. Finally, there will be an update on the current status of the recovery system and a description of our plans for the future.

  11. A novel anti-influenza copper oxide containing respiratory face mask.

    PubMed

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10)TCID(50)), while 4.67+/-1.35 log(10)TCID(50) were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10)TCID(50) and from the control masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk

  12. Survey of natural helium occurrences

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hinnah, D.W.; Hamak, J.E.

    1993-01-01

    Since 1917, gas samples from oil and gas wells and natural gas pipelines throughout the United States and other countries worldwide have been collected by the USBM in a continuing search for helium occurrences. Analyses of 15,583 of the samples, which were collected from 40 States and 26 foreign countries, are available from the USBM. The USBM is charged with the responsibility of ensuring a continuing supply of helium to meet essential Government needs, and this survey of the world's natural gas fields is made in connection with this responsibility. Most of these analyses have been published in USBM publications.more » The first of this series of publications was Bulletin 486 and was followed by two more bulletins. These three publications contained data on analyses of 5,218 gas samples collected from the beginning of the survey through 1960. Data on gas analyses since 1961 have been published on an annual basis, and 35 Information Circulars have presented the analyses of 10,365 gas samples collected through 1991. These analyses are also available on magnetic tape and 3.5-inch diskettes from the National Technical Information Service. The helium survey program is conducted by soliciting natural gas samples throughout the United States and from other countries with free market economies. Without the assistance of the oil and gas industry, State and National agencies, and many individuals engaged in oil and gas exploration and production, the present scope of the helium survey would have been impossible. 39 refs., 3 tabs.« less

  13. Do Plant-Bound Masked Mycotoxins Contribute to Toxicity?

    PubMed Central

    Gratz, Silvia W.

    2017-01-01

    Masked mycotoxins are plant metabolites of mycotoxins which co-contaminate common cereal crops. Since their discovery, the question has arisen if they contribute to toxicity either directly or indirectly through the release of the parent mycotoxins. Research in this field is rapidly emerging and the aim of this review is to summarize the latest knowledge on the fate of masked mycotoxins upon ingestion. Fusarium mycotoxins are the most prevalent masked mycotoxins and evidence is mounting that DON3Glc and possibly other masked trichothecenes are stable in conditions prevailing in the upper gut and are not absorbed intact. DON3Glc is also not toxic per se, but is hydrolyzed by colonic microbes and further metabolized to DOM-1 in some individuals. Masked zearalenone is rather more bio-reactive with some evidence on gastric and small intestinal hydrolysis as well as hydrolysis by intestinal epithelium and components of blood. Microbial hydrolysis of ZEN14Glc is almost instantaneous and further metabolism also occurs. Identification of zearalenone metabolites and their fate in the colon are still missing as is further clarification on whether or not masked zearalenone is hydrolyzed by mammalian cells. New masked mycotoxins continuously emerge and it is crucial that we gain detailed understanding of their individual metabolic fate in the body before we can assess synergistic effects and extrapolate the additive risk of all mycotoxins present in food. PMID:28264486

  14. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  15. CD-measurement technique for hole patterns on stencil mask

    NASA Astrophysics Data System (ADS)

    Ishikawa, Mikio; Yusa, Satoshi; Takikawa, Tadahiko; Fujita, Hiroshi; Sano, Hisatake; Hoga, Morihisa; Hayashi, Naoya

    2004-12-01

    EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.

  16. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  17. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    PubMed

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  18. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  19. Helium Speech: An Application of Standing Waves

    ERIC Educational Resources Information Center

    Wentworth, Christopher D.

    2011-01-01

    Taking a breath of helium gas and then speaking or singing to the class is a favorite demonstration for an introductory physics course, as it usually elicits appreciative laughter, which serves to energize the class session. Students will usually report that the helium speech "raises the frequency" of the voice. A more accurate description of the…

  20. Does "Darkness" Lead to "Happiness"? Masked Suffix Priming Effects

    ERIC Educational Resources Information Center

    Dunabeitia, Jon Andoni; Perea, Manuel; Carreiras, Manuel

    2008-01-01

    Masked affix priming effects have usually been obtained for words sharing the initial affix (e.g., "reaction"-"REFORM"). However, prior evidence on masked suffix priming effects (e.g., "baker"-"WALKER") is inconclusive. In the present series of masked priming lexical decision experiments, a target word was…