Sample records for metal-dielectric-semiconductor germanium nanowires

  1. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  2. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  3. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  4. Geometrical and band-structure effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Mori, S.; Morioka, N.

    2014-12-21

    We calculated the phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires, and the hole transport characteristics were investigated. A tight-binding approximation was used for holes, and phonons were described by a valence force field model. Then, scattering probability of holes by phonons was calculated taking account of hole-phonon interaction atomistically, and the linearized Boltzmann's transport equation was solved to calculate the hole mobility at low longitudinal field. The dependence of the hole mobility on nanowire geometry was analyzed in terms of the valence band structure of germanium nanowires, and it was found that the dependencemore » was qualitatively reproduced by considering an average effective mass and the density of states of holes. The calculation revealed that [110] germanium nanowires with large height along the [001] direction show high hole mobility. Germanium nanowires with this geometry are also expected to exhibit high electron mobility in our previous work, and thus they are promising for complementary metal-oxide-semiconductor (CMOS) applications.« less

  5. Lithium effects on the mechanical and electronic properties of germanium nanowires

    NASA Astrophysics Data System (ADS)

    González-Macías, A.; Salazar, F.; Miranda, A.; Trejo-Baños, A.; Pérez, L. A.; Carvajal, E.; Cruz-Irisson, M.

    2018-04-01

    Semiconductor nanowire arrays promise rapid development of a new generation of lithium (Li) batteries because they can store more Li atoms than conventional crystals due to their large surface areas. During the charge-discharge process, the electrodes experience internal stresses that fatigue the material and limit the useful life of the battery. The theoretical study of electronic and mechanical properties of lithiated nanowire arrays allows the designing of electrode materials that could improve battery performance. In this work, we present a density functional theory study of the electronic band structure, formation energy, binding energy, and Young’s modulus (Y) of hydrogen passivated germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic directions with surface and interstitial Li atoms. The results show that the germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting behavior but their energy gap size decreases when the Li concentration grows. In contrast, the GeNWs can have semiconductor or metallic behavior depending on the concentration of the interstitial Li atoms. On the other hand, Y is an indicator of the structural changes that GeNWs suffer due to the concentration of Li atoms. For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms, the Y values indicate important structural changes in the GeNWs.

  6. MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection

    DTIC Science & Technology

    2012-09-01

    MSM) photodectors fabricated using black silicon-germanium on silicon substrate (Si1–xGex//Si) for I-V, optical response, external quantum ...material for Si for many applications in low-power and high-speed semiconductor device technologies (4, 5). It is a promising material for quantum well ...MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection by Fred

  7. Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates.

    PubMed

    Wang, Yipei; Ma, Yaoguang; Guo, Xin; Tong, Limin

    2012-08-13

    Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates are investigated using a finite-element method. Au and Ag are selected as plasmonic materials for nanowire waveguides with diameters down to 5-nm-level. Typical dielectric materials with relatively low to high refractive indices, including magnesium fluoride (MgF2), silica (SiO2), indium tin oxide (ITO) and titanium dioxide (TiO2), are used as supporting substrates. Basic waveguiding properties, including propagation constants, power distributions, effective mode areas, propagation distances and losses are obtained at the typical plasmonic resonance wavelength of 660 nm. Compared to that of a freestanding nanowire, the mode area of a substrate-supported nanowire could be much smaller while maintaining an acceptable propagation length. For example, the mode area and propagation length of a 100-nm-diameter Ag nanowire with a MgF2 substrate are about 0.004 μm2 and 3.4 μm, respectively. The dependences of waveguiding properties on geometric and material parameters of the nanowire-substrate system are also provided. Our results may provide valuable references for waveguiding dielectric-supported metal nanowires for practical applications.

  8. Near-Field Imaging of Free Carriers in ZnO Nanowires with a Scanning Probe Tip Made of Heavily Doped Germanium

    NASA Astrophysics Data System (ADS)

    Sakat, Emilie; Giliberti, Valeria; Bollani, Monica; Notargiacomo, Andrea; Pea, Marialilia; Finazzi, Marco; Pellegrini, Giovanni; Hugonin, Jean-Paul; Weber-Bargioni, Alexander; Melli, Mauro; Sassolini, Simone; Cabrini, Stefano; Biagioni, Paolo; Ortolani, Michele; Baldassarre, Leonetta

    2017-11-01

    A novel scanning probe tip made of heavily doped semiconductor is fabricated and used instead of standard gold-coated tips in infrared scattering-type near-field microscopy. Midinfrared near-field microscopy experiments are conducted on ZnO nanowires with a lateral resolution better than 100 nm, using tips made of heavily electron-doped germanium with a plasma frequency in the midinfrared (plasma wavelength of 9.5 μ m ). Nanowires embedded in a dielectric matrix are imaged at two wavelengths, 11.3 and 8.0 μ m , above and below the plasma wavelength of the tips. An opposite sign of the imaging contrasts between the nanowire and the dielectric matrix is observed at the two infrared wavelengths, indicating a clear role of the free-electron plasma in the heavily doped germanium tip in building the imaging contrast. Electromagnetic simulations with a multispherical dipole model accounting for the finite size of the tip are well consistent with the experiments. By comparison of the simulated and measured imaging contrasts, an estimate for the local free-carrier density in the investigated ZnO nanowires in the low 1019 cm-3 range is retrieved. The results are benchmarked against the scattering intensity and phase maps obtained on the same sample with a gold-coated probe tip in pseudoheterodyne detection mode.

  9. Dielectric behavior of semiconductors at microwave frequencies

    NASA Technical Reports Server (NTRS)

    Dahiya, Jai N.

    1992-01-01

    A cylindrical microwave resonant cavity in TE(011) (Transverse Electric) mode is used to study the dielectric relaxation in germanium and silicon. The samples of these semiconductors are used to perturb the electric field in the cavity, and Slater's perturbation equations are used to calculate the real and imaginary parts of the dielectric constant. The dielectric loss of germanium and silicon is studied at different temperatures, and Debye's equations are used to calculate the relaxation time at these temperatures.

  10. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  11. Electronic transport with dielectric confinement in degenerate InN nanowires.

    PubMed

    Blömers, Ch; Lu, J G; Huang, L; Witte, C; Grützmacher, D; Lüth, H; Schäpers, Th

    2012-06-13

    In this Letter, we present the size effects on charge conduction in InN nanowires by comprehensive transport studies supported by theoretical analysis. A consistent model for highly degenerate narrow gap semiconductor nanowires is developed. In contrast to common knowledge of InN, there is no evidence of an enhanced surface conduction, however, high intrinsic doping exists. Furthermore, the room-temperature resistivity exhibits a strong increase when the lateral size becomes smaller than 80 nm and the temperature dependence changes from metallic to semiconductor-like. This effect is modeled by donor deactivation due to dielectric confinement, yielding a shift of the donor band to higher ionization energies as the size shrinks.

  12. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  13. Fabrication and characterization of a germanium nanowire light emitting diode

    NASA Astrophysics Data System (ADS)

    Greil, Johannes; Bertagnolli, Emmerich; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Lugstein, Alois

    2017-12-01

    In this letter, we demonstrate the feasibility of a germanium nanowire light emitting diode as a reasonable approach for downscaling of CMOS compatible light sources. We show room-temperature direct bandgap electroluminescence from axial p-n junction nanowire devices. The electron population in the Γ valley, necessary for direct bandgap emission, is achieved by high injection current densities. Carrier temperature is consistently found to be higher than the lattice temperature, indicating inhibited carrier cooling in small diameter wires. Strong polarization of the emission parallel to the nanowire axis is observed and attributed to dielectric contrast phenomena.

  14. Temperature effects in contacts between a metal and a semiconductor nanowire near the degenerate doping

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting; Burgess, Tim; Tan, H. H.; Jagadish, Chennupati; Kogan, Andrei

    2018-04-01

    We have investigated the nonlinear conductance in diffusion-doped Si:GaAs nanowires contacted by patterned metal films in a wide range of temperatures T. The wire resistance R W and the zero bias resistance R C, dominated by the contacts, exhibit very different responses to temperature changes. While R W shows almost no dependence on T, R C varies by several orders of magnitude as the devices are cooled from room temperature to T = 5 K. We develop a model that employs a sharp donor level very low in the GaAs conduction band and show that our observations are consistent with the model predictions. We then demonstrate that such measurements can be used to estimate carrier properties in nanostructured semiconductors and obtain an estimate for N D, the doping density in our samples. We also discuss the effects of surface states and dielectric confinement on carrier density in semiconductor nanowires.

  15. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    NASA Astrophysics Data System (ADS)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  16. Tailoring the Spectroscopic Properties of Semiconductor Nanowires via Surface-Plasmon-Based Optical Engineering

    PubMed Central

    2014-01-01

    Semiconductor nanowires, due to their unique electronic, optical, and chemical properties, are firmly placed at the forefront of nanotechnology research. The rich physics of semiconductor nanowire optics arises due to the enhanced light–matter interactions at the nanoscale and coupling of optical modes to electronic resonances. Furthermore, confinement of light can be taken to new extremes via coupling to the surface plasmon modes of metal nanostructures integrated with nanowires, leading to interesting physical phenomena. This Perspective will examine how the optical properties of semiconductor nanowires can be altered via their integration with highly confined plasmonic nanocavities that have resulted in properties such as orders of magnitude faster and more efficient light emission and lasing. The use of plasmonic nanocavities for tailored optical absorption will also be discussed in order to understand and engineer fundamental optical properties of these hybrid systems along with their potential for novel applications, which may not be possible with purely dielectric cavities. PMID:25396030

  17. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  18. Parallel Nanoshaping of Brittle Semiconductor Nanowires for Strained Electronics.

    PubMed

    Hu, Yaowu; Li, Ji; Tian, Jifa; Xuan, Yi; Deng, Biwei; McNear, Kelly L; Lim, Daw Gen; Chen, Yong; Yang, Chen; Cheng, Gary J

    2016-12-14

    Semiconductor nanowires (SCNWs) provide a unique tunability of electro-optical property than their bulk counterparts (e.g., polycrystalline thin films) due to size effects. Nanoscale straining of SCNWs is desirable to enable new ways to tune the properties of SCNWs, such as electronic transport, band structure, and quantum properties. However, there are two bottlenecks to prevent the real applications of straining engineering of SCNWs: strainability and scalability. Unlike metallic nanowires which are highly flexible and mechanically robust for parallel shaping, SCNWs are brittle in nature and could easily break at strains slightly higher than their elastic limits. In addition, the ability to generate nanoshaping in large scale is limited with the current technologies, such as the straining of nanowires with sophisticated manipulators, nanocombing NWs with U-shaped trenches, or buckling NWs with prestretched elastic substrates, which are incompatible with semiconductor technology. Here we present a top-down fabrication methodology to achieve large scale nanoshaping of SCNWs in parallel with tunable elastic strains. This method utilizes nanosecond pulsed laser to generate shock pressure and conformably deform the SCNWs onto 3D-nanostructured silicon substrates in a scalable and ultrafast manner. A polymer dielectric nanolayer is integrated in the process for cushioning the high strain-rate deformation, suppressing the generation of dislocations or cracks, and providing self-preserving mechanism for elastic strain storage in SCNWs. The elastic strain limits have been studied as functions of laser intensity, dimensions of nanowires, and the geometry of nanomolds. As a result of 3D straining, the inhomogeneous elastic strains in GeNWs result in notable Raman peak shifts and broadening, which bring more tunability of the electrical-optical property in SCNWs than traditional strain engineering. We have achieved the first 3D nanostraining enhanced germanium field

  19. Direct measurement of AC electrokinetics properties and capture frequencies of silicon and silicon-germanium nanowires

    NASA Astrophysics Data System (ADS)

    Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.

    2018-01-01

    The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.

  20. Broadband telecom transparency of semiconductor-coated metal nanowires: more transparent than glass.

    PubMed

    Paniagua-Domínguez, R; Abujetas, D R; Froufe-Pérez, L S; Sáenz, J J; Sánchez-Gil, J A

    2013-09-23

    Metallic nanowires (NW) coated with a high permittivity dielectric are proposed as means to strongly reduce the light scattering of the conducting NW, rendering them transparent at infrared wavelengths of interest in telecommunications. Based on a simple, universal law derived from electrostatics arguments, we find appropriate parameters to reduce the scattering efficiency of hybrid metal-dielectric NW by up to three orders of magnitude as compared with the scattering efficiency of the homogeneous metallic NW. We show that metal@dielectric structures are much more robust against fabrication imperfections than analogous dielectric@metal ones. The bandwidth of the transparent region entirely covers the near IR telecommunications range. Although this effect is optimum at normal incidence and for a given polarization, rigorous theoretical and numerical calculations reveal that transparency is robust against changes in polarization and angle of incidence, and also holds for relatively dense periodic or random arrangements. A wealth of applications based on metal-NWs may benefit from such invisibility.

  1. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  2. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  3. Rectification and Photoconduction Mapping of Axial Metal-Semiconductor Interfaces Embedded in GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, Marta; Piazza, Vincenzo; Rubini, Silvia; Roddaro, Stefano

    2015-10-01

    Semiconductor nanowires have emerged as an important enabling technology and are today used in many advanced device architectures, with an impact both for what concerns fundamental science and in view of future applications. One of the key challenges in the development of nanowire-based devices is the fabrication of reliable nanoscale contacts. Recent developments in the creation of metal-semiconductor junctions by thermal annealing of metallic electrodes offer promising perspectives. Here, we analyze the optoelectronic properties of nano-Schottky barriers obtained thanks to the controlled formation of metallic AuGa regions in GaAs nanowire. The junctions display a rectifying behavior and their transport characteristics are analyzed to extract the average ideality factor and barrier height in the current architecture. The presence, location, and properties of the Schottky junctions are cross-correlated with spatially resolved photocurrent measurements. Broadband light emission is reported in the reverse breakdown regime; this observation, combined with the absence of electroluminescence at forward bias, is consistent with the device unipolar nature.

  4. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  5. Enabling Energy Efficiency and Polarity Control in Germanium Nanowire Transistors by Individually Gated Nanojunctions.

    PubMed

    Trommer, Jens; Heinzig, André; Mühle, Uwe; Löffler, Markus; Winzer, Annett; Jordan, Paul M; Beister, Jürgen; Baldauf, Tim; Geidel, Marion; Adolphi, Barbara; Zschech, Ehrenfried; Mikolajick, Thomas; Weber, Walter M

    2017-02-28

    Germanium is a promising material for future very large scale integration transistors, due to its superior hole mobility. However, germanium-based devices typically suffer from high reverse junction leakage due to the low band-gap energy of 0.66 eV and therefore are characterized by high static power dissipation. In this paper, we experimentally demonstrate a solution to suppress the off-state leakage in germanium nanowire Schottky barrier transistors. Thereto, a device layout with two independent gates is used to induce an additional energy barrier to the channel that blocks the undesired carrier type. In addition, the polarity of the same doping-free device can be dynamically switched between p- and n-type. The shown germanium nanowire approach is able to outperform previous polarity-controllable device concepts on other material systems in terms of threshold voltages and normalized on-currents. The dielectric and Schottky barrier interface properties of the device are analyzed in detail. Finite-element drift-diffusion simulations reveal that both leakage current suppression and polarity control can also be achieved at highly scaled geometries, providing solutions for future energy-efficient systems.

  6. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  7. Monolithically Integrated Metal/Semiconductor Tunnel Junction Nanowire Light-Emitting Diodes.

    PubMed

    Sadaf, S M; Ra, Y H; Szkopek, T; Mi, Z

    2016-02-10

    We have demonstrated for the first time an n(++)-GaN/Al/p(++)-GaN backward diode, wherein an epitaxial Al layer serves as the tunnel junction. The resulting p-contact free InGaN/GaN nanowire light-emitting diodes (LEDs) exhibited a low turn-on voltage (∼2.9 V), reduced resistance, and enhanced power, compared to nanowire LEDs without the use of Al tunnel junction or with the incorporation of an n(++)-GaN/p(++)-GaN tunnel junction. This unique Al tunnel junction overcomes some of the critical issues related to conventional GaN-based tunnel junction designs, including stress relaxation, wide depletion region, and light absorption, and holds tremendous promise for realizing low-resistivity, high-brightness III-nitride nanowire LEDs in the visible and deep ultraviolet spectral range. Moreover, the demonstration of monolithic integration of metal and semiconductor nanowire heterojunctions provides a seamless platform for realizing a broad range of multifunctional nanoscale electronic and photonic devices.

  8. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  9. Metal-dielectric-CNT nanowires for surface-enhanced Raman spectroscopy

    DOEpatents

    Bond, Tiziana C.; Altun, Ali; Park, Hyung Gyu

    2017-10-03

    A sensor with a substrate includes nanowires extending vertically from the substrate, a hafnia coating on the nanowires that provides hafnia coated nanowires, and a noble metal coating on the hafnia coated nanowires. The top of the hafnia and noble metal coated nanowires bent onto one another to create a canopy forest structure. There are numerous randomly arranged holes that let through scattered light. The many points of contact, hot spots, amplify signals. The methods include the steps of providing a Raman spectroscopy substrate, introducing nano crystals to the Raman spectroscopy substrate, growing a forest of nanowires from the nano crystals on the Raman spectroscopy substrate, coating the nanowires with hafnia providing hafnia coated nanowires, and coating the hafnia coated nanowires with a noble metal or other metal.

  10. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    NASA Astrophysics Data System (ADS)

    Martineau, F.; Namur, K.; Mallet, J.; Delavoie, F.; Endres, F.; Troyon, M.; Molinari, M.

    2009-11-01

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source or GeCl4 as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  11. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  12. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  13. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  14. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  15. Main regularities of SERS on semiconductors and dielectrics

    NASA Astrophysics Data System (ADS)

    Chelibanov, V. P.; Polubotko, A. M.

    2018-04-01

    The paper demonstrates that the reason of SERS on dielectrics and semiconductors is the enhancement of the electric field in the regions of the tops of the surface roughness with a very large positive curvature. The enhancement in many ways depends on the dielectric constant of the substrate and is stronger for a larger dielectric constant. The theoretical result points out that on dielectrics and semiconductors it is weaker than on metals. Experimentally it is demonstrated that there are forbidden lines on hydroquinone, adsorbed on TiO2 , which indicate on the existence of strong quadrupole light-molecule interaction in such systems.

  16. Design of Contact Electrodes for Semiconductor Nanowire Solar Energy Harvesting Devices.

    PubMed

    Lin, Tzuging; Ramadurgam, Sarath; Yang, Chen

    2017-04-12

    Transparent, low-resistive contacts are critical for efficient solar energy harvesting devices. It is important to reconsider the material choices and electrode design as devices move from 2D films to 1D nanostructures. In this paper, we study the effectiveness of indium tin oxide (ITO) and metals, such as Ag and Cu, as contacts in 2D and 1D systems. Although ITO has been studied extensively and developed into an effective transparent contact for 2D devices, our results show that effectiveness does not translate to 1D systems. Particularly with consideration of resistance requirement, nanowires with metal shells as contacts enable better absorption within the semiconductor as compared to ITO. Furthermore, there is a strong dependence of contact performance on the semiconductor band gap and diameter of nanowires. We found that metal contacts outperform ITO for nanowire devices, regardless of the sheet resistance constraint, in the regime of diameters less than 100 nm and band-gaps greater than 1 eV. These metal shells optimized for best absorption are significantly thinner than ITO, which enables for the design of devices with high nanowire number density and consequently higher device efficiencies.

  17. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    DOEpatents

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  18. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  19. Ballistic superconductivity in semiconductor nanowires.

    PubMed

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K; van Veen, Jasper; de Moor, Michiel W A; Bommer, Jouri D S; van Woerkom, David J; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P A M; Quintero-Pérez, Marina; Cassidy, Maja C; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P

    2017-07-06

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.

  20. Ballistic superconductivity in semiconductor nanowires

    PubMed Central

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  1. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  2. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  3. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  4. Fabrication of lateral electrodes on semiconductor nanowires through structurally matched insulation for functional optoelectronics.

    PubMed

    Sheng, Yun; Sun, Huabin; Wang, Jianyu; Gao, Fan; Wang, Junzhuan; Pan, Lijia; Pu, Lin; Zheng, Youdou; Shi, Yi

    2013-01-18

    A strategy of using structurally matched alumina insulation to produce lateral electrodes on semiconductor nanowires is presented. Nanowires in the architecture are structurally matched with alumina insulation using selective anodic oxidation. Lateral electrodes are fabricated by directly evaporating metallic atoms onto the opposite sides of the nanowires. The integrated architecture with lateral electrodes propels carriers to transport them across nanowires and is crucially beneficial to the injection/extraction in optoelectronics. The matched architecture and the insulating properties of the alumina layer are investigated experimentally. ZnO nanowires are functionalized into an ultraviolet photodiode as an example. The present strategy successfully implements an advantageous architecture and is significant in developing diverse semiconductor nanowires in optoelectronic applications.

  5. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  6. Strongly Enhanced THz Emission caused by Localized Surface Charges in Semiconducting Germanium Nanowires

    PubMed Central

    Lee, Woo-Jung; Ma, Jin Won; Bae, Jung Min; Jeong, Kwang-Sik; Cho, Mann-Ho; Kang, Chul; Wi, Jung-Sub

    2013-01-01

    A principal cause of THz emission in semiconductor nanostructures is deeply involved with geometry, which stimulates the utilization of indirect bandgap semiconductors for THz applications. To date, applications for optoelectronic devices, such as emitters and detectors, using THz radiation have focused only on direct bandgap materials. This paper reports the first observation of strongly enhanced THz emission from Germanium nanowires (Ge NWs). The origin of THz generation from Ge NWs can be interpreted using two terms: high photoexcited electron-hole carriers (Δn) and strong built-in electric field (Eb) at the wire surface based on the relation . The first is related to the extensive surface area needed to trigger an irradiated photon due to high aspect ratio. The second corresponds to the variation of Fermi-level determined by confined surface charges. Moreover, the carrier dynamics of optically excited electrons and holes give rise to phonon emission according to the THz region. PMID:23760467

  7. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1-xSnx nanowires

    NASA Astrophysics Data System (ADS)

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-04-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1-xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour-liquid-solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth.

  8. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1−xSnx nanowires

    PubMed Central

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-01-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1−xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour–liquid–solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth. PMID:27095012

  9. 1-D Metal Nanobead Arrays within Encapsulated Nanowires via a Red-Ox-Induced Dewetting: Mechanism Study by Atom-Probe Tomography.

    PubMed

    Sun, Zhiyuan; Tzaguy, Avra; Hazut, Ori; Lauhon, Lincoln J; Yerushalmi, Roie; Seidman, David N

    2017-12-13

    Metal nanoparticle arrays are excellent candidates for a variety of applications due to the versatility of their morphology and structure at the nanoscale. Bottom-up self-assembly of metal nanoparticles provides an important complementary alternative to the traditional top-down lithography method and makes it possible to assemble structures with higher-order complexity, for example, nanospheres, nanocubes, and core-shell nanostructures. Here we present a mechanism study of the self-assembly process of 1-D noble metal nanoparticles arrays, composed of Au, Ag, and AuAg alloy nanoparticles. These are prepared within an encapsulated germanium nanowire, obtained by the oxidation of a metal-germanium nanowire hybrid structure. The resulting structure is a 1-D array of equidistant metal nanoparticles with the same diameter, the so-called nanobead (NB) array structure. Atom-probe tomography and transmission electron microscopy were utilized to investigate the details of the morphological and chemical evolution during the oxidation of the encapsulated metal-germanium nanowire hybrid-structures. The self-assembly of nanoparticles relies on the formation of a metal-germanium liquid alloy and the migration of the liquid alloy into the nanowire, followed by dewetting of the liquid during shape-confined oxidation where the liquid column breaks-up into nanoparticles due to the Plateau-Rayleigh instability. Our results demonstrate that the encapsulating oxide layer serves as a structural scaffold, retaining the overall shape during the eutectic liquid formation and demonstrates the relationship between the oxide mechanical properties and the final structural characteristics of the 1-D arrays. The mechanistic details revealed here provide a versatile tool-box for the bottom-up fabrication of 1-D arrays nanopatterning that can be modified for multiple applications according to the RedOx properties of the material system components.

  10. Polarization-tuned Dynamic Color Filters Incorporating a Dielectric-loaded Aluminum Nanowire Array

    PubMed Central

    Raj Shrestha, Vivek; Lee, Sang-Shin; Kim, Eun-Soo; Choi, Duk-Yong

    2015-01-01

    Nanostructured spectral filters enabling dynamic color-tuning are saliently attractive for implementing ultra-compact color displays and imaging devices. Realization of polarization-induced dynamic color-tuning via one-dimensional periodic nanostructures is highly challenging due to the absence of plasmonic resonances for transverse-electric polarization. Here we demonstrate highly efficient dynamic subtractive color filters incorporating a dielectric-loaded aluminum nanowire array, providing a continuum of customized color according to the incident polarization. Dynamic color filtering was realized relying on selective suppression in transmission spectra via plasmonic resonance at a metal-dielectric interface and guided-mode resonance for a metal-clad dielectric waveguide, each occurring at their characteristic wavelengths for transverse-magnetic and electric polarizations, respectively. A broad palette of colors, including cyan, magenta, and yellow, has been attained with high transmission beyond 80%, by tailoring the period of the nanowire array and the incident polarization. Thanks to low cost, high durability, and mass producibility of the aluminum adopted for the proposed devices, they are anticipated to be diversely applied to color displays, holographic imaging, information encoding, and anti-counterfeiting. PMID:26211625

  11. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  12. Electrochemical impedance spectroscopy for quantitative interface state characterization of planar and nanostructured semiconductor-dielectric interfaces

    NASA Astrophysics Data System (ADS)

    Meng, Andrew C.; Tang, Kechao; Braun, Michael R.; Zhang, Liangliang; McIntyre, Paul C.

    2017-10-01

    The performance of nanostructured semiconductors is frequently limited by interface defects that trap electronic carriers. In particular, high aspect ratio geometries dramatically increase the difficulty of using typical solid-state electrical measurements (multifrequency capacitance- and conductance-voltage testing) to quantify interface trap densities (D it). We report on electrochemical impedance spectroscopy (EIS) to characterize the energy distribution of interface traps at metal oxide/semiconductor interfaces. This method takes advantage of liquid electrolytes, which provide conformal electrical contacts. Planar Al2O3/p-Si and Al2O3/p-Si0.55Ge0.45 interfaces are used to benchmark the EIS data against results obtained from standard electrical testing methods. We find that the solid state and EIS data agree very well, leading to the extraction of consistent D it energy distributions. Measurements carried out on pyramid-nanostructured p-Si obtained by KOH etching followed by deposition of a 10 nm ALD-Al2O3 demonstrate the application of EIS to trap characterization of a nanostructured dielectric/semiconductor interface. These results show the promise of this methodology to measure interface state densities for a broad range of semiconductor nanostructures such as nanowires, nanofins, and porous structures.

  13. Nanowire Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  14. Solution-Based Electro-Orientation Spectroscopy (EOS) for Contactless Measurement of Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Wuhan; Mohabir, Amar; Tutuncuoglu, Gozde; Filler, Michael; Feldman, Leonard; Shan, Jerry

    2017-11-01

    Solution-based, contactless methods for determining the electrical conductivity of nanowires and nanotubes have unique advantages over conventional techniques in terms of high throughput and compatibility with further solution-based processing and assembly methods. Here, we describe the solution-based electro-orientation spectroscopy (EOS) method, in which nanowire conductivity is measured from the AC-electric-field-induced alignment rate of the nanowire in a suspending fluid. The particle conductivity is determined from the measured crossover frequency between conductivity-dominated, low-frequency alignment to the permittivity-dominated, high-frequency regime. We discuss the extension of the EOS measurement range by an order-of-magnitude, taking advantage of the high dielectric constant of deionized water. With water and other fluids, we demonstrate that EOS can quantitatively characterize the electrical conductivities of nanowires over a 7-order-of-magnitude range, 10-5 to 102 S/m. We highlight the efficiency and utility of EOS for nanomaterial characterization by statistically characterizing the variability of semiconductor nanowires of the same nominal composition, and studying the connection between synthesis parameters and properties. NSF CBET-1604931.

  15. Ultra low-loss, isotropic optical negative-index metamaterial based on hybrid metal-semiconductor nanowires

    PubMed Central

    Paniagua-Domínguez, R.; Abujetas, D. R.; Sánchez-Gil, J. A.

    2013-01-01

    Recently, many fascinating properties predicted for metamaterials (negative refraction, superlensing, electromagnetic cloaking,…) were experimentally demonstrated. Unfortunately, the best achievements have no direct translation to the optical domain, without being burdened by technological and conceptual difficulties. Of particular importance within the realm of optical negative-index metamaterials (NIM), is the issue of simultaneously achieving strong electric and magnetic responses and low associated losses. Here, hybrid metal-semiconductor nanowires are proposed as building blocks of optical NIMs. The metamaterial thus obtained, highly isotropic in the plane normal to the nanowires, presents a negative index of refraction in the near-infrared, with values of the real part well below −1, and extremely low losses (an order of magnitude better than present optical NIMs). Tunability of the system allows to select the operating range in the whole telecom spectrum. The design is proven in configurations such as prisms and slabs, directly observing negative refraction. PMID:23514968

  16. Optical design of nanowire absorbers for wavelength selective photodetectors

    PubMed Central

    Mokkapati, S.; Saxena, D.; Tan, H. H.; Jagadish, C.

    2015-01-01

    We propose the optical design for the absorptive element of photodetectors to achieve wavelength selective photo response based on resonant guided modes supported in semiconductor nanowires. We show that the waveguiding properties of nanowires result in very high absorption efficiency that can be exploited to reduce the volume of active semiconductor compared to planar photodetectors, without compromising the photocurrent. We present a design based on a group of nanowires with varying diameter for multi-color photodetectors with small footprint. We discuss the effect of a dielectric shell around the nanowires on the absorption efficiency and present a simple approach to optimize the nanowire diameter-dielectric shell thickness for maximizing the absorption efficiency. PMID:26469227

  17. Band structure engineering strategies of metal oxide semiconductor nanowires and related nanostructures: A review

    NASA Astrophysics Data System (ADS)

    Piyadasa, Adimali; Wang, Sibo; Gao, Pu-Xian

    2017-07-01

    The electronic band structure of a solid state semiconductor determines many of its physical and chemical characteristics such as electrical, optical, physicochemical, and catalytic activity. Alteration or modification of the band structure could lead to significant changes in these physical and chemical characteristics, therefore we introduce new mechanisms of creating novel solid state materials with interesting properties. Over the past three decades, research on band structure engineering has allowed development of various methods to modify the band structure of engineered materials. Compared to bulk counterparts, nanostructures generally exhibit higher band structure modulation capabilities due to the quantum confinement effect, prominent surface effect, and higher strain limit. In this review we will discuss various band structure engineering strategies in semiconductor nanowires and other related nanostructures, mostly focusing on metal oxide systems. Several important strategies of band structure modulation are discussed in detail, such as doping, alloying, straining, interface and core-shell nanostructuring.

  18. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  19. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  20. Solution synthesis of germanium nanowires using a Ge+2 alkoxide precursor.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boyle, Timothy J.; Tribby, Louis, J; Bunge, Scott D.

    2006-02-01

    A simple solution synthesis of germanium (Ge{sup 0}) nanowires under mild conditions (<400 C and 1 atm) was demonstrated using germanium 2,6 dibutylphenoxide Ge(DBP){sub 2} (1) as the precursor where DBP = OC{sub 6}H{sub 3}(C(CH{sub 3}){sub 3}){sub 2}-2,6. Compound 1, synthesized from Ge(NR{sub 2}){sub 2} where R = SiMe{sub 3} and two equivalents of DBP-H, was characterized as a mononuclear species by single crystal X-ray diffraction. Dissolution of 1 in oleylamine, followed by rapid injection into a 1-octadecene solution heated to 300 C under an atmosphere of Ar, led to the formation of Ge{sup 0} nanowires. The Ge{sup 0} nanowiresmore » were characterized by transmission electron microscopy (TEM), X-ray diffraction analysis, and Fourier transform infrared spectroscopy. These characterizations revealed that the nanowires are single crystalline in the cubic phase and coated with oleylamine surfactant. We also observed that the nanowire length (0.1 to 10 {micro}m) increases with increasing temperature (285 to 315 C) and time (5 to 60 min). Two growth mechanisms are proposed based on the TEM images intermittently taken during the growth process as a function of time: (1) self-seeding mechanism where one of two overlapping nanowires serves as a seed, while the other continues to grow as a wire and (2) self-assembly mechanism where an aggregate of small rods (< 50 nm in diameter) recrystallize on the tip of a longer wire, extending its length.« less

  1. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  2. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    NASA Astrophysics Data System (ADS)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  3. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  4. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires.

    PubMed

    Yan, Jie-Yun

    2018-06-13

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  5. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Yan, Jie-Yun

    2018-06-01

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  6. Absorption properties of metal-semiconductor hybrid nanoparticles.

    PubMed

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  7. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    PubMed

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  8. Nanometer-scale modification and welding of silicon and metallic nanowires with a high-intensity electron beam.

    PubMed

    Xu, Shengyong; Tian, Mingliang; Wang, Jinguo; Xu, Jian; Redwing, Joan M; Chan, Moses H W

    2005-12-01

    We demonstrate that a high-intensity electron beam can be applied to create holes, gaps, and other patterns of atomic and nanometer dimensions on a single nanowire, to weld individual nanowires to form metal-metal or metal-semiconductor junctions, and to remove the oxide shell from a crystalline nanowire. In single-crystalline Si nanowires, the beam induces instant local vaporization and local amorphization. In metallic Au, Ag, Cu, and Sn nanowires, the beam induces rapid local surface melting and enhanced surface diffusion, in addition to local vaporization. These studies open up a novel approach for patterning and connecting nanomaterials in devices and circuits at the nanometer scale.

  9. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  10. Nanowire-Intensified Metal-Enhanced Fluorescence in Hybrid Polymer-Plasmonic Electrospun Filaments.

    PubMed

    Camposeo, Andrea; Jurga, Radoslaw; Moffa, Maria; Portone, Alberto; Cardarelli, Francesco; Della Sala, Fabio; Ciracì, Cristian; Pisignano, Dario

    2018-05-01

    Hybrid polymer-plasmonic nanostructures might combine high enhancement of localized fields from metal nanoparticles with light confinement and long-range transport in subwavelength dielectric structures. Here, the complex behavior of fluorophores coupling to Au nanoparticles within polymer nanowires, which features localized metal-enhanced fluorescence (MEF) with unique characteristics compared to conventional structures, is reported. The intensification effect when the particle is placed in the organic filaments is remarkably higher with respect to thin films of comparable thickness, thus highlighting a specific, nanowire-related enhancement of MEF effects. A dependence on the confinement volume in the dielectric nanowire is also indicated, with MEF significantly increasing upon reduction of the wire diameter. These findings are rationalized by finite element simulations, predicting a position-dependent enhancement of the quantum yield of fluorophores embedded in the fibers. Calculation of the ensemble-averaged fluorescence enhancement unveils the possibility of strongly enhancing the overall emission intensity for structures with size twice the diameter of the embedded metal particles. These new, hybrid fluorescent systems with localized enhanced emission, and the general nanowire-enhanced MEF effects associated to them, are highly relevant for developing nanoscale light-emitting devices with high efficiency and intercoupled through nanofiber networks, highly sensitive optical sensors, and novel laser architectures. © 2018 The Authors. Published by WILEY-VCH Verlag GmbH & Co. KGaA Weinheim.

  11. Interactions between semiconductor nanowires and living cells.

    PubMed

    Prinz, Christelle N

    2015-06-17

    Semiconductor nanowires are increasingly used for biological applications and their small dimensions make them a promising tool for sensing and manipulating cells with minimal perturbation. In order to interface cells with nanowires in a controlled fashion, it is essential to understand the interactions between nanowires and living cells. The present paper reviews current progress in the understanding of these interactions, with knowledge gathered from studies where living cells were interfaced with vertical nanowire arrays. The effect of nanowires on cells is reported in terms of viability, cell-nanowire interface morphology, cell behavior, changes in gene expression as well as cellular stress markers. Unexplored issues and unanswered questions are discussed.

  12. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  13. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  14. Mineral resource of the month: germanium

    USGS Publications Warehouse

    Guberman, David

    2010-01-01

    The article provides information on germanium, an element with electrical properties between those of a metal and an insulator. Applications of germanium include its use as a component of the glass in fiber-optic cable, in infrared optics devices and as a semiconductor and substrate used in electronic and solar applications. Germanium was first isolated by German chemist Clemens Winkler in 1886 and was named after Winkler's native country. In 2008, the leading sources of primary germanium from coal or zinc include Canada, China and Russia.

  15. Thin Semiconductor/Metal Films For Infrared Devices

    NASA Technical Reports Server (NTRS)

    Lamb, James L.; Nagendra, Channamallappa L.

    1995-01-01

    Spectral responses of absorbers and reflectors tailored. Thin cermet films composites of metals and semiconductors undergoing development for use as broadband infrared reflectors and absorbers. Development extends concepts of semiconductor and dielectric films used as interference filters for infrared light and visible light. Composite films offer advantages over semiconductor films. Addition of metal particles contributes additional thermal conductivity, reducing thermal gradients and associated thermal stresses, with resultant enhancements of thermal stability. Because values of n in composite films made large, same optical effects achieved with lesser thicknesses. By decreasing thicknesses of films, one not only decreases weights but also contributes further to reductions of thermal stresses.

  16. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  17. Size Dependent Pore Formation in Germanium Nanowires Undergoing Reversible Delithiation Observed by In Situ TEM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaotang; He, Yang; Mao, Scott X.

    Germanium (Ge) nanowires coated with an amorphous silicon (Si) shell undergoing lithiation and delithiation were studied using in situ transmission electron microscopy (TEM). Delithiation creates pores in nanowires with diameters larger than ~25 nm, but not in smaller diameter nanowires. The formation of pores in Ge nanowires undergoing delithiation has been observed before in in situ TEM experiments, but there has been no indication that a critical diameter exists below which pores do not form. Pore formation occurs as a result of fast lithium diffusion compared to vacancy migration. We propose that a short diffusion path for vacancies to themore » nanowire surface plays a role in limiting pore formation even when lithium diffusion is fast.« less

  18. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  19. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    PubMed

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Effect of Crossing Geometry on the Plasmonic Behavior of Dielectric Core/Metal Sheath Nanowires

    DTIC Science & Technology

    2009-03-01

    uniform SERS substrate. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation of pure Ga metal in a vacuum tube furnace.14 The...equations.15 We have previously shown that Ga2O3 /Ag nanowire composites can be very efficient SERS substrates,11,12 and we suggested that the nanowire...detail, we used these Au lithographically produced lines, combined with the placement of individual Ga2O3 /Ag nanowires in specific crossing angles

  1. Lateral amorphous selenium metal-insulator-semiconductor-insulator-metal photodetectors using ultrathin dielectric blocking layers for dark current suppression

    NASA Astrophysics Data System (ADS)

    Chang, Cheng-Yi; Pan, Fu-Ming; Lin, Jian-Siang; Yu, Tung-Yuan; Li, Yi-Ming; Chen, Chieh-Yang

    2016-12-01

    We fabricated amorphous selenium (a-Se) photodetectors with a lateral metal-insulator-semiconductor-insulator-metal (MISIM) device structure. Thermal aluminum oxide, plasma-enhanced chemical vapor deposited silicon nitride, and thermal atomic layer deposited (ALD) aluminum oxide and hafnium oxide (ALD-HfO2) were used as the electron and hole blocking layers of the MISIM photodetectors for dark current suppression. A reduction in the dark current by three orders of magnitude can be achieved at electric fields between 10 and 30 V/μm. The effective dark current suppression is primarily ascribed to electric field lowering in the dielectric layers as a result of charge trapping in deep levels. Photogenerated carriers in the a-Se layer can be transported across the blocking layers to the Al electrodes via Fowler-Nordheim tunneling because a high electric field develops in the ultrathin dielectric layers under illumination. Since the a-Se MISIM photodetectors have a very low dark current without significant degradation in the photoresponse, the signal contrast is greatly improved. The MISIM photodetector with the ALD-HfO2 blocking layer has an optimal signal contrast more than 500 times the contrast of the photodetector without a blocking layer at 15 V/μm.

  2. Mineral commodity profiles: Germanium

    USGS Publications Warehouse

    Butterman, W.C.; Jorgenson, John D.

    2005-01-01

    Overview -- Germanium is a hard, brittle semimetal that first came into use a half-century ago as a semiconductor material in radar units and as the material from which the first transistor was made. Today it is used principally as a component of the glass in telecommunications fiber optics; as a polymerization catalyst for polyethylene terephthalate (PET), a commercially important plastic; in infrared (IR) night vision devices; and as a semiconductor and substrate in electronics circuitry. Most germanium is recovered as a byproduct of zinc smelting, although it also has been recovered at some copper smelters and from the fly ash of coal-burning industrial powerplants. It is a highly dispersed element, associated primarily with base-metal sulfide ores. In the United States, germanium is recovered from zinc smelter residues and manufacturing scrap and is refined by two companies at four germanium refineries. One of the four refineries is dedicated to processing scrap. In 2000, producers sold zone-refined (high-purity) germanium at about $1,250 per kilogram and electronic-grade germanium dioxide (GeO2) at $800 per kilogram. Domestic refined production was valued at $22 million. Germanium is a critical component in highly technical devices and processes. It is likely to remain in demand in the future at levels at least as high as those of 2000. U.S. resources of germanium are probably adequate to meet domestic needs for several decades.

  3. Germanium detector passivated with hydrogenated amorphous germanium

    DOEpatents

    Hansen, William L.; Haller, Eugene E.

    1986-01-01

    Passivation of predominantly crystalline semiconductor devices (12) is provided for by a surface coating (21) of sputtered hydrogenated amorphous semiconductor material. Passivation of a radiation detector germanium diode, for example, is realized by sputtering a coating (21) of amorphous germanium onto the etched and quenched diode surface (11) in a low pressure atmosphere of hydrogen and argon. Unlike prior germanium diode semiconductor devices (12), which must be maintained in vacuum at cryogenic temperatures to avoid deterioration, a diode processed in the described manner may be stored in air at room temperature or otherwise exposed to a variety of environmental conditions. The coating (21) compensates for pre-existing undesirable surface states as well as protecting the semiconductor device (12) against future impregnation with impurities.

  4. Relationship between BaTiO₃ nanowire aspect ratio and the dielectric permittivity of nanocomposites.

    PubMed

    Tang, Haixiong; Zhou, Zhi; Sodano, Henry A

    2014-04-23

    The aspect ratio of barium titanate (BaTiO3) nanowires is demonstrated to be successfully controlled by adjusting the temperature of the hydrothermal growth from 150 to 240 °C, corresponding to aspect ratios from 9.3 to 45.8, respectively. Polyvinylidene fluoride (PVDF) nanocomposites are formed from the various aspect ratio nanowires and the relationship between the dielectric constant of the nanocomposite and the aspect ratio of the fillers is quantified. It was found that the dielectric constant of the nanocomposite increases with the aspect ratio of the nanowires. Nanocomposites with 30 vol % BaTiO3 nanowires and an aspect ratio of 45.8 can reach a dielectric constant of 44.3, which is 30.7% higher than samples with an aspect ratio of 9.3 and 352% larger than the polymer matrix. These results demonstrate that using high-aspect-ratio nanowires is an effective way to control and improve the dielectric performance of nanocomposites for future capacitor applications.

  5. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  6. X-ray measurements of the strain and shape of dielectric/metallic wrap-gated InAs nanowires

    NASA Astrophysics Data System (ADS)

    Eymery, J.; Favre-Nicolin, V.; Fröberg, L.; Samuelson, L.

    2009-03-01

    Wrap-gate (111) InAs nanowires (NWs) were studied after HfO2 dielectric coating and Cr metallic deposition by a combination of grazing incidence x-ray techniques. In-plane and out-of-plane x-ray diffraction (crystal truncation rod analysis) allow determining the strain tensor. The longitudinal contraction, increasing with HfO2 and Cr deposition, is significantly larger than the radial dilatation. For the Cr coating, the contraction along the growth axis is quite large (-0.95%), and the longitudinal/radial deformation ratio is >10, which may play a role on the NW transport properties. Small angle x-ray scattering shows a smoothening of the initial hexagonal bare InAs NW shape and gives the respective core/shell thicknesses, which are compared to flat surface values.

  7. Tunability of the dielectric function of heavily doped germanium thin films for mid-infrared plasmonics

    NASA Astrophysics Data System (ADS)

    Frigerio, Jacopo; Ballabio, Andrea; Isella, Giovanni; Sakat, Emilie; Pellegrini, Giovanni; Biagioni, Paolo; Bollani, Monica; Napolitani, Enrico; Manganelli, Costanza; Virgilio, Michele; Grupp, Alexander; Fischer, Marco P.; Brida, Daniele; Gallacher, Kevin; Paul, Douglas J.; Baldassarre, Leonetta; Calvani, Paolo; Giliberti, Valeria; Nucara, Alessandro; Ortolani, Michele

    2016-08-01

    Heavily doped semiconductor thin films are very promising for application in mid-infrared plasmonic devices because the real part of their dielectric function is negative and broadly tunable in the 5 to 50 μ m wavelength range at least. In this work, we investigate the electrodynamics of heavily n -type-doped germanium epilayers at infrared frequencies beyond the assumptions of the Drude model. The films are grown on silicon and germanium substrates, are in situ doped with phosphorous in the 1017 to 1019 cm-3 range, then screened plasma frequencies in the 100 to 1200 cm-1 range were observed. We employ infrared spectroscopy, pump-probe spectroscopy, and dc transport measurements to determine the tunability of the plasma frequency. Although no plasmonic structures have been realized in this work, we derive estimates of the decay time of mid-infrared plasmons and of their figures of merit for field confinement and for surface plasmon propagation. The average electron scattering rate increases almost linearly with excitation frequency, in agreement with quantum calculations based on a model of the ellipsoidal Fermi surface at the conduction band minimum of germanium accounting for electron scattering with optical phonons and charged impurities. Instead, we found weak dependence of plasmon losses on neutral impurity density. In films where a transient plasma was generated by optical pumping, we found significant dependence of the energy relaxation times in the few-picosecond range on the static doping level of the film, confirming the key but indirect role played by charged impurities in energy relaxation. Our results indicate that underdamped mid-infrared plasma oscillations are attained in n -type-doped germanium at room temperature.

  8. Electronic structure and quantum transport properties of metallic and semiconducting nanowires

    NASA Astrophysics Data System (ADS)

    Simbeck, Adam J.

    The future of the semiconductor industry hinges upon new developments to combat the scaling issues that currently afflict two main chip components: transistors and interconnects. For transistors this means investigating suitable materials to replace silicon for both the insulating gate and the semiconducting channel in order to maintain device performance with decreasing size. For interconnects this equates to overcoming the challenges associated with copper when the wire dimensions approach the confinement limit, as well as continuing to develop low-k dielectric materials that can assure minimal cross-talk between lines. In addition, such challenges make it increasingly clear that device design must move from a top-down to a bottom-up approach in which the desired electronic characteristics are tailored from first-principles. It is with such fundamental hurdles in mind that ab initio calculations on the electronic and quantum transport properties of nanoscale metallic and semiconducting wires have been performed. More specifically, this study seeks to elaborate on the role played by confinement, contacts, dielectric environment, edge decoration, and defects in altering the electronic and transport characteristics of such systems. As experiments continue to achieve better control over the synthesis and design of nanowires, these results are expected to become increasingly more important for not only the interpretation of electronic and transport trends, but also in engineering the electronic structure of nanowires for the needs of the devices of the future. For the metallic atomic wires, the quantum transport properties are first investigated by considering finite, single-atom chains of aluminum, copper, gold, and silver sandwiched between gold contacts. Non-equilibrium Green's function based transport calculations reveal that even in the presence of the contact the conductivity of atomic-scale aluminum is greater than that of the other metals considered. This is

  9. Methods for synthesizing metal oxide nanowires

    DOEpatents

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  10. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  11. Tailoring light-matter coupling in semiconductor and hybrid-plasmonic nanowires

    PubMed Central

    Piccione, Brian; Aspetti, Carlos O.; Cho, Chang-Hee; Agarwal, Ritesh

    2014-01-01

    Understanding interactions between light and matter is central to many fields, providing invaluable insights into the nature of matter. In its own right, a greater understanding of light-matter coupling has allowed for the creation of tailored applications, resulting in a variety of devices such as lasers, switches, sensors, modulators, and detectors. Reduction of optical mode volume is crucial to enhancing light-matter coupling strength, and among solid-state systems, self-assembled semiconductor and hybrid-plasmonic nanowires are amenable to creation of highly-confined optical modes. Following development of unique spectroscopic techniques designed for the nanowire morphology, carefully engineered semiconductor nanowire cavities have recently been tailored to enhance light-matter coupling strength in a manner previously seen in optical microcavities. Much smaller mode volumes in tailored hybrid-plasmonic nanowires have recently allowed for similar breakthroughs, resulting in sub-picosecond excited-state lifetimes and exceptionally high radiative rate enhancement. Here, we review literature on light-matter interactions in semiconductor and hybrid-plasmonic monolithic nanowire optical cavities to highlight recent progress made in tailoring light-matter coupling strengths. Beginning with a discussion of relevant concepts from optical physics, we will discuss how our knowledge of light-matter coupling has evolved with our ability to produce ever-shrinking optical mode volumes, shifting focus from bulk materials to optical microcavities, before moving on to recent results obtained from semiconducting nanowires. PMID:25093385

  12. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    NASA Astrophysics Data System (ADS)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  13. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G; Evans, Paul G; Ritz, Clark S

    2013-09-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  14. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G.; Evans, Paul G.; Ritz, Clark S.

    2015-11-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  15. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    NASA Astrophysics Data System (ADS)

    Gao, Tao; Xu, Ruimin; Kong, Yuechan; Zhou, Jianjun; Kong, Cen; Dong, Xun; Chen, Tangsheng

    2015-06-01

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr0.52Ti0.48)-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (gm-Vg) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectric constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.

  16. Fabrication and characterization of active nanostructures

    NASA Astrophysics Data System (ADS)

    Opondo, Noah F.

    Three different nanostructure active devices have been designed, fabricated and characterized. Junctionless transistors based on highly-doped silicon nanowires fabricated using a bottom-up fabrication approach are first discussed. The fabrication avoids the ion implantation step since silicon nanowires are doped in-situ during growth. Germanium junctionless transistors fabricated with a top down approach starting from a germanium on insulator substrate and using a gate stack of high-k dielectrics and GeO2 are also presented. The levels and origin of low-frequency noise in junctionless transistor devices fabricated from silicon nanowires and also from GeOI devices are reported. Low-frequency noise is an indicator of the quality of the material, hence its characterization can reveal the quality and perhaps reliability of fabricated transistors. A novel method based on low-frequency noise measurement to envisage trap density in the semiconductor bandgap near the semiconductor/oxide interface of nanoscale silicon junctionless transistors (JLTs) is presented. Low-frequency noise characterization of JLTs biased in saturation is conducted at different gate biases. The noise spectrum indicates either a Lorentzian or 1/f. A simple analysis of the low-frequency noise data leads to the density of traps and their energy within the semiconductor bandgap. The level of noise in silicon JLT devices is lower than reported values on transistors fabricated using a top-down approach. This noise level can be significantly improved by improving the quality of dielectric and the channel interface. A micro-vacuum electron device based on silicon field emitters for cold cathode emission is also presented. The presented work utilizes vertical Si nanowires fabricated by means of self-assembly, standard lithography and etching techniques as field emitters in this dissertation. To obtain a high nanowire density, hence a high current density, a simple and inexpensive Langmuir Blodgett technique

  17. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-01

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  18. Metallic nanowire networks

    DOEpatents

    Song, Yujiang; Shelnutt, John A.

    2012-11-06

    A metallic nanowire network synthesized using chemical reduction of a metal ion source by a reducing agent in the presence of a soft template comprising a tubular inverse micellar network. The network of interconnected polycrystalline nanowires has a very high surface-area/volume ratio, which makes it highly suitable for use in catalytic applications.

  19. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G [Madison, WI; Evans, Paul G [Madison, WI; Ritz, Clark S [Middleton, WI

    2011-02-15

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic longitudinal modulation, which may be a compositional modulation or a strain-induced modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  20. Role of the dielectric for the charging dynamics of the dielectric/barrier interface in AlGaN/GaN based metal-insulator-semiconductor structures under forward gate bias stress

    NASA Astrophysics Data System (ADS)

    Lagger, P.; Steinschifter, P.; Reiner, M.; Stadtmüller, M.; Denifl, G.; Naumann, A.; Müller, J.; Wilde, L.; Sundqvist, J.; Pogany, D.; Ostermaier, C.

    2014-07-01

    The high density of defect states at the dielectric/III-N interface in GaN based metal-insulator-semiconductor structures causes tremendous threshold voltage drifts, ΔVth, under forward gate bias conditions. A comprehensive study on different dielectric materials, as well as varying dielectric thickness tD and barrier thickness tB, is performed using capacitance-voltage analysis. It is revealed that the density of trapped electrons, ΔNit, scales with the dielectric capacitance under spill-over conditions, i.e., the accumulation of a second electron channel at the dielectric/AlGaN barrier interface. Hence, the density of trapped electrons is defined by the charging of the dielectric capacitance. The scaling behavior of ΔNit is explained universally by the density of accumulated electrons at the dielectric/III-N interface under spill-over conditions. We conclude that the overall density of interface defects is higher than what can be electrically measured, due to limits set by dielectric breakdown. These findings have a significant impact on the correct interpretation of threshold voltage drift data and are of relevance for the development of normally off and normally on III-N/GaN high electron mobility transistors with gate insulation.

  1. One-dimensional quantum matter: gold-induced nanowires on semiconductor surfaces

    NASA Astrophysics Data System (ADS)

    Dudy, L.; Aulbach, J.; Wagner, T.; Schäfer, J.; Claessen, R.

    2017-11-01

    Interacting electrons confined to only one spatial dimension display a wide range of unusual many-body quantum phenomena, ranging from Peierls instabilities to the breakdown of the canonical Fermi liquid paradigm to even unusual spin phenomena. The underlying physics is not only of tremendous fundamental interest, but may also have bearing on device functionality in future micro- and nanoelectronics with lateral extensions reaching the atomic limit. Metallic adatoms deposited on semiconductor surfaces may form self-assembled atomic nanowires, thus representing highly interesting and well-controlled solid-state realizations of such 1D quantum systems. Here we review experimental and theoretical investigations on a few selected prototypical nanowire surface systems, specifically Ge(0 0 1)-Au and Si(hhk)-Au, and the search for 1D quantum states in them. We summarize the current state of research and identify open questions and issues.

  2. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  3. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires.

    PubMed

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-22

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  4. Doping dependence of the contact resistivity of end-bonded metal contacts to thin heavily doped semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Shukkoor, Anvar A.; Karmalkar, Shreepad

    2017-12-01

    We study the resistivity, ρcN, of end-bonded contacts to semiconductor NanoWires (NWs) of radius R = 5-10 nm over doping Nd = 1018-1020 cm-3. The study is important for NW device design and characterization. It reports realistic calculations of ρcN and highlights and explains how ρcN differs significantly from the resistivity ρcB of bulk contacts. First, the space-charge width in NW contacts is increased by the surrounding field which depends on R, contact geometry, and ambient dielectric; this width also depends on surface charge and dielectric confinement which reduces dopant ionization. Second, thin NWs have a low effective lifetime, τN, due to surface recombination. Third, NW contacts have a lesser image force barrier lowering due to the higher space-charge width. Due to these factors, apart from tunneling (which decides ρcB), space-charge region generation-recombination current also affects ρcN. As Nd is raised from 1018 to 1020 cm-3, ρcB falls rapidly, but ρcN varies slowly and may even increase up to 3-5 × 1018 and then falls rapidly. Further, ρcN/ρcB can be ≪1 at Nd = 1 × 1018 cm-3, reaches a peak ≫1 around Nd = 1 × 1019 cm-3, and → 1 at Nd = 1 × 1020 cm-3, e.g., for 0.8 V contact barrier on 10 nm thick n-type silicon NWs with τN = 1 ps embedded in SiO2, at T = 300 K, even a 10 nm contact extension yields a peak of 75 at Nd = 8 × 1018 cm-3. We study changes in ρcN/ρcB versus Nd behavior with R, contact geometry, ambient dielectric, surface charge, τN, T, tunneling mass, and barrier height.

  5. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  6. High-frequency characterization and modeling of single metallic nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Chuan-Lun; Ardila, Gustavo; Benech, Philippe

    2013-07-01

    The transmission line characteristics of an individual aluminum metallic nanowire up to 100 GHz are presented in this paper. We have built a reliable framework for characterizing such nanowires using a specially designed coplanar waveguide platform. We systematically estimate the pad parasitics, contact impedance and transmission line parameters based on an equivalent circuit model and cascade-based de-embedding theory. This is the first time that such external parasitic elements have been successfully removed from a nanoscale transmission line. The extracted frequency-dependent electrical responses show good signal levels and a high degree of reproducibility. Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  7. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    NASA Astrophysics Data System (ADS)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  8. The Effect of Dielectric Constants on Noble Metal/Semiconductor SERS Enhancement: FDTD Simulation and Experiment Validation of Ag/Ge and Ag/Si Substrates

    PubMed Central

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-01-01

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 109) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 107 and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones. PMID:24514430

  9. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    PubMed

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  10. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  11. Emergence of transverse spin in optical modes of semiconductor nanowires

    DOE PAGES

    Alizadeh, M. H.; Reinhard, Bjorn M.

    2016-04-11

    The transverse spin angular momentum of light has recently received tremendous attention as it adds a new degree of freedom for controlling light-matter interactions. In this work we demonstrate the generation of transverse spin angular momentum by the weakly-guided mode of semiconductor nanowires. The evanescent field of these modes in combination with the transversality condition rigorously accounts for the occurrence of transverse spin angular momentum. Furthermore, the intriguing and nontrivial spin properties of optical modes in semiconductor nanowires are of high interest for a broad range of new applications including chiral optical trapping, quantum information processing, and nanophotonic circuitry.

  12. Dielectric response of a nondegenerate electron gas in semiconductor nanocrystallites

    NASA Astrophysics Data System (ADS)

    van Faassen, E.

    1998-12-01

    We investigate the low-frequency dielectric response of a dilute electron gas in a small spherical semiconductor particle. The flow of the electrons is described by hydrodynamic equations which incorporate the electrostatic interactions between the electrons in a self-consistent fashion. In the low-frequency regime, the dielectric loss is small and proportional to the frequency, despite substantial field penetration into the semiconductor. The loss remains small even for high doping levels due to effective cancellation between field-induced drift and diffusion. The model is used to estimate the complex dielectric constant of a system of weakly conducting nanosized semiconductor particles. The most prominent manifestation of spatial dispersion is that photoinduced changes in the real and imaginary parts of the dielectric constant are positive and of comparable magnitude.

  13. Thermoelectric properties of semiconductor nanowire networks

    DOE PAGES

    Roslyak, Oleksiy; Piryatinski, Andrei

    2016-03-28

    To examine the thermoelectric (TE) properties of a semiconductor nanowire (NW) network, we propose a theoretical approach mapping the TE network on a two-port network. In contrast to a conventional single-port (i.e., resistor)network model, our model allows for large scale calculations showing convergence of TE figure of merit, ZT, with an increasing number of junctions. Using this model, numerical simulations are performed for the Bi 2Te 3 branched nanowire (BNW) and Cayley tree NW (CTNW) network. We find that the phonon scattering at the network junctions plays a dominant role in enhancing the network ZT. Specifically, disordered BNW and CTNWmore » demonstrate an order of magnitude higher ZT enhancement compared to their ordered counterparts. Formation of preferential TE pathways in CTNW makes the network effectively behave as its BNW counterpart. In conclusion, we provide formalism for simulating large scale nanowire networks hinged upon experimentally measurable TE parameters of a single T-junction.« less

  14. Semiconductor Nanowires and Nanotubes for Energy Conversion

    NASA Astrophysics Data System (ADS)

    Fardy, Melissa Anne

    In recent years semiconductor nanowires and nanotubes have garnered increased attention for their unique properties. With their nanoscale dimensions comes high surface area and quantum confinement, promising enhancements in a wide range of applications. 1-dimensional nanostructures are especially attractive for energy conversion applications where photons, phonons, and electrons come into play. Since the bohr exciton radius and phonon and electron mean free paths are on the same length scales as nanowire diameters, optical, thermal, and electrical properties can be tuned by simple nanowire size adjustments. In addition, the high surface area inherent to nanowires and nanotubes lends them towards efficient charge separation and superior catalytic performance. In thermoelectric power generation, the nanoscale wire diameter can effectively scatter phonons, promoting reductions in thermal conductivity and enhancements in the thermoelectric figure of merit. To that end, single-crystalline arrays of PbS, PbSe, and PbTe nanowires have been synthesized by a chemical vapor transport approach. The electrical and thermal transport properties of the nanowires were characterized to investigate their potential as thermoelectric materials. Compared to bulk, the lead chalcogenide nanowires exhibit reduced thermal conductivity below 100 K by up to 3 orders of magnitude, suggesting that they may be promising thermoelectric materials. Smaller diameters and increased surface roughness are expected to give additional enhancements. The solution-phase synthesis of PbSe nanowires via oriented attachment of nanoparticles enables facile surface engineering and diameter control. Branched PbSe nanowires synthesized by this approach showed near degenerately doped charge carrier concentrations. Compared to the bulk, the PbSe nanowires exhibited a similar Seebeck coefficient and a significant reduction in thermal conductivity in the temperature range 20 K to 300 K. Thermal annealing of the Pb

  15. Charge trapping and current-conduction mechanisms of metal-oxide-semiconductor capacitors with La xTa y dual-doped HfON dielectrics

    NASA Astrophysics Data System (ADS)

    Cheng, Chin-Lung; Horng, Jeng-Haur; Chang-Liao, Kuei-Shu; Jeng, Jin-Tsong; Tsai, Hung-Yang

    2010-10-01

    Charge trapping and related current-conduction mechanisms in metal-oxide-semiconductor (MOS) capacitors with La xTa y dual-doped HfON dielectrics have been investigated under various post-deposition annealing (PDA). The results indicate that by La xTa y incorporation into HfON dielectric enhances electrical and reliability characteristics, including equivalent-oxide-thickness (EOT), stress-induced leakage current (SILC), and trap energy level. The mechanisms related to larger positive charge generation in the gate dielectric bulk can be attributed to La xTa y dual-doped HfON dielectric. The results of C- V measurement indicate that more negative charges are induced with increasing PDA temperature for the La xTa y dual-doped HfON dielectric. The charge current transport mechanisms through various dielectrics have been analyzed with current-voltage ( I- V) measurements under various temperatures. The current-conduction mechanisms of HfLaTaON dielectric at the low-, medium-, and high-electrical fields were dominated by Schottky emission (SE), Frenkel-Poole emission (F-P), and Fowler-Nordheim (F-N), respectively. A low trap energy level ( Φ trap) involved in Frenkel-Pool conduction in an HfLaTaON dielectric was estimated to be around 0.142 eV. Although a larger amount of positive charges generated in the HfLaTaON dielectric was obtained, the Φ trap of these positive charges in the HfLaTaON dielectric are shallow compared with HfON dielectric.

  16. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  17. Solution-Processed Germanium Nanowire-Positioned Schottky Solar Cells

    DTIC Science & Technology

    2011-04-01

    nanowire (GeNW)-positioned Schottky solar cell was fabricated by a solution process. A GeNW-containing solution was spread out onto asymmetric metal ...177 mV and a short-circuit current of 19.2 nA. Schottky and ohmic contacts between a single GeNW and different metal electrodes were systematically...containing solution was spread out onto asymmetric metal electrodes to produce a rectifying current flow. Under one-sun illumination, the GeNW

  18. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  19. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  20. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  1. Solution Processed Metal Oxide High-κ Dielectrics for Emerging Transistors and Circuits.

    PubMed

    Liu, Ao; Zhu, Huihui; Sun, Huabin; Xu, Yong; Noh, Yong-Young

    2018-06-14

    The electronic functionalities of metal oxides comprise conductors, semiconductors, and insulators. Metal oxides have attracted great interest for construction of large-area electronics, particularly thin-film transistors (TFTs), for their high optical transparency, excellent chemical and thermal stability, and mechanical tolerance. High-permittivity (κ) oxide dielectrics are a key component for achieving low-voltage and high-performance TFTs. With the expanding integration of complementary metal oxide semiconductor transistors, the replacement of SiO 2 with high-κ oxide dielectrics has become urgently required, because their provided thicker layers suppress quantum mechanical tunneling. Toward low-cost devices, tremendous efforts have been devoted to vacuum-free, solution processable fabrication, such as spin coating, spray pyrolysis, and printing techniques. This review focuses on recent progress in solution processed high-κ oxide dielectrics and their applications to emerging TFTs. First, the history, basics, theories, and leakage current mechanisms of high-κ oxide dielectrics are presented, and the underlying mechanism for mobility enhancement over conventional SiO 2 is outlined. Recent achievements of solution-processed high-κ oxide materials and their applications in TFTs are summarized and traditional coating methods and emerging printing techniques are introduced. Finally, low temperature approaches, e.g., ecofriendly water-induced, self-combustion reaction, and energy-assisted post treatments, for the realization of flexible electronics and circuits are discussed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  3. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Tao; Science and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing Electronic Devices Institute, Nanjing 210016; Xu, Ruimin

    2015-06-15

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr{sub 0.52}Ti{sub 0.48})-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (g{sub m}-V{sub g}) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectricmore » constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.« less

  4. Vertical dielectric screening of few-layer van der Waals semiconductors.

    PubMed

    Koo, Jahyun; Gao, Shiyuan; Lee, Hoonkyung; Yang, Li

    2017-10-05

    Vertical dielectric screening is a fundamental parameter of few-layer van der Waals two-dimensional (2D) semiconductors. However, unlike the widely-accepted wisdom claiming that the vertical dielectric screening is sensitive to the thickness, our first-principles calculation based on the linear response theory (within the weak field limit) reveals that this screening is independent of the thickness and, in fact, it is the same as the corresponding bulk value. This conclusion is verified in a wide range of 2D paraelectric semiconductors, covering narrow-gap ones and wide-gap ones with different crystal symmetries, providing an efficient and reliable way to calculate and predict static dielectric screening of reduced-dimensional materials. Employing this conclusion, we satisfactorily explain the tunable band gap in gated 2D semiconductors. We further propose to engineer the vertical dielectric screening by changing the interlayer distance via vertical pressure or hybrid structures. Our predicted vertical dielectric screening can substantially simplify the understanding of a wide range of measurements and it is crucial for designing 2D functional devices.

  5. Inverting polar domains via electrical pulsing in metallic germanium telluride

    PubMed Central

    Nukala, Pavan; Ren, Mingliang; Agarwal, Rahul; Berger, Jacob; Liu, Gerui; Johnson, A. T. Charlie; Agarwal, Ritesh

    2017-01-01

    Germanium telluride (GeTe) is both polar and metallic, an unusual combination of properties in any material system. The large concentration of free-carriers in GeTe precludes the coupling of external electric field with internal polarization, rendering it ineffective for conventional ferroelectric applications and polarization switching. Here we investigate alternate ways of coupling the polar domains in GeTe to external electrical stimuli through optical second harmonic generation polarimetry and in situ TEM electrical testing on single-crystalline GeTe nanowires. We show that anti-phase boundaries, created from current pulses (heat shocks), invert the polarization of selective domains resulting in reorganization of certain 71o domain boundaries into 109o boundaries. These boundaries subsequently interact and evolve with the partial dislocations, which migrate from domain to domain with the carrier-wind force (electrical current). This work suggests that current pulses and carrier-wind force could be external stimuli for domain engineering in ferroelectrics with significant current leakage. PMID:28401949

  6. Nondestructive Superresolution Imaging of Defects and Nonuniformities in Metals, Semiconductors, Dielectrics, Composites, and Plants Using Evanescent Microwaves

    NASA Technical Reports Server (NTRS)

    Tabib-Azar, M.; Pathak, P. S.; Ponchak, G.; LeClair, S.

    1999-01-01

    We have imaged and mapped material nonuniformities and defects using microwaves generated at the end of a microstripline resonator with 0.4 micrometer lateral spatial resolution at 1 GHz. Here we experimentally examine the effect of microstripline substrate permittivity, the feedline-to-resonator coupling strength, and probe tip geometry on the spatial resolution of the probe. Carbon composites, dielectrics, semiconductors, metals, and botanical samples were scanned for defects, residual stresses, subsurface features, areas of different film thickness, and moisture content. The resulting evanescent microwave probe (EMP) images are discussed. The main objective of this work is to demonstrate the overall capabilities of the EMP imaging technique as well as to discuss various probe parameters that can be used to design EMPs for different applications.

  7. Spectrally selective solar absorber with sharp and temperature dependent cut-off based on semiconductor nanowire arrays

    NASA Astrophysics Data System (ADS)

    Wang, Yang; Zhou, Lin; Zheng, Qinghui; Lu, Hong; Gan, Qiaoqiang; Yu, Zongfu; Zhu, Jia

    2017-05-01

    Spectrally selective absorbers (SSA) with high selectivity of absorption and sharp cut-off between high absorptivity and low emissivity are critical for efficient solar energy conversion. Here, we report the semiconductor nanowire enabled SSA with not only high absorption selectivity but also temperature dependent sharp absorption cut-off. By taking advantage of the temperature dependent bandgap of semiconductors, we systematically demonstrate that the absorption cut-off profile of the semiconductor-nanowire-based SSA can be flexibly tuned, which is quite different from most of the other SSA reported so far. As an example, silicon nanowire based selective absorbers are fabricated, with the measured absorption efficiency above (below) bandgap ˜97% (15%) combined with an extremely sharp absorption cut-off (transition region ˜200 nm), the sharpest SSA demonstrated so far. The demonstrated semiconductor-nanowire-based SSA can enable a high solar thermal efficiency of ≳86% under a wide range of operating conditions, which would be competitive candidates for the concentrated solar energy utilizations.

  8. Spatially resolved Hall effect measurement in a single semiconductor nanowire.

    PubMed

    Storm, Kristian; Halvardsson, Filip; Heurlin, Magnus; Lindgren, David; Gustafsson, Anders; Wu, Phillip M; Monemar, Bo; Samuelson, Lars

    2012-11-01

    Efficient light-emitting diodes and photovoltaic energy-harvesting devices are expected to play an important role in the continued efforts towards sustainable global power consumption. Semiconductor nanowires are promising candidates as the active components of both light-emitting diodes and photovoltaic cells, primarily due to the added freedom in device design offered by the nanowire geometry. However, for nanowire-based components to move past the proof-of-concept stage and be implemented in production-grade devices, it is necessary to precisely quantify and control fundamental material properties such as doping and carrier mobility. Unfortunately, the nanoscale geometry that makes nanowires interesting for applications also makes them inherently difficult to characterize. Here, we report a method to carry out Hall measurements on single core-shell nanowires. Our technique allows spatially resolved and quantitative determination of the carrier concentration and mobility of the nanowire shell. As Hall measurements have previously been completely unavailable for nanowires, the experimental platform presented here should facilitate the implementation of nanowires in advanced practical devices.

  9. Efficient n-type doping of zinc-blende III-V semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Besteiro, Lucas V.; Tortajada, Luis; Souto, J.; Gallego, L. J.; Chelikowsky, James R.; Alemany, M. M. G.

    2014-03-01

    We demonstrate that it is preferable to dope III-V semiconductor nanowires by n-type anion substitution as opposed to cation substitution. Specifically, we show the dopability of zinc-blende nanowires is more efficient when the dopants are placed at the anion site as quantified by formation energies and the stabilization of DX-like defect centers. The comparison with previous work on n - type III-V semiconductor nanocrystals also allows to determine the role of dimensionality and quantum confinement on doping characteristics of materials. Our results are based on first-principles calculations of InP nanowires by using the PARSEC code. Work supported by the Spanish MICINN (FIS2012-33126) and Xunta de Galicia (GPC2013-043) in conjunction with FEDER. JRC acknowledges support from DoE (DE-FG02-06ER46286 and DESC0008877). Computational support was provided in part by CESGA.

  10. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  11. Zinc oxide nanowire-poly(methyl methacrylate) dielectric layers for polymer capacitive pressure sensors.

    PubMed

    Chen, Yan-Sheng; Hsieh, Gen-Wen; Chen, Shih-Ping; Tseng, Pin-Yen; Wang, Cheng-Wei

    2015-01-14

    Polymer capacitive pressure sensors based on a dielectric composite layer of zinc oxide nanowire and poly(methyl methacrylate) show pressure sensitivity in the range of 2.63 × 10(-3) to 9.95 × 10(-3) cm(2) gf(-1). This represents an increase of capacitance change by as much as a factor of 23 over pristine polymer devices. An ultralight load of only 10 mg (corresponding to an applied pressure of ∼0.01 gf cm(-2)) can be clearly recognized, demonstrating remarkable characteristics of these nanowire-polymer capacitive pressure sensors. In addition, optical transmittance of the dielectric composite layer is approximately 90% in the visible wavelength region. Their low processing temperature, transparency, and flexible dielectric film makes them a highly promising means for flexible touching and pressure-sensing applications.

  12. Review on the dynamics of semiconductor nanowire lasers

    NASA Astrophysics Data System (ADS)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  13. Dissolution chemistry and biocompatibility of silicon- and germanium-based semiconductors for transient electronics.

    PubMed

    Kang, Seung-Kyun; Park, Gayoung; Kim, Kyungmin; Hwang, Suk-Won; Cheng, Huanyu; Shin, Jiho; Chung, Sangjin; Kim, Minjin; Yin, Lan; Lee, Jeong Chul; Lee, Kyung-Mi; Rogers, John A

    2015-05-06

    Semiconducting materials are central to the development of high-performance electronics that are capable of dissolving completely when immersed in aqueous solutions, groundwater, or biofluids, for applications in temporary biomedical implants, environmentally degradable sensors, and other systems. The results reported here include comprehensive studies of the dissolution by hydrolysis of polycrystalline silicon, amorphous silicon, silicon-germanium, and germanium in aqueous solutions of various pH values and temperatures. In vitro cellular toxicity evaluations demonstrate the biocompatibility of the materials and end products of dissolution, thereby supporting their potential for use in biodegradable electronics. A fully dissolvable thin-film solar cell illustrates the ability to integrate these semiconductors into functional systems.

  14. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  15. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  16. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  17. Evaporation-induced self-alignment and transfer of semiconductor nanowires by wrinkled elastomeric templates.

    PubMed

    Lee, Seung Goo; Kim, Haena; Choi, Hyun Ho; Bong, Hyojin; Park, Yeong Don; Lee, Wi Hyoung; Cho, Kilwon

    2013-04-18

    The evaporation-induced self-alignment of semiconductor nanowires is achieved using wrinkled elastomeric templates. The wrinkled templates, which have a surface topography that can be tuned via changes in the mechanical strain, are used as both a template to align the nanowires and as a stamp to transfer the aligned nanowires to target substrates. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Semiconductor nanowires: A platform for nanoscience and nanotechnology

    PubMed Central

    Lieber, Charles M.

    2012-01-01

    Advances in nanoscience and nanotechnology critically depend on the development of nanostructures whose properties are controlled during synthesis. We focus on this critical concept using semiconductor nanowires, which provide the capability through design and rational synthesis to realize unprecedented structural and functional complexity in building blocks as a platform material. First, a brief review of the synthesis of complex modulated nanowires in which rational design and synthesis can be used to precisely control composition, structure, and, most recently, structural topology is discussed. Second, the unique functional characteristics emerging from our exquisite control of nanowire materials are illustrated using several selected examples from nanoelectronics and nano-enabled energy. Finally, the remarkable power of nanowire building blocks is further highlighted through their capability to create unprecedented, active electronic interfaces with biological systems. Recent work pushing the limits of both multiplexed extracellular recording at the single-cell level and the first examples of intracellular recording is described, as well as the prospects for truly blurring the distinction between nonliving nanoelectronic and living biological systems. PMID:22707850

  19. Semiconductor/dielectric interface engineering and characterization

    NASA Astrophysics Data System (ADS)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  20. Extreme absorption enhancement in ZnTe:O/ZnO intermediate band core-shell nanowires by interplay of dielectric resonance and plasmonic bowtie nanoantennas.

    PubMed

    Nie, Kui-Ying; Li, Jing; Chen, Xuanhu; Xu, Yang; Tu, Xuecou; Ren, Fang-Fang; Du, Qingguo; Fu, Lan; Kang, Lin; Tang, Kun; Gu, Shulin; Zhang, Rong; Wu, Peiheng; Zheng, Youdou; Tan, Hark Hoe; Jagadish, Chennupati; Ye, Jiandong

    2017-08-08

    Intermediate band solar cells (IBSCs) are conceptual and promising for next generation high efficiency photovoltaic devices, whereas, IB impact on the cell performance is still marginal due to the weak absorption of IB states. Here a rational design of a hybrid structure composed of ZnTe:O/ZnO core-shell nanowires (NWs) with Al bowtie nanoantennas is demonstrated to exhibit strong ability in tuning and enhancing broadband light response. The optimized nanowire dimensions enable absorption enhancement by engineering leaky-mode dielectric resonances. It maximizes the overlap of the absorption spectrum and the optical transitions in ZnTe:O intermediate-band (IB) photovoltaic materials, as verified by the enhanced photoresponse especially for IB states in an individual nanowire device. Furthermore, by integrating Al bowtie antennas, the enhanced exciton-plasmon coupling enables the notable improvement in the absorption of ZnTe:O/ZnO core-shell single NW, which was demonstrated by the profound enhancement of photoluminescence and resonant Raman scattering. The marriage of dielectric and metallic resonance effects in subwavelength-scale nanowires opens up new avenues for overcoming the poor absorption of sub-gap photons by IB states in ZnTe:O to achieve high-efficiency IBSCs.

  1. Solitons in two attractive semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Vroumsia, David; Mibaile, Justin; Gambo, Betchewe; Doka, Yamigno Serge; Kofane, Timoleon Crepin

    2018-02-01

    In this paper, by using two semiconductor nanowires attracted to each other by means of Lorentz force, we construct through similarity transformations, explicit solutions to the coupled nonlinear Schrodinger equations (CNSE) with potentials as a function of time and spatial coordinates. We find explicit solutions of electrons and holes such as periodic, bright and dark solitons. We also study the instability of the modulation (MI) of (CNSE) and note that the velocity of the electrons influences the gain MI spectrum.

  2. Bottom-up assembly of metallic germanium.

    PubMed

    Scappucci, Giordano; Klesse, Wolfgang M; Yeoh, LaReine A; Carter, Damien J; Warschkow, Oliver; Marks, Nigel A; Jaeger, David L; Capellini, Giovanni; Simmons, Michelle Y; Hamilton, Alexander R

    2015-08-10

    Extending chip performance beyond current limits of miniaturisation requires new materials and functionalities that integrate well with the silicon platform. Germanium fits these requirements and has been proposed as a high-mobility channel material, a light emitting medium in silicon-integrated lasers, and a plasmonic conductor for bio-sensing. Common to these diverse applications is the need for homogeneous, high electron densities in three-dimensions (3D). Here we use a bottom-up approach to demonstrate the 3D assembly of atomically sharp doping profiles in germanium by a repeated stacking of two-dimensional (2D) high-density phosphorus layers. This produces high-density (10(19) to 10(20) cm(-3)) low-resistivity (10(-4)Ω · cm) metallic germanium of precisely defined thickness, beyond the capabilities of diffusion-based doping technologies. We demonstrate that free electrons from distinct 2D dopant layers coalesce into a homogeneous 3D conductor using anisotropic quantum interference measurements, atom probe tomography, and density functional theory.

  3. Bottom-up assembly of metallic germanium

    NASA Astrophysics Data System (ADS)

    Scappucci, Giordano; Klesse, Wolfgang M.; Yeoh, Lareine A.; Carter, Damien J.; Warschkow, Oliver; Marks, Nigel A.; Jaeger, David L.; Capellini, Giovanni; Simmons, Michelle Y.; Hamilton, Alexander R.

    2015-08-01

    Extending chip performance beyond current limits of miniaturisation requires new materials and functionalities that integrate well with the silicon platform. Germanium fits these requirements and has been proposed as a high-mobility channel material, a light emitting medium in silicon-integrated lasers, and a plasmonic conductor for bio-sensing. Common to these diverse applications is the need for homogeneous, high electron densities in three-dimensions (3D). Here we use a bottom-up approach to demonstrate the 3D assembly of atomically sharp doping profiles in germanium by a repeated stacking of two-dimensional (2D) high-density phosphorus layers. This produces high-density (1019 to 1020 cm-3) low-resistivity (10-4Ω · cm) metallic germanium of precisely defined thickness, beyond the capabilities of diffusion-based doping technologies. We demonstrate that free electrons from distinct 2D dopant layers coalesce into a homogeneous 3D conductor using anisotropic quantum interference measurements, atom probe tomography, and density functional theory.

  4. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    NASA Astrophysics Data System (ADS)

    Almuslem, A. S.; Hanna, A. N.; Yapici, T.; Wehbe, N.; Diallo, E. M.; Kutbee, A. T.; Bahabry, R. R.; Hussain, M. M.

    2017-02-01

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO2) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  5. Superconducting nanowire single-photon detectors with non-periodic dielectric multilayers.

    PubMed

    Yamashita, Taro; Waki, Kentaro; Miki, Shigehito; Kirkwood, Robert A; Hadfield, Robert H; Terai, Hirotaka

    2016-10-24

    We present superconducting nanowire single-photon detectors (SSPDs) on non-periodic dielectric multilayers, which enable us to design a variety of wavelength dependences of optical absorptance by optimizing the dielectric multilayer. By adopting a robust simulation to optimize the dielectric multilayer, we designed three types of SSPDs with target wavelengths of 500 nm, 800 nm, and telecom range respectively. We fabricated SSPDs based on the optimized designs for 500 and 800 nm, and evaluated the system detection efficiency at various wavelengths. The results obtained confirm that the designed SSPDs with non-periodic dielectric multilayers worked well. This versatile device structure can be effective for multidisciplinary applications in fields such as the life sciences and remote sensing that require high efficiency over a precise spectral range and strong signal rejection at other wavelengths.

  6. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  7. Fabrication of Buried Nanochannels From Nanowire Patterns

    NASA Technical Reports Server (NTRS)

    Choi, Daniel; Yang, Eui-Hyeok

    2007-01-01

    A method of fabricating channels having widths of tens of nanometers in silicon substrates and burying the channels under overlying layers of dielectric materials has been demonstrated. With further refinement, the method might be useful for fabricating nanochannels for manipulation and analysis of large biomolecules at single-molecule resolution. Unlike in prior methods, burying the channels does not involve bonding of flat wafers to the silicon substrates to cover exposed channels in the substrates. Instead, the formation and burying of the channels are accomplished in a more sophisticated process that is less vulnerable to defects in the substrates and less likely to result in clogging of, or leakage from, the channels. In this method, the first step is to establish the channel pattern by forming an array of sacrificial metal nanowires on an SiO2-on-Si substrate. In particular, the wire pattern is made by use of focused-ion-beam (FIB) lithography and a subsequent metallization/lift-off process. The pattern of metal nanowires is then transferred onto the SiO2 layer by reactive-ion etching, which yields sacrificial SiO2 nanowires covered by metal. After removal of the metal covering the SiO2 nanowires, what remains are SiO2 nanowires on an Si substrate. Plasma-enhanced chemical vapor deposition (PECVD) is used to form a layer of a dielectric material over the Si substrate and over the SiO2 wires on the surface of the substrate. FIB milling is then performed to form trenches at both ends of each SiO2 wire. The trenches serve as openings for the entry of chemicals that etch SiO2 much faster than they etch Si. Provided that the nanowires are not so long that the diffusion of the etching chemicals is blocked, the sacrificial SiO2 nanowires become etched out from between the dielectric material and the Si substrate, leaving buried channels. At the time of reporting the information for this article, channels 3 m long, 20 nm deep, and 80 nm wide (see figure) had been

  8. Method of fabricating germanium and gallium arsenide devices

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzban (Inventor)

    1990-01-01

    A method of semiconductor diode fabrication is disclosed which relies on the epitaxial growth of a precisely doped thickness layer of gallium arsenide or germanium on a semi-insulating or intrinsic substrate, respectively, of gallium arsenide or germanium by either molecular beam epitaxy (MBE) or by metal-organic chemical vapor deposition (MOCVD). The method involves: depositing a layer of doped or undoped silicon dioxide on a germanium or gallium arsenide wafer or substrate, selectively removing the silicon dioxide layer to define one or more surface regions for a device to be fabricated thereon, growing a matched epitaxial layer of doped germanium or gallium arsenide of an appropriate thickness using MBE or MOCVD techniques on both the silicon dioxide layer and the defined one or more regions; and etching the silicon dioxide and the epitaxial material on top of the silicon dioxide to leave a matched epitaxial layer of germanium or gallium arsenide on the germanium or gallium arsenide substrate, respectively, and upon which a field effect device can thereafter be formed.

  9. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Southward, Robin E. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Delozier, Donavon Mark (Inventor); Smith, Joseph G. (Inventor); Watson, Kent A. (Inventor)

    2014-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  11. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Smith, Jr., Joseph G. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Southward, Robin E. (Inventor); Delozier, Donavon Mark (Inventor); Watson, Kent A. (Inventor)

    2016-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  12. Magnetoconductance signatures of subband structure in semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Holloway, Gregory; Haapamaki, Chris; Lapierre, Ray; Baugh, Jonathan

    2015-03-01

    Understanding the subband structure due to radial confinement in semiconductor nanowires can benefit technologies ranging from optical sensors to quantum information processing. An axial magnetic field couples to the orbital angular momentum, giving rise to non-trivial features in electronic transport as a function of magnetic field. Previous reports focused on conduction electrons confined to a thin shell near the nanowire surface, which lead to flux-periodic energies and conductance oscillations. Here, we calculate the eigenstates for more general radial potentials with moderate to low surface band bending such that electrons are distributed more uniformly across the nanowire cross-section. It is found that the energy spectrum becomes aperiodic in both gate voltage and magnetic field as the radial potential becomes flatter. The behavior of an energy level is dictated by its angular momentum, and this allows, in principle, each state to be identified based on its dependence on magnetic field and the chemical potential. We experimentally investigate a short-channel InAs nanowire FET in search of conductance features that reveal this subband structure. A quantitative measure for assigning conductance features to specific transverse states is introduced and applied to this device.

  13. In-situ integrated processing and characterization of thin films of high temperature superconductors, dielectrics and semiconductors by MOCVD

    NASA Technical Reports Server (NTRS)

    Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.

    1990-01-01

    In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectric having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writting capability, complex device structures like three-terminal hybrid semiconductors/superconductors transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray defraction, electron microscopy, and energy dispersive x-ray analysis are discussed.

  14. In-situ integrated processing and characterization of thin films of high temperature superconductors, dielectrics and semiconductors by MOCVD

    NASA Technical Reports Server (NTRS)

    Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.

    1991-01-01

    In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectrics having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writing capability, complex device structures like three terminal hybrid semiconductor/superconductor transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray deffraction, electron microscopy, and energy dispersive x-ray analysis are discussed.

  15. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  16. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  17. Plasmon resonant cavities in vertical nanowire arrays

    DOEpatents

    Bora, Mihail; Bond, Tiziana C.; Fasenfest, Benjamin J.; Behymer, Elaine M.

    2014-07-15

    Tunable plasmon resonant cavity arrays in paired parallel nanowire waveguides are presented. Resonances can be observed when the waveguide length is an odd multiple of quarter plasmon wavelengths, consistent with boundary conditions of node and antinode at the ends. Two nanowire waveguides can satisfy the dispersion relation of a planar metal-dielectric-metal waveguide of equivalent width equal to the square field average weighted gap. Confinement factors of over 10.sup.3 are possible due to plasmon focusing in the inter-wire space.

  18. Plasmon-Mediated Solar Energy Conversion via Photocatalysis in Noble Metal/Semiconductor Composites.

    PubMed

    Wang, Mengye; Ye, Meidan; Iocozzia, James; Lin, Changjian; Lin, Zhiqun

    2016-06-01

    Plasmonics has remained a prominent and growing field over the past several decades. The coupling of various chemical and photo phenomenon has sparked considerable interest in plasmon-mediated photocatalysis. Given plasmonic photocatalysis has only been developed for a relatively short period, considerable progress has been made in improving the absorption across the full solar spectrum and the efficiency of photo-generated charge carrier separation. With recent advances in fundamental (i.e., mechanisms) and experimental studies (i.e., the influence of size, geometry, surrounding dielectric field, etc.) on plasmon-mediated photocatalysis, the rational design and synthesis of metal/semiconductor hybrid nanostructure photocatalysts has been realized. This review seeks to highlight the recent impressive developments in plasmon-mediated photocatalytic mechanisms (i.e., Schottky junction, direct electron transfer, enhanced local electric field, plasmon resonant energy transfer, and scattering and heating effects), summarize a set of factors (i.e., size, geometry, dielectric environment, loading amount and composition of plasmonic metal, and nanostructure and properties of semiconductors) that largely affect plasmonic photocatalysis, and finally conclude with a perspective on future directions within this rich field of research.

  19. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  20. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  1. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating.

    PubMed

    Rickey, Kelly M; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S Venkataprasad; Wu, Yue; Cheng, Gary J; Ruan, Xiulin

    2015-11-03

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~10(5) Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films.

  2. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating

    PubMed Central

    Rickey, Kelly M.; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S. Venkataprasad; Wu, Yue; Cheng, Gary J.; Ruan, Xiulin

    2015-01-01

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~105 Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films. PMID:26527570

  3. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  4. Germanium, Arsenic, and Selenium Abundances in Metal-poor Stars

    NASA Astrophysics Data System (ADS)

    Roederer, Ian U.

    2012-09-01

    The elements germanium (Ge, Z = 32), arsenic (As, Z = 33), and selenium (Se, Z = 34) span the transition from charged-particle or explosive synthesis of the iron-group elements to neutron-capture synthesis of heavier elements. Among these three elements, only the chemical evolution of germanium has been studied previously. Here we use archive observations made with the Space Telescope Imaging Spectrograph on board the Hubble Space Telescope and observations from several ground-based facilities to study the chemical enrichment histories of seven stars with metallicities -2.6 <= [Fe/H] <= -0.4. We perform a standard abundance analysis of germanium, arsenic, selenium, and several other elements produced by neutron-capture reactions. When combined with previous derivations of germanium abundances in metal-poor stars, our sample reveals an increase in the [Ge/Fe] ratios at higher metallicities. This could mark the onset of the weak s-process contribution to germanium. In contrast, the [As/Fe] and [Se/Fe] ratios remain roughly constant. These data do not directly indicate the origin of germanium, arsenic, and selenium at low metallicity, but they suggest that the weak and main components of the s-process are not likely sources. Based on observations made with the NASA/ESA Hubble Space Telescope, obtained from the data archive at the Space Telescope Science Institute. STScI is operated by the Association of Universities for Research in Astronomy, Inc., under NASA contract NAS 5-26555. This research made use of StarCAT, hosted by the Mikulski Archive at the Space Telescope Science Institute (MAST). These data are associated with Programs GO-7348, GO-7433, GO-8197, GO-9048, GO-9455, and GO-9804.Based on data obtained from the European Southern Observatory (ESO) Science Archive Facility. These data are associated with Programs 67.D-0439(A), 074.C-0364(A), 076.B-0055(A), and 080.D-0347(A).This research has made use of the Keck Observatory Archive (KOA), which is operated by

  5. Performance of local orbital basis sets in the self-consistent Sternheimer method for dielectric matrices of extended systems

    NASA Astrophysics Data System (ADS)

    Hübener, H.; Pérez-Osorio, M. A.; Ordejón, P.; Giustino, F.

    2012-09-01

    We present a systematic study of the performance of numerical pseudo-atomic orbital basis sets in the calculation of dielectric matrices of extended systems using the self-consistent Sternheimer approach of [F. Giustino et al., Phys. Rev. B 81, 115105 (2010)]. In order to cover a range of systems, from more insulating to more metallic character, we discuss results for the three semiconductors diamond, silicon, and germanium. Dielectric matrices of silicon and diamond calculated using our method fall within 1% of reference planewaves calculations, demonstrating that this method is promising. We find that polarization orbitals are critical for achieving good agreement with planewaves calculations, and that only a few additional ζ's are required for obtaining converged results, provided the split norm is properly optimized. Our present work establishes the validity of local orbital basis sets and the self-consistent Sternheimer approach for the calculation of dielectric matrices in extended systems, and prepares the ground for future studies of electronic excitations using these methods.

  6. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    NASA Astrophysics Data System (ADS)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  7. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  8. Growth Behavior and Electronic Structure of Noble Metal-Doped Germanium Clusters.

    PubMed

    Mahtout, Sofiane; Siouani, Chaouki; Rabilloud, Franck

    2018-01-18

    Structures, energetics, and electronic properties of noble metal-doped germanium (MGe n with M = Cu, Ag, Au; n = 1-19) clusters are systematically investigated by using the density functional theory (DFT) approach. The endohedral structures in which the metal atom is encapsulated inside of a germanium cage appear at n = 10 when the dopant is Cu and n = 12 for M = Ag and Au. While Cu doping enhances the stability of the corresponding germanium frame, the binding energies of AgGe n and AuGe n are always lower than those of pure germanium clusters. Our results highlight the great stability of the CuGe 10 cluster in a D 4d structure and, to a lesser extent, that of AgGe 15 and AuGe 15 , which exhibits a hollow cage-like geometry. The sphere-type geometries obtained for n = 10-15 present a peculiar electronic structure in which the valence electrons of the noble metal and Ge atoms are delocalized and exhibit a shell structure associated with the quasi-spherical geometry. It is found that the coinage metal is able to give both s- and d-type electrons to be reorganized together with the valence electrons of Ge atoms through a pooling of electrons. The cluster size dependence of the stability, the frontier orbital energy gap, the vertical ionization potentials, and electron affinities are given.

  9. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  10. Chemical segregation in metallic glass nanowires.

    PubMed

    Zhang, Qi; Li, Qi-Kai; Li, Mo

    2014-11-21

    Nanowires made of metallic glass have been actively pursued recently due to the superb and unique properties over those of the crystalline materials. The amorphous nanowires are synthesized either at high temperature or via mechanical disruption using focused ion beam. These processes have potential to cause significant changes in structure and chemical concentration, as well as formation of defect or imperfection, but little is known to date about the possibilities and mechanisms. Here, we report chemical segregation to surfaces and its mechanisms in metallic glass nanowires made of binary Cu and Zr elements from molecular dynamics simulation. Strong concentration deviation are found in the nanowires under the conditions similar to these in experiment via focused ion beam processing, hot imprinting, and casting by rapid cooling from liquid state. Our analysis indicates that non-uniform internal stress distribution is a major cause for the chemical segregation, especially at low temperatures. Extension is discussed for this observation to multicomponent metallic glass nanowires as well as the potential applications and side effects of the composition modulation. The finding also points to the possibility of the mechanical-chemical process that may occur in different settings such as fracture, cavitation, and foams where strong internal stress is present in small length scales.

  11. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  12. Plasmonic Behavior of Ag/Dielectric Nanowires and the Effect of Geometry

    DTIC Science & Technology

    2009-07-01

    in- cluding random Ga2O3 nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved...PLASMONIC PROPERTIES As discussed above, we have developed a SERS substrate, consisting of Ga2O3 nanowire core/Ag metal sheath nano- structures, which have...signal. As is evident, the nanowire composites are about two orders of magnitude more sensitive than the Mesophotonics substrate. Since these Ga2O3 /Ag

  13. Ultra-sparse dielectric nanowire grids as wideband reflectors and polarizers.

    PubMed

    Yoon, Jae Woong; Lee, Kyu Jin; Magnusson, Robert

    2015-11-02

    Engaging both theory and experiment, we investigate resonant photonic lattices in which the duty cycle tends to zero. Corresponding dielectric nanowire grids are mostly empty space if operated as membranes in vacuum or air. These grids are shown to be effective wideband reflectors with impressive polarizing properties. We provide computed results predicting nearly complete reflection and attendant polarization extinction in multiple spectral regions. Experimental results with Si nanowire arrays with 10% duty cycle show ~200-nm-wide band of high reflection for one polarization state and free transmission for the orthogonal state. These results agree quantitatively with theoretical predictions. It is fundamentally extremely significant that the wideband spectral expressions presented can be generated in these minimal systems.

  14. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    NASA Astrophysics Data System (ADS)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  15. Polarization Dependence of Surface Enhanced Raman Scattering on a Single Dielectric Nanowire

    DTIC Science & Technology

    2012-01-01

    original work is properly cited. Our measurements of surface enhanced Raman scattering (SERS) on Ga2O3 dielectric nanowires (NWs) core/silver composites...process has been widely discussed [15–21]. In this work, a highly effective SERS composite of dielectric Ga2O3 NWs core/silver was employed to investigate...self-assembled monolayer of active SERS molecules on the NWs may affect the SERS enhancement as well. 2. Experimental Details Random Ga2O3 NWs were

  16. Nanowire Optoelectronics

    NASA Astrophysics Data System (ADS)

    Wang, Zhihuan; Nabet, Bahram

    2015-12-01

    Semiconductor nanowires have been used in a variety of passive and active optoelectronic devices including waveguides, photodetectors, solar cells, light-emitting diodes (LEDs), lasers, sensors, and optical antennas. We review the optical properties of these nanowires in terms of absorption, guiding, and radiation of light, which may be termed light management. Analysis of the interaction of light with long cylindrical/hexagonal structures with subwavelength diameters identifies radial resonant modes, such as Leaky Mode Resonances, or Whispering Gallery modes. The two-dimensional treatment should incorporate axial variations in "volumetric modes,"which have so far been presented in terms of Fabry-Perot (FP), and helical resonance modes. We report on finite-difference timedomain (FDTD) simulations with the aim of identifying the dependence of these modes on geometry (length, width), tapering, shape (cylindrical, hexagonal), core-shell versus core-only, and dielectric cores with semiconductor shells. This demonstrates how nanowires (NWs) form excellent optical cavities without the need for top and bottommirrors. However, optically equivalent structures such as hexagonal and cylindrical wires can have very different optoelectronic properties meaning that light management alone does not sufficiently describe the observed enhancement in upward (absorption) and downward transitions (emission) of light inNWs; rather, the electronic transition rates should be considered. We discuss this "rate management" scheme showing its strong dimensional dependence, making a case for photonic integrated circuits (PICs) that can take advantage of the confluence of the desirable optical and electronic properties of these nanostructures.

  17. Gate bias stress in pentacene field-effect-transistors: Charge trapping in the dielectric or semiconductor

    NASA Astrophysics Data System (ADS)

    Häusermann, R.; Batlogg, B.

    2011-08-01

    Gate bias stress instability in organic field-effect transistors (OFETs) is a major conceptual and device issue. This effect manifests itself by an undesirable shift of the transfer characteristics and is associated with long term charge trapping. We study the role of the dielectric and the semiconductor separately by producing OFETs with the same semiconductor (pentacene) combined with different dielectrics (SiO2 and Cytop). We show that it is possible to fabricate devices which are immune to gate bias stress. For other material combinations, charge trapping occurs in the semiconductor alone or in the dielectric.

  18. A Characteristic Mode Analysis of Conductive Nanowires and Microwires Above a Lossy Dielectric Half-Space

    NASA Astrophysics Data System (ADS)

    Kiddle, Daniel S.

    Nanowires possess extraordinary mechanical, thermoelectric and electromagnetic properties which led to their incorporation in a wide variety of applications. The purpose of this study is to investigate the effect of material on the electromagnetic response of these nanowires. We used the Method of Moments (MOM) for Arbitrarily Thin Wire (ATW) formulation as an efficient computational technique for calculating the electromagnetic response of nanowires. To explain the calculated electromagnetic response, we evoked the Characteristic Mode Analysis (CMA) which decomposes the current on the wire into a superposition of fundamental current modes. These modes are weighted by two coefficients: (i) the relative importance of each mode at a certain frequency, termed Modal Significance, and (ii) the level of coupling between the incident field and the mode termed the Modal Excitation Coefficient. In this, work we study how the wire's material affect the Modal Significance and the Modal Excitation Coefficient of nanowires. Our results show that the material of the nanowire has a strong effect on the resonance frequency, the bandwidth, and the overlap of the modes showing that the material of the nanowire can be used as a tuning factor to develop sensors with desired radiation characteristics. Nanowires are commonly grown vertically on a substrate and, therefore, we also study the effect of the presence of a lossy dielectric half-space on their electromagnetic response. To efficiently account for this interface, we utilize a modified Green's function using the rigorous Sommerfeld integrals. Our results show that the relative permittivity of the substrate decreases the resonance frequencies of the nanowires and significantly alters their radiation patterns. Most importantly, we find that, if the nanowire is near the interface, its evanescent field's couple to the dielectric half space leading to the majority of the scattered power radiated into the substrate with high

  19. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    NASA Astrophysics Data System (ADS)

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-02-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters.

  20. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    PubMed Central

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-01-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters. PMID:26842997

  1. Surfactant-Templated Mesoporous Metal Oxide Nanowires

    DOE PAGES

    Luo, Hongmei; Lin, Qianglu; Baber, Stacy; ...

    2010-01-01

    We demore » monstrate two approaches to prepare mesoporous metal oxide nanowires by surfactant assembly and nanoconfinement via sol-gel or electrochemical deposition. For example, mesoporous Ta 2 O 5 and zeolite nanowires are prepared by block copolymer Pluronic 123-templated sol-gel method, and mesoporous ZnO nanowires are prepared by electrodeposition in presence of anionic surfactant sodium dodecyl sulfate (SDS) surfactant, in porous membranes. The morphologies of porous nanowires are studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses.« less

  2. Compositional disorder and its effect on the thermoelectric performance of Zn₃P₂ nanowire-copper nanoparticle composites.

    PubMed

    Brockway, Lance; Vasiraju, Venkata; Vaddiraju, Sreeram

    2014-03-28

    Recent studies indicated that nanowire format of materials is ideal for enhancing the thermoelectric performance of materials. Most of these studies were performed using individual nanowires as the test elements. It is not currently clear whether bulk assemblies of nanowires replicate this enhanced thermoelectric performance of individual nanowires. Therefore, it is imperative to understand whether enhanced thermoelectric performance exhibited by individual nanowires can be extended to bulk assemblies of nanowires. It is also imperative to know whether the addition of metal nanoparticle to semiconductor nanowires can be employed for enhancing their thermoelectric performance further. Specifically, it is important to understand the effect of microstructure and composition on the thermoelectric performance on bulk compound semiconductor nanowire-metal nanoparticle composites. In this study, bulk composites composed of mixtures of copper nanoparticles with either unfunctionalized or 1,4-benzenedithiol (BDT) functionalized Zn₃P₂ nanowires were fabricated and analyzed for their thermoelectric performance. The results indicated that use of BDT functionalized nanowires for the fabrication of composites leads to interface-engineered composites that have uniform composition all across their cross-section. The interface engineering allows for increasing their Seebeck coefficients and electrical conductivities, relative to the Zn₃P₂ nanowire pellets. In contrast, the use of unfunctionalized Zn₃P₂ nanowires for the fabrication of composite leads to the formation of composites that are non-uniform in composition across their cross-section. Ultimately, the composites were found to have Zn₃P₂ nanowires interspersed with metal alloy nanoparticles. Such non-uniform composites exhibited very high electrical conductivities, but slightly lower Seebeck coefficients, relative to Zn₃P₂ nanowire pellets. These composites were found to show a very high zT of 0.23 at 770

  3. Anomalous high capacitance in a coaxial single nanowire capacitor.

    PubMed

    Liu, Zheng; Zhan, Yongjie; Shi, Gang; Moldovan, Simona; Gharbi, Mohamed; Song, Li; Ma, Lulu; Gao, Wei; Huang, Jiaqi; Vajtai, Robert; Banhart, Florian; Sharma, Pradeep; Lou, Jun; Ajayan, Pulickel M

    2012-06-06

    Building entire multiple-component devices on single nanowires is a promising strategy for miniaturizing electronic applications. Here we demonstrate a single nanowire capacitor with a coaxial asymmetric Cu-Cu(2)O-C structure, fabricated using a two-step chemical reaction and vapour deposition method. The capacitance measured from a single nanowire device corresponds to ~140 μF cm(-2), exceeding previous reported values for metal-insulator-metal micro-capacitors and is more than one order of magnitude higher than what is predicted by classical electrostatics. Quantum mechanical calculations indicate that this unusually high capacitance may be attributed to a negative quantum capacitance of the dielectric-metal interface, enhanced significantly at the nanoscale.

  4. Electronic Structures of Free-Standing Nanowires made from Indirect Bandgap Semiconductor Gallium Phosphide

    PubMed Central

    Liao, Gaohua; Luo, Ning; Chen, Ke-Qiu; Xu, H. Q.

    2016-01-01

    We present a theoretical study of the electronic structures of freestanding nanowires made from gallium phosphide (GaP)—a III-V semiconductor with an indirect bulk bandgap. We consider [001]-oriented GaP nanowires with square and rectangular cross sections, and [111]-oriented GaP nanowires with hexagonal cross sections. Based on tight binding models, both the band structures and wave functions of the nanowires are calculated. For the [001]-oriented GaP nanowires, the bands show anti-crossing structures, while the bands of the [111]-oriented nanowires display crossing structures. Two minima are observed in the conduction bands, while the maximum of the valence bands is always at the Γ-point. Using double group theory, we analyze the symmetry properties of the lowest conduction band states and highest valence band states of GaP nanowires with different sizes and directions. The band state wave functions of the lowest conduction bands and the highest valence bands of the nanowires are evaluated by spatial probability distributions. For practical use, we fit the confinement energies of the electrons and holes in the nanowires to obtain an empirical formula. PMID:27307081

  5. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  6. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  7. Plasmonic engineering of metal-oxide nanowire heterojunctions in integrated nanowire rectification units

    NASA Astrophysics Data System (ADS)

    Lin, Luchan; Zou, Guisheng; Liu, Lei; Duley, Walt W.; Zhou, Y. Norman

    2016-05-01

    We show that irradiation with femtosecond laser pulses can produce robust nanowire heterojunctions in coupled non-wetting metal-oxide Ag-TiO2 structures. Simulations indicate that joining arises from the effect of strong plasmonic localization in the region of the junction. Strong electric field effects occur in both Ag and TiO2 resulting in the modification of both surfaces and an increase in wettability of TiO2, facilitating the interconnection of Ag and TiO2 nanowires. Irradiation leads to the creation of a thin layer of highly defected TiO2 in the contact region between the Ag and TiO2 nanowires. The presence of this layer allows the formation of a heterojunction and offers the possibility of engineering the electronic characteristics of interfacial structures. Rectifying junctions with single and bipolar properties have been generated in Ag-TiO2 nanowire circuits incorporating asymmetrical and symmetrical interfacial structures, respectively. This fabrication technique should be applicable for the interconnection of other heterogeneous metal-oxide nanowire components and demonstrates that femtosecond laser irradiation enables interfacial engineering for electronic applications of integrated nanowire structures.

  8. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  9. Plasmon‐Mediated Solar Energy Conversion via Photocatalysis in Noble Metal/Semiconductor Composites

    PubMed Central

    Wang, Mengye; Ye, Meidan; Iocozzia, James

    2016-01-01

    Plasmonics has remained a prominent and growing field over the past several decades. The coupling of various chemical and photo phenomenon has sparked considerable interest in plasmon‐mediated photocatalysis. Given plasmonic photocatalysis has only been developed for a relatively short period, considerable progress has been made in improving the absorption across the full solar spectrum and the efficiency of photo‐generated charge carrier separation. With recent advances in fundamental (i.e., mechanisms) and experimental studies (i.e., the influence of size, geometry, surrounding dielectric field, etc.) on plasmon‐mediated photocatalysis, the rational design and synthesis of metal/semiconductor hybrid nanostructure photocatalysts has been realized. This review seeks to highlight the recent impressive developments in plasmon‐mediated photocatalytic mechanisms (i.e., Schottky junction, direct electron transfer, enhanced local electric field, plasmon resonant energy transfer, and scattering and heating effects), summarize a set of factors (i.e., size, geometry, dielectric environment, loading amount and composition of plasmonic metal, and nanostructure and properties of semiconductors) that largely affect plasmonic photocatalysis, and finally conclude with a perspective on future directions within this rich field of research. PMID:27818901

  10. Plasmonic lattice solitons in metallic nanowire materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swami, O. P., E-mail: omg1789@gmail.com; Kumar, Vijendra, E-mail: vsmedphysics@gmail.com; Nagar, A. K., E-mail: ajaya.nagar@gmail.com

    2016-05-06

    In this paper, we demonstrate theoretically that the plasmonic lattice solitons (PLSs) are formed in array of metallic nanowires embedded in Kerr-type material. The strong nonlinearity at metal surface, combined with the tight confinement of the guiding modes of the metallic nanowires, provide the main physical mechanism for balancing the creation of plasmonic lattice solitons and wave diffraction. We show that the PLSs are satisfied in a verity of plasmonic systems, which have important applications in nanophotonics and subwavelength optics.

  11. Exciton fission in monolayer transition metal dichalcogenide semiconductors.

    PubMed

    Steinhoff, A; Florian, M; Rösner, M; Schönhoff, G; Wehling, T O; Jahnke, F

    2017-10-27

    When electron-hole pairs are excited in a semiconductor, it is a priori not clear if they form a plasma of unbound fermionic particles or a gas of composite bosons called excitons. Usually, the exciton phase is associated with low temperatures. In atomically thin transition metal dichalcogenide semiconductors, excitons are particularly important even at room temperature due to strong Coulomb interaction and a large exciton density of states. Using state-of-the-art many-body theory, we show that the thermodynamic fission-fusion balance of excitons and electron-hole plasma can be efficiently tuned via the dielectric environment as well as charge carrier doping. We propose the observation of these effects by studying exciton satellites in photoemission and tunneling spectroscopy, which present direct solid-state counterparts of high-energy collider experiments on the induced fission of composite particles.

  12. Spin-orbit qubit in a semiconductor nanowire.

    PubMed

    Nadj-Perge, S; Frolov, S M; Bakkers, E P A M; Kouwenhoven, L P

    2010-12-23

    Motion of electrons can influence their spins through a fundamental effect called spin-orbit interaction. This interaction provides a way to control spins electrically and thus lies at the foundation of spintronics. Even at the level of single electrons, the spin-orbit interaction has proven promising for coherent spin rotations. Here we implement a spin-orbit quantum bit (qubit) in an indium arsenide nanowire, where the spin-orbit interaction is so strong that spin and motion can no longer be separated. In this regime, we realize fast qubit rotations and universal single-qubit control using only electric fields; the qubits are hosted in single-electron quantum dots that are individually addressable. We enhance coherence by dynamically decoupling the qubits from the environment. Nanowires offer various advantages for quantum computing: they can serve as one-dimensional templates for scalable qubit registers, and it is possible to vary the material even during wire growth. Such flexibility can be used to design wires with suppressed decoherence and to push semiconductor qubit fidelities towards error correction levels. Furthermore, electrical dots can be integrated with optical dots in p-n junction nanowires. The coherence times achieved here are sufficient for the conversion of an electronic qubit into a photon, which can serve as a flying qubit for long-distance quantum communication.

  13. Byproduct-free mass production of compound semiconductor nanowires: zinc phosphide

    NASA Astrophysics Data System (ADS)

    Chen, Yixi; Polinnaya, Rakesh; Vaddiraju, Sreeram

    2018-05-01

    A method for the mass production of compound semiconductor nanowires that involves the direct reaction of component elements in a chemical vapor deposition chamber (CVD) is presented. This method results in nanowires, without the associated production of any other byproducts such as nanoparticles or three-dimensional (3D) bulk crystals. Furthermore, no unreacted reactants remain mixed with the nanowire product in this method. This byproduct-free nanowire production thus circumvents the need to tediously purify and collect nanowires from a mixture of products/reactants after their synthesis. Demonstration made using zinc phosphide (Zn3P2) material system as an example indicated that the direct reaction of zinc microparticles with phosphorus supplied via the vapor phase results in the production of gram quantities of nanowires. To enhance thermal transport and achieve the complete reaction of zinc microparticles, while simultaneously ensuring that the microparticles do not agglomerate into macroscale zinc particles and partly remain unreacted (owing to diffusion limitations), pellets composed of mixtures of zinc and a sacrificial salt, NH4Cl, were employed as the starting material. The sublimation by decomposition of NH4Cl in the early stages of the reaction leaves a highly porous pellet of zinc composed of only zinc microparticles, which allows for inward diffusion of phosphorus/outward diffusion of zinc and the complete conversion of zinc into Zn3P2 nanowires. NH4Cl also aids in removal of any native oxide layer present on the zinc microparticles that may prevent their reaction with phosphorus. This method may be used to mass produce many other nanowires in a byproduct-free manner, besides Zn3P2.

  14. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  15. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  16. Nanoimprinted Hybrid Metal-Semiconductor Plasmonic Multilayers with Controlled Surface Nano Architecture for Applications in NIR Detectors

    PubMed Central

    Khosroabadi, Akram A.; Gangopadhyay, Palash; Hernandez, Steven; Kim, Kyungjo; Peyghambarian, Nasser; Norwood, Robert A.

    2015-01-01

    We present a proof of concept for tunable plasmon resonance frequencies in a core shell nano-architectured hybrid metal-semiconductor multilayer structure, with Ag as the active shell and ITO as the dielectric modulation media. Our method relies on the collective change in the dielectric function within the metal semiconductor interface to control the surface. Here we report fabrication and optical spectroscopy studies of large-area, nanostructured, hybrid silver and indium tin oxide (ITO) structures, with feature sizes below 100 nm and a controlled surface architecture. The optical and electrical properties of these core shell electrodes, including the surface plasmon frequency, can be tuned by suitably changing the order and thickness of the dielectric layers. By varying the dimensions of the nanopillars, the surface plasmon wavelength of the nanopillar Ag can be tuned from 650 to 690 nm. Adding layers of ITO to the structure further shifts the resonance wavelength toward the IR region and, depending on the sequence and thickness of the layers within the structure, we show that such structures can be applied in sensing devices including enhancing silicon as a photodetection material. PMID:28793489

  17. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    PubMed

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  18. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials

    NASA Astrophysics Data System (ADS)

    Sadeghi, S. M.; Wing, W. J.; Gutha, R. R.; Capps, L.

    2017-03-01

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  19. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  20. Optically controllable nanobreaking of metallic nanowires

    NASA Astrophysics Data System (ADS)

    Zhou, Lina; Lu, Jinsheng; Yang, Hangbo; Luo, Si; Wang, Wei; Lv, Jun; Qiu, Min; Li, Qiang

    2017-02-01

    Nanobreaking of nanowires has shown its necessity for manufacturing integrated nanodevices as nanojoining does. In this letter, we develop a method for breaking gold pentagonal nanowires by taking advantage of the photothermal effect with a 532 nm continuous-wave (CW) laser. The critical power required for nanobreaking is much lower for perpendicular polarization than that for parallel polarization. By controlling the polarization and the power of the irradiation light for nanobreaking, the nanowires can be cut into segments with gap widths ranging from dozens of nanometers to several micrometers. This CW light-induced single point nanobreaking of metallic nanowires provides a highly useful and promising method in constructing nanosystems.

  1. Structural Flexibility and Alloying in Ultrathin Transition-Metal Chalcogenide Nanowires

    DOE PAGES

    Lin, Junhao; Zhang, Yuyang; Zhou, Wu; ...

    2016-01-18

    Metallic transition-metal chalcogenide (TMC) nanowires are an important building block for 2D electronics that may be fabricated within semiconducting transition-metal dichalcogenide (TMDC) monolayers. Tuning the geometric structure and electronic properties of such nanowires is a promising way to pattern diverse functional channels for wiring multiple units inside a 2D electronic circuit. Nevertheless, few experimental investigations have been reported exploring the structural and compositional tunability of these nanowires, due to difficulties in manipulating the structure and chemical composition of an individual nanowire. Here, using a combination of scanning transmission electron microscopy (STEM) and density functional theory (DFT), we report that TMCmore » nanowires have substantial intrinsic structural flexibility and their chemical composition can be manipulated.« less

  2. Optimization of dielectric matrix for ZnO nanowire based nanogenerators

    NASA Astrophysics Data System (ADS)

    Kannan, Santhosh; Parmar, Mitesh; Tao, Ran; Ardila, Gustavo; Mouis, Mireille

    2016-11-01

    This paper reports the role of selection of suitable dielectric layer in nanogenerator (NG) structure and its influence on the output performance. The basic NG structure is a composite material integrating hydrothermally grown vertical piezoelectric zinc oxide (ZnO) nanowires (NWs) into a dielectric matrix. To accomplish this study, three materials - poly methyl methacrylate (PMMA), silicon nitride (Si3N4) and aluminium oxide (Al2O3) are selected, processed and used as matrix dielectric in NGs. Scanning electron microscopy (SEM) analysis shows the well-aligned NWs with a diameter of 200±50 nm and length of 3.5±0.3 μm. This was followed by dielectric material deposition as a matrix material. After fabricating NG devices, the output generated voltage under manual and automatic bending were recorded, observed and analyzed for the selection of the best dielectric material to obtain an optimum output. The maximum peak-to-peak open-circuit voltage output for PMMA, Si3N4 and Al2O3 under manual bending was recorded as approximately 880 mV, 1.2 V and 2.1 V respectively. These preliminary results confirm the predicted effect of using more rigid dielectrics as matrix material for the NGs. The generated voltage is increased by about 70% using Si3N4 or Al2O3, instead of a less rigid material as PMMA.

  3. Piezo-Phototronic Matrix via a Nanowire Array.

    PubMed

    Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2017-12-01

    Piezoelectric semiconductors, such as ZnO and GaN, demonstrate multiproperty coupling effects toward various aspects of mechanical, electrical, and optical excitation. In particular, the three-way coupling among semiconducting, photoexcitation, and piezoelectric characteristics in wurtzite-structured semiconductors is established as a new field, which was first coined as piezo-phototronics by Wang in 2010. The piezo-phototronic effect can controllably modulate the charge-carrier generation, separation, transport, and/or recombination in optical-electronic processes by modifying the band structure at the metal-semiconductor or semiconductor-semiconductor heterojunction/interface. Here, the progress made in using the piezo-phototronic effect for enhancing photodetectors, pressure sensors, light-emitting diodes, and solar cells is reviewed. In comparison with previous works on a single piezoelectric semiconducting nanowire, piezo-phototronic nanodevices built using nanowire arrays provide a promising platform for fabricating integrated optoelectronics with the realization of high-spatial-resolution imaging and fast responsivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Adsorption of alkali and alkaline earth metal atoms and dimers on monolayer germanium carbide

    NASA Astrophysics Data System (ADS)

    Gökçe, Aytaç Gürhan; Ersan, Fatih

    2017-01-01

    First-principles plane wave calculations have been performed to study the adsorption of alkali and alkaline earth metals on monolayer germanium carbide (GeC). We found that the favourable adsorption sites on GeC sheet for single alkali and alkaline earth adatoms are generally different from graphene or germanene. Among them, Mg, Na and their dimers have weakly bounded to GeC due to their closed valence electron shells, so they may have high mobility on GeC. Two different levels of adatom coverage (? and ?) have been investigated and we concluded that different electronic structures and magnetic moments for both coverages owing to alkali and alkaline earth atoms have long range electrostatic interactions. Lithium atom prefers to adsorbed on hollow site similar to other group-IV monolayers and the adsorption results in metallisation of GeC instead of semiconducting behaviour. Na and K adsorption can induce 1 ? total magnetic moment on GeC structures and they have shown semiconductor property which may have potential use in spintronic devices. We also showed that alkali or alkaline earth metal atoms can form dimer on GeC sheet. Calculated adsorption energies suggest that clustering of alkali and alkaline earth atoms is energetically favourable. All dimer adsorbed GeC systems have nonmagnetic semiconductor property with varying band gaps from 0.391 to 1.311 eV which are very suitable values for various device applications.

  5. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  6. Tuning Rashba spin-orbit coupling in homogeneous semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Wójcik, Paweł; Bertoni, Andrea; Goldoni, Guido

    2018-04-01

    We use k .p theory to estimate the Rashba spin-orbit coupling (SOC) in large semiconductor nanowires. We specifically investigate GaAs- and InSb-based devices with different gate configurations to control symmetry and localization of the electron charge density. We explore gate-controlled SOC for wires of different size and doping, and we show that in high carrier density SOC has a nonlinear electric field susceptibility, due to large reshaping of the quantum states. We analyze recent experiments with InSb nanowires in light of our calculations. Good agreement is found with the SOC coefficients reported in Phys. Rev. B 91, 201413(R) (2015), 10.1103/PhysRevB.91.201413, but not with the much larger values reported in Nat. Commun. 8, 478 (2017), 10.1038/s41467-017-00315-y. We discuss possible origins of this discrepancy.

  7. Self-assembly of metal nanowires induced by alternating current electric fields

    NASA Astrophysics Data System (ADS)

    García-Sánchez, Pablo; Arcenegui, Juan J.; Morgan, Hywel; Ramos, Antonio

    2015-01-01

    We describe the reversible assembly of an aqueous suspension of metal nanowires into two different 2-dimensional stable configurations. The assembly is induced by an AC electric field of magnitude around 10 kV/m. It is known that single metal nanowires orientate parallel to the electric field for all values of applied frequency, according to two different mechanisms depending on the frequency. These different mechanisms also govern the mutual interaction between nanowires, which leads to directed-assembly into distinctive structures, the shape of which depends on the frequency of the applied field. We show that for frequencies higher than the typical frequency for charging the electrical double layer at the metal-electrolyte interface, dipole-dipole interaction leads to the formation of chains of nanowires. For lower frequencies, the nanowires form wavy bands perpendicular to the electric field direction. This behavior appears to be driven by the electroosmotic flow induced on the metal surface of the nanowires. Remarkably, no similar structures have been reported in previous studies of nanowires.

  8. One-Dimensional Nanostructures and Devices of II–V Group Semiconductors

    PubMed Central

    2009-01-01

    The II–V group semiconductors, with narrow band gaps, are important materials with many applications in infrared detectors, lasers, solar cells, ultrasonic multipliers, and Hall generators. Since the first report on trumpet-like Zn3P2nanowires, one-dimensional (1-D) nanostructures of II–V group semiconductors have attracted great research attention recently because these special 1-D nanostructures may find applications in fabricating new electronic and optoelectronic nanoscale devices. This article covers the 1-D II–V semiconducting nanostructures that have been synthesized till now, focusing on nanotubes, nanowires, nanobelts, and special nanostructures like heterostructured nanowires. Novel electronic and optoelectronic devices built on 1-D II–V semiconducting nanostructures will also be discussed, which include metal–insulator-semiconductor field-effect transistors, metal-semiconductor field-effect transistors, andp–nheterojunction photodiode. We intent to provide the readers a brief account of these exciting research activities. PMID:20596452

  9. Electronic transport behavior of diameter-graded Ag nanowires

    NASA Astrophysics Data System (ADS)

    Wang, Xue Wei; Yuan, Zhi Hao

    2010-05-01

    Ag nanowires with a graded diameter in anodic aluminum oxide (AAO) membranes were fabricated by the direct-current electrodeposition. The Ag nanowires have a graded-change in diameter from 8 to 32 nm, which is matched with the graded-change of the AAO pore diameter. Electronic transport measurements show that there is a transport behavior similar to that of a metal-semiconductor junction along the axial direction in the diameter-graded Ag nanowires. Such a novel homogeneous nanojunction will be of great fundamental and practical significance.

  10. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  11. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Using a Semiconductor-to-Metal Transition to Control Optical Transmission through Subwavelength Hole Arrays

    DOE PAGES

    Donev, E. U.; Suh, J. Y.; Lopez, R.; ...

    2008-01-01

    We describe a simple configuration in which the extraordinary optical transmission effect through subwavelength hole arrays in noble-metal films can be switched by the semiconductor-to-metal transition in an underlying thin film of vanadium dioxide. In these experiments, the transition is brought about by thermal heating of the bilayer film. The surprising reverse hysteretic behavior of the transmission through the subwavelength holes in the vanadium oxide suggest that this modulation is accomplished by a dielectric-matching condition rather than plasmon coupling through the bilayer film. The results of this switching, including the wavelength dependence, are qualitatively reproduced by a transfer matrix model.more » The prospects for effecting a similar modulation on a much faster time scale by using ultrafast laser pulses to trigger the semiconductor-to-metal transition are also discussed.« less

  13. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  14. Colossal dielectric constant in PrFeO 3 semiconductor ceramics

    NASA Astrophysics Data System (ADS)

    Prasad, Bandi Vittal; Rao, G. Narsinga; Chen, J. W.; Babu, D. Suresh

    2012-02-01

    The perovskite PrFeO 3 ceramics were synthesized via sol-gel method. The dielectric properties and impedance spectroscopy (IS) of these ceramics were studied in the frequency range from 100 Hz to 1000 kHz in the temperature range from 80 K to 300 K. These materials exhibited colossal dielectric constant value of ˜10 4 at room temperature. The response is similar to that observed for relaxorferroelectrics. IS data analysis indicates the ceramics to be electrically heterogeneous semiconductor consisting of semiconducting grains with dielectric constant 30 and more resistive grain boundaries with effective dielectric constant ˜10 4. We conclude, therefore that grain boundary effect is the primary source for the high effective permittivity in PrFeO 3 ceramics.

  15. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  16. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  17. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  18. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  19. 25th anniversary article: semiconductor nanowires--synthesis, characterization, and applications.

    PubMed

    Dasgupta, Neil P; Sun, Jianwei; Liu, Chong; Brittman, Sarah; Andrews, Sean C; Lim, Jongwoo; Gao, Hanwei; Yan, Ruoxue; Yang, Peidong

    2014-04-09

    Semiconductor nanowires (NWs) have been studied extensively for over two decades for their novel electronic, photonic, thermal, electrochemical and mechanical properties. This comprehensive review article summarizes major advances in the synthesis, characterization, and application of these materials in the past decade. Developments in the understanding of the fundamental principles of "bottom-up" growth mechanisms are presented, with an emphasis on rational control of the morphology, stoichiometry, and crystal structure of the materials. This is followed by a discussion of the application of nanowires in i) electronic, ii) sensor, iii) photonic, iv) thermoelectric, v) photovoltaic, vi) photoelectrochemical, vii) battery, viii) mechanical, and ix) biological applications. Throughout the discussion, a detailed explanation of the unique properties associated with the one-dimensional nanowire geometry will be presented, and the benefits of these properties for the various applications will be highlighted. The review concludes with a brief perspective on future research directions, and remaining barriers which must be overcome for the successful commercial application of these technologies. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Germanium Lift-Off Masks for Thin Metal Film Patterning

    NASA Technical Reports Server (NTRS)

    Brown, Ari

    2012-01-01

    A technique has been developed for patterning thin metallic films that are, in turn, used to fabricate microelectronics circuitry and thin-film sensors. The technique uses germanium thin films as lift-off masks. This requires development of a technique to strip or undercut the germanium chemically without affecting the deposited metal. Unlike in the case of conventional polymeric lift-off masks, the substrate can be exposed to very high temperatures during processing (sputter deposition). The reason why polymeric liftoff masks cannot be exposed to very high temperatures (greater than 100 C) is because (a) they can become cross linked, making lift-off very difficult if not impossible, and (b) they can outgas nitrogen and oxygen, which then can react with the metal being deposited. Consequently, this innovation is expected to find use in the fabrication of transition edge sensors and microwave kinetic inductance detectors, which use thin superconducting films deposited at high temperature as their sensing elements. Transition edge sensors, microwave kinetic inductance detectors, and their circuitry are comprised of superconducting thin films, for example Nb and TiN. Reactive ion etching can be used to pattern these films; however, reactive ion etching also damages the underlying substrate, which is unwanted in many instances. Polymeric lift-off techniques permit thin-film patterning without any substrate damage, but they are difficult to remove and the polymer can outgas during thin-film deposition. The outgassed material can then react with the film with the consequence of altered and non-reproducible materials properties, which, in turn, is deleterious for sensors and their circuitry. The purpose of this innovation was to fabricate a germanium lift-off mask to be used for patterning thin metal films.

  1. Giant dielectric permittivity in interrupted silver nanowires grown within mesoporous silica

    NASA Astrophysics Data System (ADS)

    Maity, Anupam; Samanta, Subha; Chatterjee, Soumi; Maiti, Ramaprasad; Biswas, Debasish; Saha, Shyamal K.; Chakravorty, Dipankar

    2018-06-01

    Nanoglasses in the system Ag2O–SiO2 were formed within the pores of mesoporous silica SBA-15 (Santa Barbara Amorphous). Silver nanowires of diameter 5 nm were grown within SBA-15 by the process of electrodeposition. The nanowires were disrupted by applying a suitable voltage pulse. Detailed transmission and scanning electron microscopy studies were carried out. The disrupted silver strands were found to have an average length of 90 nm. The density of interrupted strands was estimated from the electron micrographs and found to have values in the range (10–20)  ×  1010 cm‑2. Dielectric constant and dielectric loss factors of the nanocomposites of disrupted silver strand—containing Ag2O–SiO2 glass and SBA-15 were found to have values in the range 200–300 and 0.014–0.008 respectively at frequencies in the range 10 kHz–2 MHz. These values were found to be in satisfactory agreement with the theoretical model of Rice and Bernasconi emanating from the theory of Gorkhov and Eliashberg. These nanocomposites are expected to be useful in the fabrication of supercapacitors, after developing suitable electrode system for the material.

  2. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  3. Stable and High Piezoelectric Output of GaN Nanowire-Based Lead-Free Piezoelectric Nanogenerator by Suppression of Internal Screening.

    PubMed

    Johar, Muhammad Ali; Hassan, Mostafa Afifi; Waseem, Aadil; Ha, Jun-Seok; Lee, June Key; Ryu, Sang-Wan

    2018-06-14

    A piezoelectric nanogenerator (PNG) that is based on c-axis GaN nanowires is fabricated on flexible substrate. In this regard, c-axis GaN nanowires were grown on GaN substrate using the vapor-liquid-solid (VLS) technique by metal organic chemical vapor deposition. Further, Polydimethylsiloxane (PDMS) was coated on nanowire-arrays then PDMS matrix embedded with GaN nanowire-arrays was transferred on Si-rubber substrate. The piezoelectric performance of nanowire-based flexible PNG was measured, while the device was actuated using a cyclic stretching-releasing agitation mechanism that was driven by a linear motor. The piezoelectric output was measured as a function of actuation frequency ranging from 1 Hz to 10 Hz and a linear tendency was observed for piezoelectric output current, while the output voltages remained constant. A maximum of piezoelectric open circuit voltages and short circuit current were measured 15.4 V and 85.6 nA, respectively. In order to evaluate the feasibility of our flexible PNG for real application, a long term stability test was performed for 20,000 cycles and the device performance was degraded by less than 18%. The underlying reason for the high piezoelectric output was attributed to the reduced free carriers inside nanowires due to surface Fermi-level pinning and insulating metal-dielectric-semiconductor interface, respectively; the former reduced the free carrier screening radially while latter reduced longitudinally. The flexibility and the high aspect ratio of GaN nanowire were the responsible factors for higher stability. Such higher piezoelectric output and the novel design make our device more promising for the diverse range of real applications.

  4. Semiconductor-insulator transition in a YbB6 nanowire with boron vacancy

    NASA Astrophysics Data System (ADS)

    Han, Wei; Wang, Zhen; Li, Qidong; Lian, Xin; Liu, Xudong; Fan, Qinghua; Zhao, Yanming

    2018-06-01

    In this paper, we report the study of transport and magnetic properties of ytterbium hexaboride (YbB6) nanowires grown by a low trigger-temperature (200-240 °C) solid state method. The temperature dependence of resistivity shows that the YbB6 nanowire undergoes a semiconductor-insulator transition (SIT) below 20 K with an activation energy ΔE of 1 meV. The value of ρ at 2 K reaches 49 times the value of ρ at 300 K (ρ2 K/ρ300 K = 49). The observed non-saturating magnetoresistance (MR) has a linear relationship with B2. The anomalous electronic transport in the YbB6 nanowire can be explained by the mixed valence of Yb ions due to the boron deficiency supporting by the X-ray photoelectron spectroscopy (XPS) and paramagnetic magnetization.

  5. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  6. Synthesis and characterization of WO3 nanowires and metal nanoparticle-WO3 nanowire composites

    NASA Astrophysics Data System (ADS)

    Szabó, Mária; Pusztai, Péter; Leino, Anne-Riikka; Kordás, Krisztián; Kónya, Zoltán; Kukovecz, Ákos

    2013-07-01

    Tungsten-trioxide nanowire bundles were prepared using a simple hydrothermal method. Sodium-tungstate was used as precursor and sodium-sulfate as structure directing agent. All the reflections of the X-ray diffractogram of the synthesized wires belong to the hexagonal phase of the tungsten trioxide. The nanowires were successfully decorated with metal nanoparticles by wet impregnation. The TEM investigation showed that using different metal precursors resulted in different particle sizes and coverage on the surface.

  7. Brittle-to-Ductile Transition in Metallic Glass Nanowires.

    PubMed

    Şopu, D; Foroughi, A; Stoica, M; Eckert, J

    2016-07-13

    When reducing the size of metallic glass samples down to the nanoscale regime, experimental studies on the plasticity under uniaxial tension show a wide range of failure modes ranging from brittle to ductile ones. Simulations on the deformation behavior of nanoscaled metallic glasses report an unusual extended strain softening and are not able to reproduce the brittle-like fracture deformation as found in experiments. Using large-scale molecular dynamics simulations we provide an atomistic understanding of the deformation mechanisms of metallic glass nanowires and differentiate the extrinsic size effects and aspect ratio contribution to plasticity. A model for predicting the critical nanowire aspect ratio for the ductile-to-brittle transition is developed. Furthermore, the structure of brittle nanowires can be tuned to a softer phase characterized by a defective short-range order and an excess free volume upon systematic structural rejuvenation, leading to enhanced tensile ductility. The presented results shed light on the fundamental deformation mechanisms of nanoscaled metallic glasses and demarcate ductile and catastrophic failure.

  8. Chirality-Discriminated Conductivity of Metal-Amino Acid Biocoordination Polymer Nanowires.

    PubMed

    Zheng, Jianzhong; Wu, Yijin; Deng, Ke; He, Meng; He, Liangcan; Cao, Jing; Zhang, Xugang; Liu, Yaling; Li, Shunxing; Tang, Zhiyong

    2016-09-27

    Biocoordination polymer (BCP) nanowires are successfully constructed through self-assembly of chiral cysteine amino acids and Cd cations in solution. The varied chirality of cysteine is explored to demonstrate the difference of BCP nanowires in both morphology and structure. More interestingly and surprisingly, the electrical property measurement reveals that, although all Cd(II)/cysteine BCP nanowires behave as semiconductors, the conductivity of the Cd(II)/dl-cysteine nanowires is 4 times higher than that of the Cd(II)/l-cysteine or Cd(II)/d-cysteine ones. The origin of such chirality-discriminated characteristics registered in BCP nanowires is further elucidated by theoretical calculation. These findings demonstrate that the morphology, structure, and property of BCP nanostructures could be tuned by the chirality of the bridging ligands, which will shed light on the comprehension of chirality transcription as well as construction of chirality-regulated functional materials.

  9. Metal-Insulator Transition in W-doped VO2 Nanowires

    NASA Astrophysics Data System (ADS)

    Long, Gen; Parry, James; Whittaker, Luisa; Banerjee, Sarbajit; Zeng, Hao

    2010-03-01

    We report a systematic study of the metal-insulator transition in W-doped VO2 nanowires. Magnetic susceptibility were measured for a bulk amount of VO2 nanowire powder. The susceptibility shows a sharp drop with decreasing temperature corresponding to the metal-insulator transition. The transition shows large temperature hysteresis for cooling and heating. With increasing doping concentration, the transition temperatures decreases systematically from 320 K to 275K. Charge transport measurements on the same nanowires showed similar behavior. XRD and TEM measurements were taken to further determine the structure of the materials in study.

  10. A general melt-injection-decomposition route to oriented metal oxide nanowire arrays

    NASA Astrophysics Data System (ADS)

    Han, Dongqiang; Zhang, Xinwei; Hua, Zhenghe; Yang, Shaoguang

    2016-12-01

    In this manuscript, a general melt-injection-decomposition (MID) route has been proposed and realized for the fabrication of oriented metal oxide nanowire arrays. Nitrate was used as the starting materials, which was injected into the nanopores of the anodic aluminum oxide (AAO) membrane through the capillarity action in its liquid state. At higher temperature, the nitrate decomposed into corresponding metal oxide within the nanopores of the AAO membrane. Oriented metal oxide nanowire arrays were formed within the AAO membrane as a result of the confinement of the nanopores. Four kinds of metal oxide (CuO, Mn2O3, Co3O4 and Cr2O3) nanowire arrays are presented here as examples fabricated by this newly developed process. X-ray diffraction, scanning electron microscopy and transmission electron microscopy studies showed clear evidence of the formations of the oriented metal oxide nanowire arrays. Formation mechanism of the metal oxide nanowire arrays is discussed based on the Thermogravimetry and Differential Thermal Analysis measurement results.

  11. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  12. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  13. Optimized Spiral Metal-Gallium-Nitride Nanowire Cavity for Ultra-High Circular Dichroism Ultraviolet Lasing at Room Temperature.

    PubMed

    Liao, Wei-Chun; Liao, Shu-Wei; Chen, Kuo-Ju; Hsiao, Yu-Hao; Chang, Shu-Wei; Kuo, Hao-Chung; Shih, Min-Hsiung

    2016-05-25

    Circularly polarized laser sources with small footprints and high efficiencies can possess advanced functionalities in optical communication and biophotonic integrated systems. However, the conventional lasers with additional circular-polarization converters are bulky and hardly compatible with nanophotonic circuits, and most active chiral plasmonic nanostructures nowadays exhibit broadband emission and low circular dichroism. In this work, with spirals of gallium nitride (GaN) nanowires (NWRs) covered by a metal layer, we demonstrated an ultrasmall semiconductor laser capable of emitting circularly-polarized photons. The left- and right-hand spiral metal nanowire cavities with varied periods were designed at ultraviolet wavelengths to achieve the high quality factor circular dichroism metastructures. The dissymmetry factors characterizing the degrees of circular polarizations of the left- and right-hand chiral lasers were 1.4 and -1.6 (±2 if perfectly circular polarized), respectively. The results show that the chiral cavities with only 5 spiral periods can achieve lasing signals with the high degrees of circular polarizations.

  14. A crystalline germanium flexible thin-film transistor

    NASA Astrophysics Data System (ADS)

    Higashi, H.; Nakano, M.; Kudo, K.; Fujita, Y.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2017-11-01

    We experimentally demonstrate a flexible thin-film transistor (TFT) with (111)-oriented crystalline germanium (Ge) layers grown by a gold-induced crystallization method. Accumulation-mode metal source/drain p-channel Ge TFTs are fabricated on a polyimide film at ≤ 400 ° C . A field-effect mobility (μFE) of 10.7 cm2/Vs is obtained, meaning the highest μFE in the p-TFTs fabricated at ≤ 400 ° C on flexible plastic substrates. This study will lead to high-performance flexible electronics based on an inorganic-semiconductor channel.

  15. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  16. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  17. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  18. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  19. Experimental phase diagram of zero-bias conductance peaks in superconductor/semiconductor nanowire devices

    PubMed Central

    Chen, Jun; Yu, Peng; Stenger, John; Hocevar, Moïra; Car, Diana; Plissard, Sébastien R.; Bakkers, Erik P. A. M.; Stanescu, Tudor D.; Frolov, Sergey M.

    2017-01-01

    Topological superconductivity is an exotic state of matter characterized by spinless p-wave Cooper pairing of electrons and by Majorana zero modes at the edges. The first signature of topological superconductivity is a robust zero-bias peak in tunneling conductance. We perform tunneling experiments on semiconductor nanowires (InSb) coupled to superconductors (NbTiN) and establish the zero-bias peak phase in the space of gate voltage and external magnetic field. Our findings are consistent with calculations for a finite-length topological nanowire and provide means for Majorana manipulation as required for braiding and topological quantum bits. PMID:28913432

  20. Room-temperature ferromagnetic Cr-doped Ge/GeOx core-shell nanowires.

    PubMed

    Katkar, Amar S; Gupta, Shobhnath P; Seikh, Md Motin; Chen, Lih-Juann; Walke, Pravin S

    2018-06-08

    The Cr-doped tunable thickness core-shell Ge/GeO x nanowires (NWs) were synthesized and characterized using x-ray diffraction, field-emission scanning electron microscopy, transmission electron microscopy, energy-dispersive x-ray spectroscopy, x-ray photoelectron spectroscopy and magnetization studies. The shell thickness increases with the increase in synthesis temperature. The presence of metallic Cr and Cr 3+ in core-shell structure was confirmed from XPS study. The magnetic property is highly sensitive to the core-shell thickness and intriguing room temperature ferromagnetism is realized only in core-shell NWs. The magnetization decreases with an increase in shell thickness and practically ceases to exist when there is no core. These NWs show remarkably high Curie temperature (T C  > 300 K) with the dominating values of its magnetic remanence (M R ) and coercivity (H C ) compared to germanium dilute magnetic semiconductor nanomaterials. We believe that our finding on these Cr-doped Ge/GeO X core-shell NWs has the potential to be used as a hard magnet for future spintronic devices, owing to their higher characteristic values of ferromagnetic ordering.

  1. Room-temperature ferromagnetic Cr-doped Ge/GeOx core–shell nanowires

    NASA Astrophysics Data System (ADS)

    Katkar, Amar S.; Gupta, Shobhnath P.; Motin Seikh, Md; Chen, Lih-Juann; Walke, Pravin S.

    2018-06-01

    The Cr-doped tunable thickness core–shell Ge/GeOx nanowires (NWs) were synthesized and characterized using x-ray diffraction, field-emission scanning electron microscopy, transmission electron microscopy, energy-dispersive x-ray spectroscopy, x-ray photoelectron spectroscopy and magnetization studies. The shell thickness increases with the increase in synthesis temperature. The presence of metallic Cr and Cr3+ in core–shell structure was confirmed from XPS study. The magnetic property is highly sensitive to the core–shell thickness and intriguing room temperature ferromagnetism is realized only in core–shell NWs. The magnetization decreases with an increase in shell thickness and practically ceases to exist when there is no core. These NWs show remarkably high Curie temperature (TC > 300 K) with the dominating values of its magnetic remanence (MR) and coercivity (HC) compared to germanium dilute magnetic semiconductor nanomaterials. We believe that our finding on these Cr-doped Ge/GeOX core–shell NWs has the potential to be used as a hard magnet for future spintronic devices, owing to their higher characteristic values of ferromagnetic ordering.

  2. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    NASA Astrophysics Data System (ADS)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  3. Atomic scale characterization and surface chemistry of metal modified titanate nanotubes and nanowires

    NASA Astrophysics Data System (ADS)

    Kukovecz, Ákos; Kordás, Krisztián; Kiss, János; Kónya, Zoltán

    2016-10-01

    Titanates are salts of polytitanic acid that can be synthesized as nanostructures in a great variety concerning crystallinity, morphology, size, metal content and surface chemistry. Titanate nanotubes (open-ended hollow cylinders measuring up to 200 nm in length and 15 nm in outer diameter) and nanowires (solid, elongated rectangular blocks with length up to 1500 nm and 30-60 nm diameter) are the most widespread representatives of the titanate nanomaterial family. This review covers the properties and applications of these two materials from the surface science point of view. Dielectric, vibrational, electron and X-ray spectroscopic results are comprehensively discussed first, then surface modification methods including covalent functionalization, ion exchange and metal loading are covered. The versatile surface chemistry of one-dimensional titanates renders them excellent candidates for heterogeneous catalytic, photocatalytic, photovoltaic and energy storage applications, therefore, these fields are also reviewed.

  4. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    PubMed

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  5. Quantum ballistic transport in strained epitaxial germanium

    NASA Astrophysics Data System (ADS)

    Gul, Y.; Holmes, S. N.; Newton, P. J.; Ellis, D. J. P.; Morrison, C.; Pepper, M.; Barnes, C. H. W.; Myronov, M.

    2017-12-01

    Large scale fabrication using Complementary Metal Oxide Semiconductor compatible technology of semiconductor nanostructures that operate on the principles of quantum transport is an exciting possibility now due to the recent development of ultra-high mobility hole gases in epitaxial germanium grown on standard silicon substrates. We present here a ballistic transport study of patterned surface gates on strained Ge quantum wells with SiGe barriers, which confirms the quantum characteristics of the Ge heavy hole valence band structure in 1-dimension. Quantised conductance at multiples of 2e2/h is a universal feature of hole transport in Ge up to 10 × (2e2/h). The behaviour of ballistic plateaus with finite source-drain bias and applied magnetic field is elucidated. In addition, a reordering of the ground state is observed.

  6. Seeded Nanowire and Microwire Growth from Lithium Alloys.

    PubMed

    Han, Sang Yun; Boebinger, Matthew G; Kondekar, Neha P; Worthy, Trevor J; McDowell, Matthew T

    2018-06-06

    Although vapor-liquid-solid (VLS) growth of nanowires from alloy seed particles is common in various semiconductor systems, related wire growth in all-metal systems is rare. Here, we report the spontaneous growth of nano- and microwires from metal seed particles during the cooling of Li-rich bulk alloys containing Au, Ag, or In. The as-grown wires feature Au-, Ag-, or In-rich metal tips and LiOH shafts; the results indicate that the wires grow as Li metal and are converted to polycrystalline LiOH during and/or after growth due to exposure to H 2 O and O 2 . This new process is a simple way to create nanostructures, and the findings suggest that metal nanowire growth from alloy seeds is possible in a variety of systems.

  7. Studies on metal-dielectric plasmonic structures.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chettiar, Uday K.; Liu, Zhengtong; Thoreson, Mark D.

    2010-01-01

    The interaction of light with nanostructured metal leads to a number of fascinating phenomena, including plasmon oscillations that can be harnessed for a variety of cutting-edge applications. Plasmon oscillation modes are the collective oscillation of free electrons in metals under incident light. Previously, surface plasmon modes have been used for communication, sensing, nonlinear optics and novel physics studies. In this report, we describe the scientific research completed on metal-dielectric plasmonic films accomplished during a multi-year Purdue Excellence in Science and Engineering Graduate Fellowship sponsored by Sandia National Laboratories. A variety of plasmonic structures, from random 2D metal-dielectric films to 3Dmore » composite metal-dielectric films, have been studied in this research for applications such as surface-enhanced Raman sensing, tunable superlenses with resolutions beyond the diffraction limit, enhanced molecular absorption, infrared obscurants, and other real-world applications.« less

  8. Co-percolation to tune conductive behaviour in dynamical metallic nanowire networks.

    PubMed

    Fairfield, J A; Rocha, C G; O'Callaghan, C; Ferreira, M S; Boland, J J

    2016-11-03

    Nanowire networks act as self-healing smart materials, whose sheet resistance can be tuned via an externally applied voltage stimulus. This memristive response occurs due to modification of junction resistances to form a connectivity path across the lowest barrier junctions in the network. While most network studies have been performed on expensive noble metal nanowires like silver, networks of inexpensive nickel nanowires with a nickel oxide coating can also demonstrate resistive switching, a common feature of metal oxides with filamentary conduction. However, networks made from solely nickel nanowires have high operation voltages which prohibit large-scale material applications. Here we show, using both experiment and simulation, that a heterogeneous network of nickel and silver nanowires allows optimization of the activation voltage, as well as tuning of the conduction behavior to be either resistive switching, memristive, or a combination of both. Small percentages of silver nanowires, below the percolation threshold, induce these changes in electrical behaviour, even for low area coverage and hence very transparent films. Silver nanowires act as current concentrators, amplifying conductivity locally as shown in our computational dynamical activation framework for networks of junctions. These results demonstrate that a heterogeneous nanowire network can act as a cost-effective adaptive material with minimal use of noble metal nanowires, without losing memristive behaviour that is essential for smart sensing and neuromorphic applications.

  9. Circular electrode geometry metal-semiconductor-metal photodetectors

    NASA Technical Reports Server (NTRS)

    Mcaddo, James A. (Inventor); Towe, Elias (Inventor); Bishop, William L. (Inventor); Wang, Liang-Guo (Inventor)

    1994-01-01

    The invention comprises a high speed, metal-semiconductor-metal photodetector which comprises a pair of generally circular, electrically conductive electrodes formed on an optically active semiconductor layer. Various embodiments of the invention include a spiral, intercoiled electrode geometry and an electrode geometry comprised of substantially circular, concentric electrodes which are interposed. These electrode geometries result in photodetectors with lower capacitances, dark currents and lower inductance which reduces the ringing seen in the optical pulse response.

  10. Hierarchical Assembly of Multifunctional Oxide-based Composite Nanostructures for Energy and Environmental Applications

    PubMed Central

    Gao, Pu-Xian; Shimpi, Paresh; Gao, Haiyong; Liu, Caihong; Guo, Yanbing; Cai, Wenjie; Liao, Kuo-Ting; Wrobel, Gregory; Zhang, Zhonghua; Ren, Zheng; Lin, Hui-Jan

    2012-01-01

    Composite nanoarchitectures represent a class of nanostructured entities that integrates various dissimilar nanoscale building blocks including nanoparticles, nanowires, and nanofilms toward realizing multifunctional characteristics. A broad array of composite nanoarchitectures can be designed and fabricated, involving generic materials such as metal, ceramics, and polymers in nanoscale form. In this review, we will highlight the latest progress on composite nanostructures in our research group, particularly on various metal oxides including binary semiconductors, ABO3-type perovskites, A2BO4 spinels and quaternary dielectric hydroxyl metal oxides (AB(OH)6) with diverse application potential. Through a generic template strategy in conjunction with various synthetic approaches— such as hydrothermal decomposition, colloidal deposition, physical sputtering, thermal decomposition and thermal oxidation, semiconductor oxide alloy nanowires, metal oxide/perovskite (spinel) composite nanowires, stannate based nanocompostes, as well as semiconductor heterojunction—arrays and networks have been self-assembled in large scale and are being developed as promising classes of composite nanoarchitectures, which may open a new array of advanced nanotechnologies in solid state lighting, solar absorption, photocatalysis and battery, auto-emission control, and chemical sensing. PMID:22837702

  11. Mineral resource of the month: germanium

    USGS Publications Warehouse

    Jorgenson, John D.

    2003-01-01

    Germanium is a hard, brittle semimetal that first came into use over a half-century ago as a semiconductor material in radar units and in the first transistor ever made. Most germanium is recovered as a byproduct of zinc smelting, but it has also been recovered at some copper smelters and from the fly ash of coal-burning industrial power plants.

  12. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  13. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  14. Plasmonic enhancement of second-harmonic generation of dielectric layer embedded in metal-dielectric-metal structure

    NASA Astrophysics Data System (ADS)

    Kang, Byungjun; Imakita, Kenji; Fujii, Minoru; Hayashi, Shinji

    2018-03-01

    The enhancement of second-harmonic generation from a dielectric layer embedded in a metal-dielectric-metal structure upon excitation of surface plasmon polaritons is demonstrated experimentally. The metal-dielectric-metal structure consisting of a Gex(SiO2)1-x layer sandwiched by two Ag layers was prepared, and the surface plasmon polaritons were excited in an attenuated total reflection geometry. The measured attenuated total reflection spectra exhibited two reflection dips corresponding to the excitation of two different surface plasmon polariton modes. Strong second-harmonic signals were observed under the excitation of these surface plasmon polariton modes. The results demonstrate that the second-harmonic intensity of the Gex(SiO2)1-x layer is highly enhanced relative to that of the single layer deposited on a substrate. Under the excitation of one of the two surface plasmon polariton modes, the estimated enhancement factor falls in a range between 39.9 and 171, while under the excitation of the other surface plasmon polariton mode, it falls in a range between 3.96 and 84.6.

  15. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  16. Split Bull's eye shaped aluminum antenna for plasmon-enhanced nanometer scale germanium photodetector.

    PubMed

    Ren, Fang-Fang; Ang, Kah-Wee; Ye, Jiandong; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee

    2011-03-09

    Bull's eye antennas are capable of efficiently collecting and concentrating optical signals into an ultrasmall area, offering an excellent solution to break the bottleneck between speed and photoresponse in subwavelength photodetectors. Here, we exploit the idea of split bull's eye antenna for a nanometer germanium photodetector operating at a standard communication wavelength of 1310 nm. The nontraditional plasmonic metal aluminum has been implemented in the resonant antenna structure fabricated by standard complementary metal-oxide-semiconductor (CMOS) processing. A significant enhancement in photoresponse could be achieved over the conventional bull's eye scheme due to an increased optical near-field in the active region. Moreover, with this novel antenna design the effective grating area could be significantly reduced without sacrificing device performance. This work paves the way for the future development of low-cost, high-density, and high-speed CMOS-compatible germanium-based optoelectronic devices.

  17. Structure of assemblies of metal nanowires in mesoporous alumina membranes studied by EXAFS, XANES, X-ray diffraction and SAXS.

    PubMed

    Benfield, Robert E; Grandjean, Didier; Dore, John C; Esfahanian, Hamid; Wu, Zhonghua; Kröll, Michael; Geerkens, Marcus; Schmid, Günter

    2004-01-01

    Mesoporous alumina membranes ("anodic aluminium oxide", or "AAO") are made by anodic oxidation of aluminium metal. These membranes contain hexagonal arrays of parallel non-intersecting cylindrical pores perpendicular to the membrane surface. By varying the anodisation voltage, the pore diameters are controllable within the range 5-250 nm. We have used AAO membranes as templates for the electrochemical deposition of metals within the pores to produce nanowires. These represent assemblies of one-dimensional quantum wires with prospective applications in electronic, optoelectronic and magnetic devices. Detailed characterisation of the structures of these nanowire assemblies on a variety of length scales is essential to understand their physical properties and evaluate their possible applications. We have used EXAFS, XANES, WAXS, high energy X-ray diffraction and SAXS to study their structure and bonding. In this paper we report the results of our studies of four different nanowire systems supported in AAO membranes. These are the ferromagnetic metals iron and cobalt, the superconducting metal tin, and the semiconductor gallium nitride. Iron nanowires in pores of diameter over the range 12 nm-72 nm are structurally very similar to bcc bulk iron. They have a strong preferred orientation within the alumina pores. Their XANES shows significant differences from that of bulk iron, showing that the electronic structure of the iron nanowires depends systematically on their diameter. Cobalt nanowires are composed of a mixture of hcp and fcc phases, but the ratio of the two phases does not depend in a simple way on the pore diameter or preparation conditions. In bulk cobalt, the fcc beta-phase is normally stable only at high temperatures. Strong preferred orientation of the c-axis in the pores was found. Tin nanowires in alumina membranes with pores diameters between 12 nm and 72 nm have a tetragonal beta-structure at ambient temperature and also at 80 K. Magnetic

  18. Insights into semiconductor nanowire conductivity using electrodeposition

    NASA Astrophysics Data System (ADS)

    Liu, C.; Salehzadeh, O.; Poole, P. J.; Watkins, S. P.; Kavanagh, K. L.

    2012-10-01

    Copper (Cu) and iron (Fe) electrical contacts to gallium arsenide (GaAs) and indium arsenide (InAs) nanowires (NWs) have been fabricated via electrodeposition. For undoped or low carbon-doped (1017/cm-3), p-type GaAs NWs, Cu or Fe nucleate and grow only on the gold catalyst at the NW tip, avoiding the sidewalls. Metal growth is limited by the Au contact resistance due to thick sidewall depletion layers. For InAs NWs and heavier-doped, core-shell (undoped core-C-doped shell) GaAs NWs, metal nucleation and growth occurs on the sidewalls as well as on the gold catalyst limited now by the ion electrolyte diffusivity.

  19. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  20. Fabrication of 20 nm embedded longitudinal nanochannels transferred from metal nanowire patterns

    NASA Technical Reports Server (NTRS)

    Choi, D.; Yang, E. H.

    2003-01-01

    bstract we describe a technique for fabricating nanometer-scale channels embedded by dielectric materials. Longitudinal 'embedded ' nanochannels with an opening size 20 nm x 80 nm have been successfully fabricated on silicon wafer by transferring sacrificial nanowire structures.

  1. Multi-functional metal-dielectric photonic structures

    NASA Astrophysics Data System (ADS)

    Smith, Kyle J.

    In RF circuits and integrated photonics, it is important to effectively control an electromagnetic signal. This includes protecting of the network from high power and/or undesired signal flow, which is achieved with device functionalities such as isolation, circulation, switching, and limiting. In an attempt to develop light-weight, small-footprint, better protection devices, new designs have been sought utilizing materials that have been otherwise avoided due to some primary downside. For example, ferromagnetic metals like Iron and Cobalt, despite being powerful magnets, have been completely shunned for uses in nonreciprocal devices due to their overwhelming electric losses and high reflectivity. How could we utilize lossy materials in electromagnetic applications? In this thesis research, we design and fabricate metal-dielectric photonic structures in which metal can be highly transmissive, while the desired response (e.g., magneto-photonic response) is strongly enhanced. Moreover, the metal-dielectric structures can be designed to exhibit a sharp transition from the induced transmission to broadband opacity for oblique incidence and/or due to a tiny alteration of the photonic structure (e.g., because of nonlinearity). Thus, the photonic structures can be tailored to produce collimation and power-limiting effects. In the case of ferromagnetic metals, the metal-dielectric structure can be realized as an omnidirectional isolator passing radiation in a single direction and for a single frequency. The effectiveness of such structures will be verified in microwave measurements. Additionally, metal-dielectric structures including a nonlinear component will be shown to function as a reflective power limiter, thus providing a far superior alternative to absorptive, and often sacrificial, limiters.

  2. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  3. Metal clusters and nanoparticles in dielectric matrices: Formation and optical properties

    NASA Astrophysics Data System (ADS)

    Gladskikh, I. A.; Vartanyan, T. A.

    2016-12-01

    The optical properties of thin dielectric films with metal inclusions and their dependence on thermal and laser annealing are studied experimentally. Metal clusters (Ag, Au, and Cu) in dielectric materials (Al2O3 and SiO2) are obtained by simultaneous vacuum deposition of metal and dielectric on the surface of a corresponding dielectric substrate (sapphire and quartz). It is shown that, depending on the deposited dielectric material, on the weight ratio of deposited metal and dielectric, and on the subsequent thermal treatment, one can obtain different metal structures, from clusters with a small number of atoms to complex dendritic plasmonic structures.

  4. Dynamics of the formation of laser-induced periodic surface structures (LIPSS) upon femtosecond two-color double-pulse irradiation of metals, semiconductors, and dielectrics

    NASA Astrophysics Data System (ADS)

    Höhm, S.; Herzlieb, M.; Rosenfeld, A.; Krüger, J.; Bonse, J.

    2016-06-01

    In order to address the dynamics and physical mechanisms of LIPSS formation for three different classes of materials (metals, semiconductors, and dielectrics), two-color double-fs-pulse experiments were performed on Titanium, Silicon and Fused Silica. For that purpose a Mach-Zehnder interferometer generated polarization controlled (parallel or cross-polarized) double-pulse sequences at 400 nm and 800 nm wavelength, with inter-pulse delays up to a few picoseconds. Multiple of these two-color double-pulse sequences were collinearly focused by a spherical mirror to the sample surfaces. The fluence of each individual pulse (400 nm and 800 nm) was always kept below its respective ablation threshold and only the joint action of both pulses lead to the formation of LIPSS. Their resulting characteristics (periods, areas) were analyzed by scanning electron microscopy. The periods along with the LIPSS orientation allow a clear identification of the pulse which dominates the energy coupling to the material. For strong absorbing materials (Silicon, Titanium), a wavelength-dependent plasmonic mechanism can explain the delay-dependence of the LIPSS. In contrast, for dielectrics (Fused Silica) the first pulse always dominates the energy deposition and LIPSS orientation, supporting a non-plasmonic formation scenario. For all materials, these two-color experiments confirm the importance of the ultrafast energy deposition stage for LIPSS formation.

  5. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  6. A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications

    PubMed Central

    Chen, Min-Cheng; Chen, Hao-Yu; Lin, Chia-Yi; Chien, Chao-Hsin; Hsieh, Tsung-Fan; Horng, Jim-Tong; Qiu, Jian-Tai; Huang, Chien-Chao; Ho, Chia-Hua; Yang, Fu-Liang

    2012-01-01

    This paper reports a versatile nano-sensor technology using “top-down” poly-silicon nanowire field-effect transistors (FETs) in the conventional Complementary Metal-Oxide Semiconductor (CMOS)-compatible semiconductor process. The nanowire manufacturing technique reduced nanowire width scaling to 50 nm without use of extra lithography equipment, and exhibited superior device uniformity. These n type polysilicon nanowire FETs have positive pH sensitivity (100 mV/pH) and sensitive deoxyribonucleic acid (DNA) detection ability (100 pM) at normal system operation voltages. Specially designed oxide-nitride-oxide buried oxide nanowire realizes an electrically Vth-adjustable sensor to compensate device variation. These nanowire FETs also enable non-volatile memory application for a large and steady Vth adjustment window (>2 V Programming/Erasing window). The CMOS-compatible manufacturing technique of polysilicon nanowire FETs offers a possible solution for commercial System-on-Chip biosensor application, which enables portable physiology monitoring and in situ recording. PMID:22666012

  7. Electrical Characterization of Semiconductor and Dielectric Materials with a Non-Damaging FastGateTM Probe

    NASA Astrophysics Data System (ADS)

    Robert, Hillard; William, Howland; Bryan, Snyder

    2002-03-01

    Determination of the electrical properties of semiconductor materials and dielectrics is highly desirable since these correlate best to final device performance. The properties of SiO2 and high k dielectrics such as Equivalent Oxide Thickness(EOT), Interface Trap Density(Dit), Oxide Effective Charge(Neff), Flatband Voltage Hysteresis(Delta Vfb), Threshold Voltage(VT) and, bulk properties such as carrier density profile and channel dose are all important parameters that require monitoring during front end processing. Conventional methods for determining these parameters involve the manufacturing of polysilicon or metal gate MOS capacitors and subsequent measurements of capacitance-voltage(CV) and/or current-voltage(IV). These conventional techniques are time consuming and can introduce changes to the materials being monitored. Also, equivalent circuit effects resulting from excessive leakage current, series resistance and stray inductance can introduce large errors in the measured results. In this paper, a new method is discussed that provides rapid determination of these critical parameters and is robust against equivalent circuit errors. This technique uses a small diameter(30 micron), elastically deformed probe to form a gate for MOSCAP CV and IV and can be used to measure either monitor wafers or test areas within scribe lines on product wafers. It allows for measurements of dielectrics thinner than 10 Angstroms. A detailed description and applications such as high k dielectrics, will be presented.

  8. Single InAs/GaSb nanowire low-power CMOS inverter.

    PubMed

    Dey, Anil W; Svensson, Johannes; Borg, B Mattias; Ek, Martin; Wernersson, Lars-Erik

    2012-11-14

    III-V semiconductors have so far predominately been employed for n-type transistors in high-frequency applications. This development is based on the advantageous transport properties and the large variety of heterostructure combinations in the family of III-V semiconductors. In contrast, reports on p-type devices with high hole mobility suitable for complementary metal-oxide-semiconductor (CMOS) circuits for low-power operation are scarce. In addition, the difficulty to integrate both n- and p-type devices on the same substrate without the use of complex buffer layers has hampered the development of III-V based digital logic. Here, inverters fabricated from single n-InAs/p-GaSb heterostructure nanowires are demonstrated in a simple processing scheme. Using undoped segments and aggressively scaled high-κ dielectric, enhancement mode operation suitable for digital logic is obtained for both types of transistors. State-of-the-art on- and off-state characteristics are obtained and the individual long-channel n- and p-type transistors exhibit minimum subthreshold swings of SS = 98 mV/dec and SS = 400 mV/dec, respectively, at V(ds) = 0.5 V. Inverter characteristics display a full signal swing and maximum gain of 10.5 with a small device-to-device variability. Complete inversion is measured at low frequencies although large parasitic capacitances deform the waveform at higher frequencies.

  9. Direct observation of confined acoustic phonon polarization branches in free-standing semiconductor nanowires

    DOE PAGES

    Kargar, Fariborz; Debnath, Bishwajit; Kakko, Joona -Pekko; ...

    2016-11-10

    Similar to electron waves, the phonon states in semiconductors can undergo changes induced by external boundaries. However, despite strong scientific and practical importance, conclusive experimental evidence of confined acoustic phonon polarization branches in individual free-standing nanostructures is lacking. Here we report results of Brillouin-Mandelstam light scattering spectroscopy, which reveal multiple (up to ten) confined acoustic phonon polarization branches in GaAs nanowires with a diameter as large as 128 nm, at a length scale that exceeds the grey phonon mean-free path in this material by almost an order-of-magnitude. The dispersion modification and energy scaling with diameter in individual nanowires are inmore » excellent agreement with theory. The phonon confinement effects result in a decrease in the phonon group velocity along the nanowire axis and changes in the phonon density of states. Furthermore, the obtained results can lead to more efficient nanoscale control of acoustic phonons, with benefits for nanoelectronic, thermoelectric and spintronic devices.« less

  10. Electronic structure of metals and semiconductors: bulk, surface, and interface properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Louie, S.G.S.

    1976-09-01

    A theoretical study of the electronic structure of various metals and semiconductors is presented with the emphasis on understanding the properties of these materials when they are subjected to extreme conditions and in various different configurations. Among the bulk systems studied, the properties of cesium under high pressure are discussed in terms of the electronic structure calculated at various cell volumes using the pseudopotential method. Local fields or umklapp processes in semiconductors are studied within the random phase approximation (RPA). Specifically the dielectric response matrix epsilon/sub GG'/ (q = 0,omega) is evaluated numerically to determine the effects of local-field correctionsmore » in the optical spectrum of Si. Also, some comments on the excitonic mechanism of superconductivity are presented and the role of local fields is discussed. The pseudo-potential method is next extended to calculate the electronic structure of a transition metal Nb. The calculation is performed self-consistently with the use of a non-local ionic potential determined from atomic spectra. Finally the theory of the superconducting transition temperature T/sub c/ is discussed in the strong-coupling formulation of the BCS theory. The Eliashberg equations in the Matsubara representation are solved analytically and a general T/sub c/ equation is obtained. A new method is developed using pseudopotentials in a self-consistent manner to describe non-periodic systems. The method is applicable to localized configurations such as molecules, surfaces, impurities, vacancies, finite chains of atoms, adsorbates, and solid interfaces. Specific applications to surfaces, metal-semiconductor interfaces and vacancies are presented.« less

  11. Adhesion and friction of iron and gold in contact with elemental semiconductors

    NASA Technical Reports Server (NTRS)

    Buckley, D. H.; Brainard, W. A.

    1977-01-01

    Adhesion and friction experiments were conducted with single crystals of iron and gold in contact with single crystals of germanium and silicon. Surfaces were examined in the sputter cleaned state and in the presence of oxygen and a lubricant. All experiments were conducted at room temperature with loads of 1 to 50 grams, and sliding friction was at a sliding velocity of 0.7 mm/min. Results indicate that the friction nature of metals in contact with semiconductors is sensitive to orientation, that strong adhesion of metals to both germanium and silicon occurs, and that friction is lower with silicon than with germanium for the same orientation. Surface effects are highly sensitive to environment. Silicon, for example, behaves in an entirely brittle manner in the clean state, but in the presence of a lubricant the surface deforms plastically.

  12. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  13. DNA-Templated Pd Conductive Metallic Nanowires

    NASA Astrophysics Data System (ADS)

    Nguyen, K.; Monteverde, M.; Lyonnais, S.; Campidelli, S.; Bourgoin, J.-Ph.; Filoramo, A.

    2008-10-01

    Because of its unique recognition properties, its size and the sub-nanometric resolution, DNA is of particular interest for positioning and organizing nanomaterials. However, in DNA-directed nanoelectronic it can be envisioned to use DNA not only as a positioning scaffold, but also as a support for the conducting element. To ensure this function a metallization process is necessary and among the various DNA metallization methods the Pd based ones are of particular interest for carbon nanotube transistor connections. In this field, the major drawback of the existing methods is the fast kinetics of the process which lead to a stochastic growth. Here, we present a novel approach to DNA Pd metalization where the DNA molecule is previously deposited on a dry substrate in a typical nanodevice configuration. In our approach the progressive growth of nanowires is achieved by the slow and selective precipitation of PdO, followed by a subsequent reduction step. Thanks to this strategy we fabricated homogeneous, continuous and conductive Pd nanowires on the DNA scaffolds of very thin diameter (20-25 nm).

  14. Directional Emission from Metal-Dielectric-Metal Structures: Effect of Mixed Metal Layers, Dye Location and Dielectric Thickness.

    PubMed

    Choudhury, Sharmistha Dutta; Badugu, Ramachandram; Ray, Krishanu; Lakowicz, Joseph R

    2015-02-12

    Metal-dielectric-metal (MDM) structures provide directional emission close to the surface normal, which offers opportunities for new design formats in fluorescence based applications. The directional emission arises due to near-field coupling of fluorophores with the optical modes present in the MDM substrate. Reflectivity simulations and dispersion diagrams provide a basic understanding of the mode profiles and the factors that affect the coupling efficiency and the spatial distribution of the coupled emission. This work reveals that the composition of the metal layers, the location of the dye in the MDM substrate and the dielectric thickness are important parameters that can be chosen to tune the color of the emission wavelength, the angle of observation, the angular divergence of the emission and the polarization of the emitted light. These features are valuable for displays and optical signage.

  15. Directional Emission from Metal-Dielectric-Metal Structures: Effect of Mixed Metal Layers, Dye Location and Dielectric Thickness

    PubMed Central

    Choudhury, Sharmistha Dutta; Badugu, Ramachandram; Ray, Krishanu; Lakowicz, Joseph R.

    2015-01-01

    Metal-dielectric-metal (MDM) structures provide directional emission close to the surface normal, which offers opportunities for new design formats in fluorescence based applications. The directional emission arises due to near-field coupling of fluorophores with the optical modes present in the MDM substrate. Reflectivity simulations and dispersion diagrams provide a basic understanding of the mode profiles and the factors that affect the coupling efficiency and the spatial distribution of the coupled emission. This work reveals that the composition of the metal layers, the location of the dye in the MDM substrate and the dielectric thickness are important parameters that can be chosen to tune the color of the emission wavelength, the angle of observation, the angular divergence of the emission and the polarization of the emitted light. These features are valuable for displays and optical signage. PMID:25844110

  16. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  17. Model dielectric function for 2D semiconductors including substrate screening

    NASA Astrophysics Data System (ADS)

    Trolle, Mads L.; Pedersen, Thomas G.; Véniard, Valerie

    2017-01-01

    Dielectric screening of excitons in 2D semiconductors is known to be a highly non-local effect, which in reciprocal space translates to a strong dependence on momentum transfer q. We present an analytical model dielectric function, including the full non-linear q-dependency, which may be used as an alternative to more numerically taxing ab initio screening functions. By verifying the good agreement between excitonic optical properties calculated using our model dielectric function, and those derived from ab initio methods, we demonstrate the versatility of this approach. Our test systems include: Monolayer hBN, monolayer MoS2, and the surface exciton of a 2 × 1 reconstructed Si(111) surface. Additionally, using our model, we easily take substrate screening effects into account. Hence, we include also a systematic study of the effects of substrate media on the excitonic optical properties of MoS2 and hBN.

  18. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires.

    PubMed

    Lord, Alex M; Ramasse, Quentin M; Kepaptsoglou, Despoina M; Evans, Jonathan E; Davies, Philip R; Ward, Michael B; Wilks, Steve P

    2017-02-08

    Selecting the electrical properties of nanomaterials is essential if their potential as manufacturable devices is to be reached. Here, we show that the addition or removal of native semiconductor material at the edge of a nanocontact can be used to determine the electrical transport properties of metal-nanowire interfaces. While the transport properties of as-grown Au nanocatalyst contacts to semiconductor nanowires are well-studied, there are few techniques that have been explored to modify the electrical behavior. In this work, we use an iterative analytical process that directly correlates multiprobe transport measurements with subsequent aberration-corrected scanning transmission electron microscopy to study the effects of chemical processes that create structural changes at the contact interface edge. A strong metal-support interaction that encapsulates the Au nanocontacts over time, adding ZnO material to the edge region, gives rise to ohmic transport behavior due to the enhanced quantum-mechanical tunneling path. Removal of the extraneous material at the Au-nanowire interface eliminates the edge-tunneling path, producing a range of transport behavior that is dependent on the final interface quality. These results demonstrate chemically driven processes that can be factored into nanowire-device design to select the final properties.

  19. Development of epitaxial Al xSc 1-xN for artificially structured metal/semiconductor superlattice metamaterials

    DOE PAGES

    Sands, Timothy D.; Stach, Eric A.; Saha, Bivas; ...

    2015-02-01

    Epitaxial nitride rocksalt metal/semiconductor superlattices are emerging as a novel class of artificially structured materials that have generated significant interest in recent years for their potential application in plasmonic and thermoelectric devices. Though most nitride metals are rocksalt, nitride semiconductors in general have hexagonal crystal structure. We report rocksalt aluminum scandium nitride (Al,Sc)N alloys as the semiconducting component in epitaxial rocksalt metal/semiconductor superlattices. The Al xSc 1-xN alloys when deposited directly on MgO substrates are stabilized in a homogeneous rocksalt (single) phase when x < 0.51. Employing 20 nm TiN as a seed layer on MgO substrates, the homogeneity rangemore » for stabilizing the rocksalt phase has been extended to x < 0.82 for a 120 nm film. The rocksalt Al xSc 1-xN alloys show moderate direct bandgap bowing with a bowing parameter, B = 1.41 ± 0.19 eV. The direct bandgap of metastable rocksalt AlN is extrapolated to be 4.70 ± 0.20 eV. The tunable lattice parameter, bandgap, dielectric permittivity, and electronic properties of rocksalt Al xSc 1-xN alloys enable high quality epitaxial rocksalt metal/Al xSc 1-xN superlattices with a wide range of accessible metamaterials properties.« less

  20. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  1. Toward high performance nanoscale optoelectronic devices: super solar energy harvesting in single standing core-shell nanowire.

    PubMed

    Zhou, Jian; Wu, Yonggang; Xia, Zihuan; Qin, Xuefei; Zhang, Zongyi

    2017-11-27

    Single nanowire solar cells show great promise for next-generation photovoltaics and for powering nanoscale devices. Here, we present a detailed study of light absorption in a single standing semiconductor-dielectric core-shell nanowire (CSNW). We find that the CSNW structure can not only concentrate the incident light into the structure, but also confine most of the concentrated light to the semiconductor core region, which boosts remarkably the light absorption cross-section of the semiconductor core. The CSNW can support multiple higher-order HE modes, as well as Fabry-Pérot (F-P) resonance, compared to the bare nanowire (BNW). Overlapping of the adjacent higher-order HE modes results in broadband light absorption enhancement in the solar radiation spectrum. Results based on detailed balance analysis demonstrate that the super light concentration of the single CSNW gives rise to higher short-circuit current and open-circuit voltage, and thus higher apparent power conversion efficiency (3644.2%), which goes far beyond that of the BNW and the Shockley-Queisser limit that restricts the performance of a planar counterparts. Our study shows that the single CSNW can be a promising platform for construction of high performance nanoscale photodetectors, nanoelectronic power sources, super miniature cells, and diverse integrated nanosystems.

  2. Dramatic Changes in Thermoelectric Power of Germanium under Pressure: Printing n–p Junctions by Applied Stress

    PubMed Central

    Korobeinikov, Igor V.; Morozova, Natalia V.; Shchennikov, Vladimir V.; Ovsyannikov, Sergey V.

    2017-01-01

    Controlled tuning the electrical, optical, magnetic, mechanical and other characteristics of the leading semiconducting materials is one of the primary technological challenges. Here, we demonstrate that the electronic transport properties of conventional single-crystalline wafers of germanium may be dramatically tuned by application of moderate pressures. We investigated the thermoelectric power (Seebeck coefficient) of p– and n–type germanium under high pressure to 20 GPa. We established that an applied pressure of several GPa drastically shifts the electrical conduction to p–type. The p–type conduction is conserved across the semiconductor-metal phase transition at near 10 GPa. Upon pressure releasing, germanium transformed to a metastable st12 phase (Ge-III) with n–type semiconducting conductivity. We proposed that the unusual electronic properties of germanium in the original cubic-diamond-structured phase could result from a splitting of the “heavy” and “light” holes bands, and a related charge transfer between them. We suggested new innovative applications of germanium, e.g., in technologies of printing of n–p and n–p–n junctions by applied stress. Thus, our work has uncovered a new face of germanium as a ‘smart’ material. PMID:28290495

  3. A General Strategy to Achieve Colossal Permittivity and Low Dielectric Loss Through Constructing Insulator/Semiconductor/Insulator Multilayer Structures

    NASA Astrophysics Data System (ADS)

    Liu, Kai; Sun, Yalong; Zheng, Fengang; Tse, Mei-Yan; Sun, Qingbo; Liu, Yun; Hao, Jianhua

    2018-06-01

    In this work, we propose a route to realize high-performance colossal permittivity (CP) by creating multilayer structures of insulator/semiconductor/insulator. To prove the new concept, we made heavily reduced rutile TiO2 via annealing route in Ar/H2 atmosphere. Dielectric studies show that the maximum dielectric permittivity ( 3.0 × 104) of our prepared samples is about 100 times higher than that ( 300) of conventional TiO2. The minimum dielectric loss is 0.03 (at 104-105 Hz). Furthermore, CP is almost independent of the frequency (100-106 Hz) and the temperature (20-350 K). We suggest that the colossal permittivity is attributed to the high carrier concentration of the inner TiO2 semiconductor, while the low dielectric loss is due to the presentation of the insulator layer on the surface of TiO2. The method proposed here can be expanded to other material systems, such as semiconductor Si sandwiched by top and bottom insulator layers of Ga2O3.

  4. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  5. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  6. Si-Based Germanium Tin Semiconductor Lasers for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Al-Kabi, Sattar H. Sweilim

    Silicon-based materials and optoelectronic devices are of great interest as they could be monolithically integrated in the current Si complementary metal-oxide-semiconductor (CMOS) processes. The integration of optoelectronic components on the CMOS platform has long been limited due to the unavailability of Si-based laser sources. A Si-based monolithic laser is highly desirable for full integration of Si photonics chip. In this work, Si-based germanium-tin (GeSn) lasers have been demonstrated as direct bandgap group-IV laser sources. This opens a completely new avenue from the traditional III-V integration approach. In this work, the material and optical properties of GeSn alloys were comprehensively studied. The GeSn films were grown on Ge-buffered Si substrates in a reduced pressure chemical vapor deposition system with low-cost SnCl4 and GeH4 precursors. A systematic study was done for thin GeSn films (thickness 400 nm) with Sn composition 5 to 17.5%. The room temperature photoluminescence (PL) spectra were measured that showed a gradual shift of emission peaks towards longer wavelength as Sn composition increases. Strong PL intensity and low defect density indicated high material quality. Moreover, the PL study of n-doped samples showed bandgap narrowing compared to the unintentionally p-doped (boron) thin films with similar Sn compositions. Finally, optically pumped GeSn lasers on Si with broad wavelength coverage from 2 to 3 mum were demonstrated using high-quality GeSn films with Sn compositions up to 17.5%. The achieved maximum Sn composition of 17.5% broke the acknowledged Sn incorporation limit using similar deposition chemistry. The highest lasing temperature was measured at 180 K with an active layer thickness as thin as 270 nm. The unprecedented lasing performance is due to the achievement of high material quality and a robust fabrication process. The results reported in this work show a major advancement towards Si-based electrically pumped mid

  7. Hot-electron-based solar energy conversion with metal-semiconductor nanodiodes.

    PubMed

    Lee, Young Keun; Lee, Hyosun; Lee, Changhwan; Hwang, Euyheon; Park, Jeong Young

    2016-06-29

    Energy dissipation at metal surfaces or interfaces between a metal and a dielectric generally results from elementary excitations, including phonons and electronic excitation, once external energy is deposited to the surface/interface during exothermic chemical processes or an electromagnetic wave incident. In this paper, we outline recent research activities to develop energy conversion devices based on hot electrons. We found that photon energy can be directly converted to hot electrons and that hot electrons flow through the interface of metal-semiconductor nanodiodes where a Schottky barrier is formed and the energy barrier is much lower than the work function of the metal. The detection of hot electron flow can be successfully measured using the photocurrent; we measured the photoyield of photoemission with incident photons-to-current conversion efficiency (IPCE). We also show that surface plasmons (i.e. the collective oscillation of conduction band electrons induced by interaction with an electromagnetic field) are excited on a rough metal surface and subsequently decay into secondary electrons, which gives rise to enhancement of the IPCE. Furthermore, the unique optical behavior of surface plasmons can be coupled with dye molecules, suggesting the possibility for producing additional channels for hot electron generation.

  8. Floating-gate memory based on an organic metal-insulator-semiconductor capacitor

    NASA Astrophysics Data System (ADS)

    William, S.; Mabrook, M. F.; Taylor, D. M.

    2009-08-01

    A floating gate memory element is described which incorporates an evaporated gold film embedded in the gate dielectric of a metal-insulator-semiconductor capacitor based on poly(3-hexylthiophene). On exceeding a critical amplitude in the voltage sweep, hysteresis is observed in the capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the device. The anticlockwise hysteresis in C-V is consistent with strong electron trapping during the positive cycle but little hole trapping during the negative cycle. We argue that the clockwise hysteresis observed in the negative cycle of the I-V plot, arises from leakage of trapped holes through the underlying insulator to the control gate.

  9. Nature of magnetization and lateral spin-orbit interaction in gated semiconductor nanowires.

    PubMed

    Karlsson, H; Yakimenko, I I; Berggren, K-F

    2018-05-31

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin-orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree-Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  10. Nature of magnetization and lateral spin–orbit interaction in gated semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Karlsson, H.; Yakimenko, I. I.; Berggren, K.-F.

    2018-05-01

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin–orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree–Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  11. Effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks

    NASA Astrophysics Data System (ADS)

    Hicks, Jeremy; Li, Junying; Ying, Chen; Ural, Ant

    2018-05-01

    We study the effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks by Monte Carlo simulations. We generate curvy nanowires as one-dimensional sticks using 3rd-order Bézier curves. The degree of curviness in the network is quantified by the concept of curviness angle and curl ratio. We systematically study the interaction between the effect of curviness and five other nanowire/device parameters on the network resistivity, namely nanowire density, nanowire length, device length, device width, and nanowire alignment. We find that the resistivity exhibits a power law dependence on the curl ratio, which is a signature of percolation transport. In each case, we extract the power-law scaling critical exponents and explain the results using geometrical and physical arguments. The value of the curl ratio critical exponent is not universal, but increases as the other nanowire/device parameters drive the network toward the percolation threshold. We find that, for randomly oriented networks, curviness is undesirable since it increases the resistivity. For well-aligned networks, on the other hand, some curviness is highly desirable, since the resistivity minimum occurs for partially curvy nanowires. We explain these results by considering the two competing effects of curviness on the percolation resistivity. The results presented in this work can be extended to any network, film, or nanocomposite consisting of one-dimensional nanoelements. Our results show that Monte Carlo simulations are an essential predictive tool for both studying the percolation transport and optimizing the electronic properties of transparent, conductive nanowire networks for a wide range of applications.

  12. On the measurement of relaxation times of acoustic vibrations in metal nanowires.

    PubMed

    Devkota, Tuphan; Chakraborty, Debadi; Yu, Kuai; Beane, Gary; Sader, John E; Hartland, Gregory V

    2018-06-25

    The mechanical resonances of metal nanostructures are strongly affected by their environment. In this paper the way the breathing modes of single metal nanowires are damped by liquids with different viscosities was studied by ultrafast pump-probe microscopy experiments. Both nanowires supported on a glass substrate and nanowires suspended over trenches were investigated. The measured quality factors for liquid damping for the suspended nanowires are in good agreement with continuum mechanics calculations for an inviscid fluid that assume continuity in stress and displacement at the nanowire-liquid interface. This shows that liquid damping is controlled by radiation of sound waves into the medium. For the nanowires on the glass surface the quality factors for liquid damping are approximately 60% higher than those for the suspended nanowires. This is attributed to a shadowing effect. The nanowires in our measurements have pentagonal cross-sections. This produces two different breathing modes and also means that one of the faces for the supported nanowires is blocked by the substrate, which reduces the amount of damping from the liquid. Comparing the supported and suspended nanowires also allows us to estimate the effect of the substrate on the acoustic mode damping. We find that the substrate has a weak effect, which is attributed to poor mechanical contact between the nanowires and the substrate.

  13. Atomic Scale Dynamics of Contact Formation in the Cross-Section of InGaAs Nanowire Channels

    DOE PAGES

    Chen, Renjie; Jungjohann, Katherine L.; Mook, William M.; ...

    2017-03-23

    In the alloyed and compound contacts between metal and semiconductor transistor channels we see that they enable self-aligned gate processes which play a significant role in transistor scaling. At nanoscale dimensions and for nanowire channels, prior experiments focused on reactions along the channel length, but the early stage of reaction in their cross sections remains unknown. We report on the dynamics of the solid-state reaction between metal (Ni) and semiconductor (In 0.53Ga 0.47As), along the cross-section of nanowires that are 15 nm in width. Unlike planar structures where crystalline nickelide readily forms at conventional, low alloying temperatures, nanowires exhibit amore » solid-state amorphization step that can undergo a crystal regrowth step at elevated temperatures. Here, we capture the layer-by-layer reaction mechanism and growth rate anisotropy using in situ transmission electron microscopy (TEM). Our kinetic model depicts this new, in-plane contact formation which could pave the way for engineered nanoscale transistors.« less

  14. Growth of metal oxide nanowires from supercooled liquid nanodroplets.

    PubMed

    Kim, Myung Hwa; Lee, Byeongdu; Lee, Sungsik; Larson, Christopher; Baik, Jeong Min; Yavuz, Cafer T; Seifert, Sönke; Vajda, Stefan; Winans, Randall E; Moskovits, Martin; Stucky, Galen D; Wodtke, Alec M

    2009-12-01

    Nanometer-sized liquid droplets formed at temperatures below the bulk melting point become supercooled as they grow through Ostwald ripening or coalescence and can be exploited to grow nanowires without any catalyst. We used this simple approach to synthesize a number of highly crystalline metal oxide nanowires in a chemical or physical vapor deposition apparatus. Examples of nanowires made in this way include VO(2), V(2)O(5), RuO(2), MoO(2), MoO(3), and Fe(3)O(4), some of which have not been previously reported. Direct evidence of this new mechanism of nanowire growth is found from in situ 2-dimensional GISAXS (grazing incidence small angle X-ray scattering) measurements of VO(2) nanowire growth, which provides quantitative information on the shapes and sizes of growing nanowires as well as direct evidence of the presence of supercooled liquid droplets. We observe dramatic changes in nanowire growth by varying the choice of substrate, reflecting the influence of wetting forces on the supercooled nanodroplet shape and mobility as well as substrate-nanowire lattice matching on the definition of nanowire orientation. Surfaces with defects can also be used to pattern the growth of the nanowires. The simplicity of this synthesis concept suggests it may be rather general in its application.

  15. Electromagnetic properties of metal-dielectric media and their applications

    NASA Astrophysics Data System (ADS)

    Animilli, Shravan Rakesh

    composites. Based on the obtained numerical data a scaling theory for the higher order electric field moments is developed. A distinct evidence of singularities in the surface plasmon density of states and localization length is obtained, correlating with results previously obtained for two dimensional systems. This leads to the main finding of this work; i.e., the delocalization of surface plasmon states in percolating metal-dielectric composite materials is universally present regardless of the dimensionality of the problem. This dissertation also proposes a new approach toward developing highly efficient inorganic/organic solar cell, by presenting a method for enhancement in the optical absorption and overall cell efficiency. Specifically, the approach improves the operation characteristics of inorganic semiconductor (e.g. Si and a-Si) and organic (P3HT:PCBM) thin film solar cells by integrating a thin, inhomogeneous, metal-dielectric composite (MDC) electrode at the interface between the transparent electrode and active layer. Through numerical simulations, we show that under solar illumination, surface plasmons are excited within the fractal MDC electrode across an extremely broad range of optical frequencies, trapping the incoming light and ensuring an optimal absorption into the active layer of the solar cells. An analytical model is developed to study the I-V characteristics of the cells, providing a pathway toward achieving optimal efficiency and better understanding of the behavior of charge carriers. Using this model, it is shown that including gold MDC electrodes can lead to an enhancement in solar cell power conversion efficiency up to 33% higher compared to the benchmark device.

  16. Direct observation of single-charge-detection capability of nanowire field-effect transistors.

    PubMed

    Salfi, J; Savelyev, I G; Blumin, M; Nair, S V; Ruda, H E

    2010-10-01

    A single localized charge can quench the luminescence of a semiconductor nanowire, but relatively little is known about the effect of single charges on the conductance of the nanowire. In one-dimensional nanostructures embedded in a material with a low dielectric permittivity, the Coulomb interaction and excitonic binding energy are much larger than the corresponding values when embedded in a material with the same dielectric permittivity. The stronger Coulomb interaction is also predicted to limit the carrier mobility in nanowires. Here, we experimentally isolate and study the effect of individual localized electrons on carrier transport in InAs nanowire field-effect transistors, and extract the equivalent charge sensitivity. In the low carrier density regime, the electrostatic potential produced by one electron can create an insulating weak link in an otherwise conducting nanowire field-effect transistor, modulating its conductance by as much as 4,200% at 31 K. The equivalent charge sensitivity, 4 × 10(-5) e Hz(-1/2) at 25 K and 6 × 10(-5) e Hz(-1/2) at 198 K, is orders of magnitude better than conventional field-effect transistors and nanoelectromechanical systems, and is just a factor of 20-30 away from the record sensitivity for state-of-the-art single-electron transistors operating below 4 K (ref. 8). This work demonstrates the feasibility of nanowire-based single-electron memories and illustrates a physical process of potential relevance for high performance chemical sensors. The charge-state-detection capability we demonstrate also makes the nanowire field-effect transistor a promising host system for impurities (which may be introduced intentionally or unintentionally) with potentially long spin lifetimes, because such transistors offer more sensitive spin-to-charge conversion readout than schemes based on conventional field-effect transistors.

  17. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  18. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  19. Quantum Conductance in Metal Nanowires

    NASA Astrophysics Data System (ADS)

    Ugarte, Daniel

    2004-03-01

    Quantum Conductance in Metal Nanowires D. Ugarte Brazilian National Synchrotron Light Laboratory C.P. 6192, 13084-971 Campinas SP, Brazil. Electrical transport properties of metallic nanowires (NWs) have received great attention due to their quantum conductance behavior. Atomic scale wires can be generated by stretching metal contacts; during the elongation and just before rupture, the NW conductance shows flat plateaus and abrupt jumps of approximately a conductance quantum. In this experiments, both the NW atomic arrangement and conductance change simultaneously, making difficult to discriminate electronic and structural effects. In this work, the atomic structure of NWs was studied by time-resolved in situ experiments in a high resolution transmission electron microscope, while their electrical properties using an UHV mechanically controllable break junction (MCBJ). From the analysis of numerous HRTEM images and videos, we have deduced that metal (Au, Ag, Pt, etc.) junctions generated by tensile deformation are crystalline and free of defects. The neck structure is strongly dependent on the surface properties of the analyzed metal, this was verified by comparing different metal NWs (Au, Ag, Cu), which have similar atomic structure (FCC), but show very different faceting patterns. The correlation between the observed structural and transport properties of NW points out that the quantum conductance behavior is defined by preferred atomic arrangement at the narrowest constriction. In the case of magnetic (ex. Fe,Co,Ni) or quasi-magnetic (ex. Pd) wires, we have observed that one-atom-thick structures show a conductance of half the quantum as expected for a fully spin polarized current. This phenomenon seems to occur spontaneously for magnetic suspended atom-chains in zero magnetic field and at room temperature. These results open new opportunities for spin control in nanostructures. Funded by FAPESP, LNLS and CNPq.

  20. Metal Catalyst for Low-Temperature Growth of Controlled Zinc Oxide Nanowires on Arbitrary Substrates

    PubMed Central

    Kim, Baek Hyun; Kwon, Jae W.

    2014-01-01

    Zinc oxide nanowires generated by hydrothermal method present superior physical and chemical characteristics. Quality control of the growth has been very challenging and controlled growth is only achievable under very limited conditions using homogeneous seed layers with high temperature processes. Here we show the controlled ZnO nanowire growth on various organic and inorganic materials without the requirement of a homogeneous seed layer and a high temperature process. We also report the discovery of an important role of the electronegativity in the nanowire growth on arbitrary substrates. Using heterogeneous metal oxide interlayers with low-temperature hydrothermal methods, we demonstrate well-controlled ZnO nanowire arrays and single nanowires on flat or curved surfaces. A metal catalyst and heterogeneous metal oxide interlayers are found to determine lattice-match with ZnO and to largely influence the controlled alignment. These findings will contribute to the development of novel nanodevices using controlled nanowires. PMID:24625584

  1. Schottky nanocontact of one-dimensional semiconductor nanostructures probed by using conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Lee, Jung Ah; Rok Lim, Young; Jung, Chan Su; Choi, Jun Hee; Im, Hyung Soon; Park, Kidong; Park, Jeunghee; Kim, Gyu Tae

    2016-10-01

    To develop the advanced electronic devices, the surface/interface of each component must be carefully considered. Here, we investigate the electrical properties of metal-semiconductor nanoscale junction using conductive atomic force microscopy (C-AFM). Single-crystalline CdS, CdSe, and ZnO one-dimensional nanostructures are synthesized via chemical vapor transport, and individual nanobelts (or nanowires) are used to fabricate nanojunction electrodes. The current-voltage (I -V) curves are obtained by placing a C-AFM metal (PtIr) tip as a movable contact on the nanobelt (or nanowire), and often exhibit a resistive switching behavior that is rationalized by the Schottky (high resistance state) and ohmic (low resistance state) contacts between the metal and semiconductor. We obtain the Schottky barrier height and the ideality factor through fitting analysis of the I-V curves. The present nanojunction devices exhibit a lower Schottky barrier height and a higher ideality factor than those of the bulk materials, which is consistent with the findings of previous works on nanostructures. It is shown that C-AFM is a powerful tool for characterization of the Schottky contact of conducting channels between semiconductor nanostructures and metal electrodes.

  2. Veritable electronic characteristics in ZnO nanowire circuits uncovered by the four-terminal method at a low temperature

    NASA Astrophysics Data System (ADS)

    Li, Xin; Zhang, Qi

    2017-04-01

    Understanding the natural electrical properties in semiconductor channels and the carrier transport across the metal-semiconductor contact is essential to improve the performance of nanowire devices. This work presents the true electronic characteristics of ZnO nanowire devices measured by a four-electrode method at a low-temperature environment. The temperature rise leads to the decrease in near-band-gap emission, which is attributed to two non-radiative recombination processes. For ZnO circuits, thermionic emission carrier transport mechanism plays a dominant role at Ti-Au/ZnO interface and the transport mechanism in ZnO nanowires is governed by two competitive thermal activation conduction processes: optical or acoustic phonons assisting hopping.

  3. Green synthesis of water soluble semiconductor nanocrystals and their applications

    NASA Astrophysics Data System (ADS)

    Wang, Ying

    well as high-throughput and simplicity of photolithography. Photoconductive LBL thin films are fabricated from Te nanowires. The thin film has distinctively metallic mirror-like appearance and displays strong photoconductance effect characteristic of narrow band-gap semiconductors. In-situ reduction of gold results in formation of Au nanoparticles adhering to Te nanowires, which leads to the disappearance of photoconductivity of the Te thin film. Those nanomaterials are considered for various applications, such as light emitting devices, data storage materials, biosensors, photodetectors.

  4. Nonlocal Optical Response of Plasmonic Nanowire Metamaterials

    DTIC Science & Technology

    2014-01-01

    comply with a collection of information if it does not display a currently valid OMB control number. PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ADDRESS...holes filled with noble metals, such as gold (Au) [16]. For these types of materials, the radius r for the individual nanowires can be controlled ...of the composite can be controlled between all-dielectric elliptic (, > 0, > 0) epsilon-near- zero (ENZ, ≈ 0) and

  5. Enhanced Optical Properties of Germanate and Tellurite Glasses Containing Metal or Semiconductor Nanoparticles

    PubMed Central

    de Araujo, Cid Bartolomeu; Silvério da Silva, Diego; Alves de Assumpção, Thiago Alexandre; Kassab, Luciana Reyes Pires; Mariano da Silva, Davinson

    2013-01-01

    Germanium- and tellurium-based glasses have been largely studied due to their recognized potential for photonics. In this paper, we review our recent studies that include the investigation of the Stokes and anti-Stokes photoluminescence (PL) in different glass systems containing metallic and semiconductor nanoparticles (NPs). In the case of the samples with metallic NPs, the enhanced PL was attributed to the increased local field on the rare-earth ions located in the proximity of the NPs and/or the energy transfer from the metallic NPs to the rare-earth ions. For the glasses containing silicon NPs, the PL enhancement was mainly due to the energy transfer from the NPs to the Er3+ ions. The nonlinear (NL) optical properties of PbO-GeO2 films containing gold NPs were also investigated. The experiments in the pico- and subpicosecond regimes revealed enhanced values of the NL refractive indices and large NL absorption coefficients in comparison with the films without gold NPs. The reported experiments demonstrate that germanate and tellurite glasses, having appropriate rare-earth ions doping and NPs concentration, are strong candidates for PL-based devices, all-optical switches, and optical limiting. PMID:23710138

  6. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com; Kumar, Narendra; Thapa, Khem B.

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractivemore » index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.« less

  7. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    NASA Astrophysics Data System (ADS)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  8. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  9. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE PAGES

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao; ...

    2017-05-31

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  10. Double heterojunction nanowire photocatalysts for hydrogen generation.

    PubMed

    Tongying, P; Vietmeyer, F; Aleksiuk, D; Ferraudi, G J; Krylova, G; Kuno, M

    2014-04-21

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ∼434.29 ± 27.40 μmol h(-1) g(-1) under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.

  11. Perfect coupling of light to a periodic dielectric/metal/dielectric structure

    NASA Astrophysics Data System (ADS)

    Wang, Zhengling; Li, Shiqiang; Chang, R. P. H.; Ketterson, John B.

    2014-07-01

    Using the finite difference time domain method, it is demonstrated that perfect coupling can be achieved between normally incident light and a periodic dielectric/metal/dielectric structure. The structure serves as a diffraction grating that excites modes related to the long range surface plasmon and short range surface plasmon modes that propagate on continuous metallic films. By optimizing the structural dimensions, perfect coupling is achieved between the incident light and these modes. A high Q of 697 and an accompanying ultrasharp linewidth of 0.8 nm are predicted for a 10 nm silver film for optimal conditions.

  12. An Evanescent Microwave Probe for Super-Resolution Nondestructive Imaging of Metals, Semiconductors, Dielectrics, Composites and Biological Specimens

    NASA Technical Reports Server (NTRS)

    Pathak, P. S.; Tabib-Azar, M.; Ponchak, G.

    1998-01-01

    Using evanescent microwaves with decay lengths determined by a combination of microwave wavelength (lambda) and waveguide termination geometry, we have imaged and mapped material non-uniformities and defects with a resolving capability of lambda/3800=79 microns at 1 GHz. In our method a microstrip quarter wavelength resonator was used to generate evanescent microwaves. We imaged materials with a wide range of conductivities. Carbon composites, dielectrics (Duroid, polymers), semiconductors (3C-SiC, polysilicon, natural diamond), metals (tungsten alloys, copper, zinc, steel), high-temperature superconductors, and botanical samples were scanned for defects, residual stresses, integrity of brazed junctions, subsurface features, areas of different film thickness and moisture content. The evanescent microwave probe is a versatile tool and it can be used to perform very fast, large scale mapping of a wide range of materials. This method of characterization compares favorably with ultrasound testing, which has a resolution of about 0.1 mm and suffers from high absorption in composite materials and poor transmission across boundaries. Eddy current methods which can have a resolution on the order of 50 microns are restricted to evaluating conducting materials. Evanescent microwave imaging, with careful choice of operating frequency and probe geometry, can have a resolution of up to 1 micron. In this method we can scan hot and moving objects, sample preparation is not required, testing is non-destructive, non-invasive and non-contact, and can be done in air, in liquid or in vacuum.

  13. Interfacial Properties of Germanium Nitride Dielectric Layers on Germanium.

    DTIC Science & Technology

    1986-09-30

    choice or a process for growing Ge N , layers on germa - nium. a number of workers have employed various de. mum. posited layers on germanium. While...N00014-84-K-0459 V6 Office of Naval Research (Mr. Max N . Yoder, Electronic Division) 4: 800 North Quincy Street Arlington, Virginia 22217 Interfacial...z4) 3 Co0 C14 CM- Lfl n X sj E 𔃺 01 N S) Li (m* m n1 Ln m CU OT X)-(, (L 0IX) D/13si E- 1 0n CtZ) 4-4C ~ IN I- I L L0tX Cd) JN N in - Growth of

  14. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  15. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  16. III-V semiconductor nanoresonators-a new strategy for passive, active, and nonlinear all-dielectric metamaterials

    DOE PAGES

    Liu, Sheng; Keeler, Gordon A.; Reno, John L.; ...

    2016-06-10

    We demonstrate 2D and multilayer dielectric metamaterials made from III–V semiconductors using a monolithic fabrication process. The resulting structures could be used to recompress chirped femtosecond optical pulses and in a variety of other optical applications requiring low loss. Moreover, these III–V all-dielectric metamaterials could enable novel active applications such as efficient nonlinear frequency converters, light emitters, detectors, and modulators.

  17. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  18. Preparation and electrical properties of ultrafine Ga2O3 nanowires.

    PubMed

    Huang, Yang; Yue, Shuanglin; Wang, Zhongli; Wang, Qiang; Shi, Chengying; Xu, Z; Bai, X D; Tang, Chengcun; Gu, Changzhi

    2006-01-19

    Uniform and well-crystallized beta-Ga2O3 nanowires are prepared by reacting metal Ga with water vapor based on the vapor-liquid-solid (VLS) mechanism. Electron microscopy studies show that the nanowires have diameters ranging from 10 to 40 nm and lengths up to tens of micrometers. The contact properties of individual Ga2O3 nanowires with Pt or Au/Ti electrodes are studied, respectively, finding that Pt can form Schottky-barrier junctions and Au/Ti is advantageous to fabricate ohmic contacts with individual Ga2O3 nanowires. In ambient air, the conductivity of the Ga2O3 nanowires is about 1 (Omega.m)-1, while with adsorption of NH3 (or NO2) molecules, the conductivity can increase (or decrease) dramatically at room temperature. The as-grown Ga2O3 nanowires have the properties of an n-type semiconductor.

  19. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  20. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  1. Low trap states in in situ SiN{sub x}/AlN/GaN metal-insulator-semiconductor structures grown by metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xing; Ma, Jun; Jiang, Huaxing

    2014-09-08

    We report the use of SiN{sub x} grown in situ by metal-organic chemical vapor deposition as the gate dielectric for AlN/GaN metal-insulator-semiconductor (MIS) structures. Two kinds of trap states with different time constants were identified and characterized. In particular, the SiN{sub x}/AlN interface exhibits remarkably low trap state densities in the range of 10{sup 11}–10{sup 12 }cm{sup −2}eV{sup −1}. Transmission electron microscopy and X-ray photoelectron spectroscopy analyses revealed that the in situ SiN{sub x} layer can provide excellent passivation without causing chemical degradation to the AlN surface. These results imply the great potential of in situ SiN{sub x} as an effectivemore » gate dielectric for AlN/GaN MIS devices.« less

  2. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  3. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  4. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  5. FIBER AND INTEGRATED OPTICS: Emission properties of graded-index corrugated waveguides with a metal or semiconductor coating

    NASA Astrophysics Data System (ADS)

    Ataya, B. A.; Osovitskiĭ, A. N.

    1992-02-01

    A numerical method was used to investigate the emission of TE-polarized light from a graded-index corrugated waveguide coated with a metal or semiconductor and either with or without a buffer layer. The main emission characteristics of these systems were analyzed. In the case of metallized dielectric structures an optimal corrugation depth was established for which the emitted power is a maximum. It was found that when the parameters of a structure with a buffer layer were correctly chosen and a highly reflective metal coating was used, practically all the power in the waveguide wave could be emitted along a specified direction. A structure with a buffer layer and an aluminum coating was investigated experimentally.

  6. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  7. Method for the rapid synthesis of large quantities of metal oxide nanowires at low temperatures

    DOEpatents

    Sunkara, Mahendra Kumar [Louisville, KY; Vaddiraju, Sreeram [Mountain View, CA; Mozetic, Miran [Ljubljan, SI; Cvelbar, Uros [Idrija, SI

    2009-09-22

    A process for the rapid synthesis of metal oxide nanoparticles at low temperatures and methods which facilitate the fabrication of long metal oxide nanowires. The method is based on treatment of metals with oxygen plasma. Using oxygen plasma at low temperatures allows for rapid growth unlike other synthesis methods where nanomaterials take a long time to grow. Density of neutral oxygen atoms in plasma is a controlling factor for the yield of nanowires. The oxygen atom density window differs for different materials. By selecting the optimal oxygen atom density for various materials the yield can be maximized for nanowire synthesis of the metal.

  8. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    PubMed Central

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  9. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  10. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    NASA Astrophysics Data System (ADS)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  11. Atomic Structure Studies of Semiconductor-Electrolyte, Metal and Vacuum Interfaces.

    DTIC Science & Technology

    1982-01-12

    Channeling Studies of Metal Interaction with Silicon R. Haight, T. Itoh, T. Narusawa, W.M. Gibson and A. Hiraki Epitaxial Growth of Germanium on Si(lll...Stages of Metal-Silicide Formation (Invited Paper) A. Hiraki , T. Narusawa and W.M. Gibson 44 American Physical Society, Dallas, TX, March 1982 Structure

  12. Amplification and generation of surface plasmon polaritons in a semiconductor film - dielectric structure

    NASA Astrophysics Data System (ADS)

    Abramov, A. S.; Zolotovskii, I. O.; Moiseev, S. G.; Sementsov, D. I.

    2018-01-01

    The peculiarities of propagation and amplification of surface waves of plasmon polariton type in a planar semiconductor film - dielectric structure are considered for the THz frequency region, with allowance for dissipation in a semiconductor. Two spectral regions are found, where the group velocity of surface plasmon polaritons is negative. It is shown that in these regions the structure can be considered as an amplifying waveguide with distributed feedback and a high gain with respect to the reflected and transmitted signals. The possibility of generation of electromagnetic radiation in such structures is established.

  13. Metallic dielectric photonic crystals and methods of fabrication

    DOEpatents

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2017-12-05

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  14. Metallic dielectric photonic crystals and methods of fabrication

    DOEpatents

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2016-12-20

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  15. Three-dimensional vertical Si nanowire MOS capacitor model structure for the study of electrical versus geometrical Si nanowire characteristics

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Casanova, A.; Larrieu, G.; Nassiopoulou, A. G.

    2018-05-01

    Three-dimensional (3D) Si surface nanostructuring is interesting towards increasing the capacitance density of a metal-oxidesemiconductor (MOS) capacitor, while keeping reduced footprint for miniaturization. Si nanowires (SiNWs) can be used in this respect. With the aim of understanding the electrical versus geometrical characteristics of such capacitors, we fabricated and studied a MOS capacitor with highly ordered arrays of vertical Si nanowires of different lengths and thermal silicon oxide dielectric, in comparison to similar flat MOS capacitors. The high homogeneity and ordering of the SiNWs allowed the determination of the single SiNW capacitance and intrinsic series resistance, as well as other electrical characteristics (density of interface states, flat-band voltage and leakage current) in relation to the geometrical characteristics of the SiNWs. The SiNW capacitors demonstrated increased capacitance density compared to the flat case, while maintaining a cutoff frequency above 1 MHz, much higher than in other reports in the literature. Finally, our model system has been shown to constitute an excellent platform for the study of SiNW capacitors with either grown or deposited dielectrics, as for example high-k dielectrics for further increasing the capacitance density. This will be the subject of future work.

  16. III-V nanowire synthesis by use of electrodeposited gold particles.

    PubMed

    Jafari Jam, Reza; Heurlin, Magnus; Jain, Vishal; Kvennefors, Anders; Graczyk, Mariusz; Maximov, Ivan; Borgström, Magnus T; Pettersson, Håkan; Samuelson, Lars

    2015-01-14

    Semiconductor nanowires are great candidates for building novel electronic devices. Considering the cost of fabricating such devices, substrate reuse and gold consumption are the main concerns. Here we report on implementation of high throughput gold electrodeposition for selective deposition of metal seed particles in arrays defined by lithography for nanowire synthesis. By use of this method, a reduction in gold consumption by a factor of at least 300 was achieved, as compared to conventional thermal evaporation for the same pattern. Because this method also facilitates substrate reuse, a significantly reduced cost of the final device is expected. We investigate the morphology, crystallography, and optical properties of InP and GaAs nanowires grown from electrodeposited gold seed particles and compare them with the properties of nanowires grown from seed particles defined by thermal evaporation of gold. We find that nanowire synthesis, as well as the material properties of the grown nanowires are comparable and quite independent of the gold deposition technique. On the basis of these results, electrodeposition is proposed as a key technology for large-scale fabrication of nanowire-based devices.

  17. Gas Sensors Based on Semiconducting Nanowire Field-Effect Transistors

    PubMed Central

    Feng, Ping; Shao, Feng; Shi, Yi; Wan, Qing

    2014-01-01

    One-dimensional semiconductor nanostructures are unique sensing materials for the fabrication of gas sensors. In this article, gas sensors based on semiconducting nanowire field-effect transistors (FETs) are comprehensively reviewed. Individual nanowires or nanowire network films are usually used as the active detecting channels. In these sensors, a third electrode, which serves as the gate, is used to tune the carrier concentration of the nanowires to realize better sensing performance, including sensitivity, selectivity and response time, etc. The FET parameters can be modulated by the presence of the target gases and their change relate closely to the type and concentration of the gas molecules. In addition, extra controls such as metal decoration, local heating and light irradiation can be combined with the gate electrode to tune the nanowire channel and realize more effective gas sensing. With the help of micro-fabrication techniques, these sensors can be integrated into smart systems. Finally, some challenges for the future investigation and application of nanowire field-effect gas sensors are discussed. PMID:25232915

  18. Hard template synthesis of metal nanowires

    NASA Astrophysics Data System (ADS)

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-11-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  19. Hard template synthesis of metal nanowires.

    PubMed

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  20. Lattice matched semiconductor growth on crystalline metallic substrates

    DOEpatents

    Norman, Andrew G; Ptak, Aaron J; McMahon, William E

    2013-11-05

    Methods of fabricating a semiconductor layer or device and said devices are disclosed. The methods include but are not limited to providing a metal or metal alloy substrate having a crystalline surface with a known lattice parameter (a). The methods further include growing a crystalline semiconductor alloy layer on the crystalline substrate surface by coincident site lattice matched epitaxy. The semiconductor layer may be grown without any buffer layer between the alloy and the crystalline surface of the substrate. The semiconductor alloy may be prepared to have a lattice parameter (a') that is related to the lattice parameter (a). The semiconductor alloy may further be prepared to have a selected band gap.

  1. Zn(1-x)MnxTe diluted magnetic semiconductor nanowires grown by molecular beam epitaxy.

    PubMed

    Zaleszczyk, Wojciech; Janik, Elzbieta; Presz, Adam; Dłuzewski, Piotr; Kret, Sławomir; Szuszkiewicz, Wojciech; Morhange, Jean-François; Dynowska, Elzbieta; Kirmse, Holm; Neumann, Wolfgang; Petroutchik, Aleksy; Baczewski, Lech T; Karczewski, Grzegorz; Wojtowicz, Tomasz

    2008-11-01

    It is shown that the growth of II-VI diluted magnetic semiconductor nanowires is possible by the catalytically enhanced molecular beam epitaxy (MBE). Zn(1-x)MnxTe NWs with manganese content up to x=0.60 were produced by this method. X-ray diffraction, Raman spectroscopy, and temperature dependent photoluminescence measurements confirm the incorporation of Mn(2+) ions in the cation substitutional sites of the ZnTe matrix of the NWs.

  2. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  3. Nanowire NMOS Logic Inverter Characterization.

    PubMed

    Hashim, Yasir

    2016-06-01

    This study is the first to demonstrate characteristics optimization of nanowire N-Channel Metal Oxide Semiconductor (NW-MOS) logic inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. A computer-based model used to produce static characteristics of NW-NMOS logic inverter. In this research two circuit configuration of NW-NMOS inverter was studied, in first NW-NMOS circuit, the noise margin for (low input-high output) condition was very low. For second NMOS circuit gives excellent noise margins, and results indicate that optimization depends on applied voltage to the inverter. Increasing gate to source voltage with (2/1) nanowires ratio results better noise margins. Increasing of applied DC load transistor voltage tends to increasing in decreasing noise margins; decreasing this voltage will improve noise margins significantly.

  4. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1997-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  5. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1995-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  6. Ultrafast All-Optical Switching of Germanium-Based Flexible Metaphotonic Devices.

    PubMed

    Lim, Wen Xiang; Manjappa, Manukumara; Srivastava, Yogesh Kumar; Cong, Longqing; Kumar, Abhishek; MacDonald, Kevin F; Singh, Ranjan

    2018-03-01

    Incorporating semiconductors as active media into metamaterials offers opportunities for a wide range of dynamically switchable/tunable, technologically relevant optical functionalities enabled by strong, resonant light-matter interactions within the semiconductor. Here, a germanium-thin-film-based flexible metaphotonic device for ultrafast optical switching of terahertz radiation is experimentally demonstrated. A resonant transmission modulation depth of 90% is achieved, with an ultrafast full recovery time of 17 ps. An observed sub-picosecond decay constant of 670 fs is attributed to the presence of trap-assisted recombination sites in the thermally evaporated germanium film. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Segmented nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2013-03-05

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  8. Exploring the Electronic Landscape at Interfaces and Junctions in Semiconductor Nanowire Devices with Subsurface Local Probing of Carrier Dynamics

    NASA Astrophysics Data System (ADS)

    McGuckin, Terrence

    The solid state devices that are pervasive in our society, are based on building blocks composed of interfaces between materials and junctions that manipulate how charge carriers behave in a device. As the dimensions of these devices are reduced to the nanoscale, surfaces and interfaces play a larger role in the behavior of carriers in devices and must be thoroughly investigated to understand not only the material properties but how these materials interact. Separating the effects of these different building blocks is a challenge, as most testing methods measure the performance of the whole device. Semiconductor nanowires represent an excellent test system to explore the limits of size and novel device structures. The behavior of charge carriers in semiconductor nanowire devices under operational conditions is investigated using local probing technique electron beam induced current (EBIC). The behavior of locally excited carriers are driven by the forces of drift, from electric fields within a device at junctions, surfaces, contacts and, applied voltage bias, and diffusion. This thesis presents the results of directly measuring these effects spatially with nanometer resolution, using EBIC in Ge, Si, and complex heterostructure GaAs/AlGaAs nanowire devices. Advancements to the EBIC technique, have pushed the resolution from tens of nanometers down to 1 to 2 nanometers. Depth profiling and tuning of the interaction volume allows for the separating the signal originating from the surface and the interior of the nanowire. Radial junctions and variations in bands can now be analyzed including core/shell hetero-structures. This local carrier probing reveals a number of surprising behaviors; Most notably, directly imaging the evolution of surface traps filling with electrons causing bandbending at the surface of Ge nanowires that leads to an enhancement in the charge separation of electrons and holes, and extracting different characteristic lengths from GaAs and AlGaAs in

  9. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  10. Cascaded plasmon-plasmon coupling mediated energy transfer across stratified metal-dielectric nanostructures

    PubMed Central

    Golmakaniyoon, Sepideh; Hernandez-Martinez, Pedro Ludwig; Demir, Hilmi Volkan; Sun, Xiao Wei

    2016-01-01

    Surface plasmon (SP) coupling has been successfully applied to nonradiative energy transfer via exciton-plasmon-exciton coupling in conventionally sandwiched donor-metal film-acceptor configurations. However, these structures lack the desired efficiency and suffer poor photoemission due to the high energy loss. Here, we show that the cascaded exciton-plasmon-plasmon-exciton coupling in stratified architecture enables an efficient energy transfer mechanism. The overlaps of the surface plasmon modes at the metal-dielectric and dielectric-metal interfaces allow for strong cross-coupling in comparison with the single metal film configuration. The proposed architecture has been demonstrated through the analytical modeling and numerical simulation of an oscillating dipole near the stratified nanostructure of metal-dielectric-metal-acceptor. Consistent with theoretical and numerical results, experimental measurements confirm at least 50% plasmon resonance energy transfer enhancement in the donor-metal-dielectric-metal-acceptor compared to the donor-metal-acceptor structure. Cascaded plasmon-plasmon coupling enables record high efficiency for exciton transfer through metallic structures. PMID:27698422

  11. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  12. Hard template synthesis of metal nanowires

    PubMed Central

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed. PMID:25453031

  13. Metal-conductive polymer hybrid nanostructures: preparation and electrical properties of palladium-polyimidazole nanowires

    NASA Astrophysics Data System (ADS)

    Al-Hinai, Mariam; Hassanien, Reda; Watson, Scott M. D.; Wright, Nicholas G.; Houlton, Andrew; Horrocks, Benjamin R.

    2016-03-01

    A simple, convenient method for the formation of hybrid metal/conductive polymer nanostructures is described. Polyimidazole (PIm) has been templated on λ-DNA via oxidative polymerisation of imidazole using FeCl3 to produce conductive PIm/DNA nanowires. The PIm/DNA nanowires were decorated with Pd (Pd/PIm/DNA) by electroless reduction of {{{{PdCl}}}4}2- with NaBH4 in the presence of PIm/DNA; the choice of imidazole was motivated by the potential Pd(II) binding site at the pyridinic N atom. The formation of PIm/DNA and the presence of metallic Pd on Pd/PIm/DNA nanowires were verified by FTIR, UV-vis and XPS spectroscopy techniques. AFM studies show that the nanowires have diameters in the range 5-45 nm with a slightly greater mean diameter (17.1 ± 0.75 nm) for the Pd-decorated nanowires than the PIm/DNA nanowires (14.5 ± 0.89 nm). After incubation for 24 h in the polymerisation solution, the PIm/DNA nanowires show a smooth, uniform morphology, which is retained after decoration with Pd. Using a combination of scanned conductance microscopy, conductive AFM and two-terminal measurements we show that both types of nanowire are conductive and that it is possible to discriminate different possible mechanisms of transport. The conductivity of the Pd/PIm/DNA nanowires, (0.1-1.4 S cm-1), is comparable to the PIm/DNA nanowires (0.37 ± 0.029 S cm-1). In addition, the conductance of Pd/PIm/DNA nanowires exhibits Arrhenius behaviour (E a = 0.43 ± 0.02 eV) as a function of temperature in contrast to simple Pd/DNA nanowires. These results indicate that although the Pd crystallites on Pd/PIm/DNA nanowires decorate the PIm polymer, the major current pathway is through the polymer rather than the Pd.

  14. Metal-dielectric interactions

    NASA Technical Reports Server (NTRS)

    Buckley, D. H.

    1979-01-01

    Metal direlectric surface interactions and dielectric films on metal substrates were investigated. Since interfacial interaction depends so heavily on the nature of the surfaces, analytical surface tools such as Auger emission spectroscopy, X-ray photoelectron spectroscopy and field ion microscopy were used to assist in surface and interfacial characterization. The results indicate that with metals contacting certain glasses in the clean state interfacial, bonding produces fractures in the glasses while when a film such as water is present, fractures occur in the metal near the interface. Friction forces were used to measure the interfacial bond strengths. Studies with metals contacting polymers using field ion microscopy revealed that strong bonding forces could develop being between a metal and polymer surface with polymer transferring to the metal surface in various ways depending upon the forces applied to the surface in contact. With the deposition of refractory carbides, silicides and borides onto metal and alloy substrates the presence of oxides at the interface or active gases in the deposition plasma were shown to alter interfacial properties and chemistry. Auger ion depth profile analysis indicated the chemical composition at the interface and this could be related to the mechanical, friction, and wear behavior of the coating.

  15. The effects of Bi4Ti3O12 interfacial ferroelectric layer on the dielectric properties of Au/n-Si structures

    NASA Astrophysics Data System (ADS)

    Gökçen, Muharrem; Yıldırım, Mert

    2015-06-01

    Au/n-Si metal-semiconductor (MS) and Au/Bi4Ti3O12/n-Si metal-ferroelectric-semiconductor (MFS) structures were fabricated and admittance measurements were held between 5 kHz and 1 MHz at room temperature so that dielectric properties of these structures could be investigated. The ferroelectric interfacial layer Bi4Ti3O12 decreased the polarization voltage by providing permanent dipoles at metal/semiconductor interface. Depending on different mechanisms, dispersion behavior was observed in dielectric constant, dielectric loss and loss tangent versus bias voltage plots of both MS and MFS structures. The real and imaginary parts of complex modulus of MFS structure take smaller values than those of MS structure, because permanent dipoles in ferroelectric layer cause a large spontaneous polarization mechanism. While the dispersion in AC conductivity versus frequency plots of MS structure was observed at high frequencies, for MFS structure it was observed at lower frequencies.

  16. Mechanical Failure Mode of Metal Nanowires: Global Deformation versus Local Deformation

    PubMed Central

    Ho, Duc Tam; Im, Youngtae; Kwon, Soon-Yong; Earmme, Youn Young; Kim, Sung Youb

    2015-01-01

    It is believed that the failure mode of metal nanowires under tensile loading is the result of the nucleation and propagation of dislocations. Such failure modes can be slip, partial slip or twinning and therefore they are regarded as local deformation. Here we provide numerical and theoretical evidences to show that global deformation is another predominant failure mode of nanowires under tensile loading. At the global deformation mode, nanowires fail with a large contraction along a lateral direction and a large expansion along the other lateral direction. In addition, there is a competition between global and local deformations. Nanowires loaded at low temperature exhibit global failure mode first and then local deformation follows later. We show that the global deformation originates from the intrinsic instability of the nanowires and that temperature is a main parameter that decides the global or local deformation as the failure mode of nanowires. PMID:26087445

  17. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  18. Implicit versus explicit momentum relaxation time solution for semiconductor nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marin, E. G., E-mail: egmarin@ugr.es; Ruiz, F. G., E-mail: franruiz@ugr.es; Godoy, A., E-mail: agodoy@ugr.es

    2015-07-14

    We discuss the necessity of the exact implicit Momentum Relaxation Time (MRT) solution of the Boltzmann transport equation in order to achieve reliable carrier mobility results in semiconductor nanowires. Firstly, the implicit solution for a 1D electron gas with a isotropic bandstructure is presented resulting in the formulation of a simple matrix system. Using this solution as a reference, the explicit approach is demonstrated to be inaccurate for the calculation of inelastic anisotropic mechanisms such as polar optical phonons, characteristic of III-V materials. Its validity for elastic and isotropic mechanisms is also evaluated. Finally, the implications of the MRT explicitmore » approach inaccuracies on the total mobility of Si and III-V NWs are studied.« less

  19. Semiconductor with protective surface coating and method of manufacture thereof. [Patent application

    DOEpatents

    Hansen, W.L.; Haller, E.E.

    1980-09-19

    Passivation of predominantly crystalline semiconductor devices is provided for by a surface coating of sputtered hydrogenated amorphous semiconductor material. Passivation of a radiation detector germanium diode, for example, is realized by sputtering a coating of amorphous germanium onto the etched and quenched diode surface in a low pressure atmosphere of hydrogen and argon. Unlike prior germanium diode semiconductor devices, which must be maintained in vacuum at cryogenic temperatures to avoid deterioration, a diode processed in the described manner may be stored in air at room temperature or otherwise exposed to a variety of environmental conditions. The coating compensates for pre-existing undesirable surface states as well as protecting the semiconductor device against future impregnation with impurities.

  20. Metal Contacts in Semiconductors.

    DTIC Science & Technology

    1983-11-01

    greater understanding of the role that imperfec- tions, defects etc. play in the formation of Schottk~y barriers and related devices. In section 1 of...these effects. In Section 2 of this report we consider the role of surface defects in the pinning of the Fermi level at free semiconductor surfaces and...in the adsorption and oxidation processes involved when these surfaces interact with gases and metals. The role of imperfections at metal

  1. Metallic nanospheres embedded in nanowires initiated on nanostructures and methods for synthesis thereof

    DOEpatents

    Zaidi, Saleem [Albuquerque, NM; Tringe, Joseph W [Walnut Creek, CA; Vanamu, Ganesh [Sunnyvale, CA; Prinja, Rajiv [Albuquerque, NM

    2012-01-10

    A nanostructure includes a nanowire having metallic spheres formed therein, the spheres being characterized as having at least one of about a uniform diameter and about a uniform spacing there between. A nanostructure in another embodiment includes a substrate having an area with a nanofeature; and a nanowire extending from the nanofeature, the nanowire having metallic spheres formed therein, the spheres being characterized as having at least one of about a uniform diameter and about a uniform spacing there between. A method for forming a nanostructure is also presented. A method for reading and writing data is also presented. A method for preparing nanoparticles is also presented.

  2. Dielectric Coating Thermal Stabilization During GaAs-Based Laser Fabrication for Improved Device Yield

    DTIC Science & Technology

    2015-11-25

    1 Dielectric coating thermal stabilization during GaAs-based laser fabrication for improved device yield 1 Michael K. Connors a, c), Jamal...side contact metal, underlying SiO2 dielectric coating, and semiconductor surface. A thermal-anneal procedure developed for the fabrication of GaAs...slab coupled optical waveguide (SCOW) ridge waveguide devices stabilizes the SiO2 dielectric coating, by means of outgassing and stress reduction

  3. New Concentric Electrode Metal-Semiconductor-Metal Photodetectors

    NASA Technical Reports Server (NTRS)

    Towe, Elias

    1996-01-01

    A new metal-semiconductor-metal (MSM) photodetector geometry is proposed. The new device has concentric metal electrodes which exhibit a high degree of symmetry and a design flexibility absent in the conventional MSM device. The concentric electrodes are biased to alternating potentials as in the conventional interdigitated device. Because of the high symmetry configuration, however, the new device also has a lower effective capacitance. This device and the conventional MSM structure are analyzed within a common theoretical framework which allows for the comparison of the important performance characteristics.

  4. Programmable nanowire circuits for nanoprocessors.

    PubMed

    Yan, Hao; Choe, Hwan Sung; Nam, SungWoo; Hu, Yongjie; Das, Shamik; Klemic, James F; Ellenbogen, James C; Lieber, Charles M

    2011-02-10

    A nanoprocessor constructed from intrinsically nanometre-scale building blocks is an essential component for controlling memory, nanosensors and other functions proposed for nanosystems assembled from the bottom up. Important steps towards this goal over the past fifteen years include the realization of simple logic gates with individually assembled semiconductor nanowires and carbon nanotubes, but with only 16 devices or fewer and a single function for each circuit. Recently, logic circuits also have been demonstrated that use two or three elements of a one-dimensional memristor array, although such passive devices without gain are difficult to cascade. These circuits fall short of the requirements for a scalable, multifunctional nanoprocessor owing to challenges in materials, assembly and architecture on the nanoscale. Here we describe the design, fabrication and use of programmable and scalable logic tiles for nanoprocessors that surmount these hurdles. The tiles were built from programmable, non-volatile nanowire transistor arrays. Ge/Si core/shell nanowires coupled to designed dielectric shells yielded single-nanowire, non-volatile field-effect transistors (FETs) with uniform, programmable threshold voltages and the capability to drive cascaded elements. We developed an architecture to integrate the programmable nanowire FETs and define a logic tile consisting of two interconnected arrays with 496 functional configurable FET nodes in an area of ∼960 μm(2). The logic tile was programmed and operated first as a full adder with a maximal voltage gain of ten and input-output voltage matching. Then we showed that the same logic tile can be reprogrammed and used to demonstrate full-subtractor, multiplexer, demultiplexer and clocked D-latch functions. These results represent a significant advance in the complexity and functionality of nanoelectronic circuits built from the bottom up with a tiled architecture that could be cascaded to realize fully integrated

  5. Doping of germanium and silicon crystals with non-hydrogenic acceptors for far infrared lasers

    DOEpatents

    Haller, Eugene E.; Brundermann, Erik

    2000-01-01

    A method for doping semiconductors used for far infrared lasers with non-hydrogenic acceptors having binding energies larger than the energy of the laser photons. Doping of germanium or silicon crystals with beryllium, zinc or copper. A far infrared laser comprising germanium crystals doped with double or triple acceptor dopants permitting the doped laser to be tuned continuously from 1 to 4 terahertz and to operate in continuous mode. A method for operating semiconductor hole population inversion lasers with a closed cycle refrigerator.

  6. Advances in fractal germanium micro/nanoclusters induced by gold: microstructures and properties.

    PubMed

    Chen, Zhiwen; Shek, Chan-Hung; Wu, C M Lawrence; Lai, Joseph K L

    2014-02-01

    Germanium materials are a class of unique semiconductor materials with widespread technological applications because of their valuable semiconducting, electrical, optical, and thermoelectric power properties in the fields of macro/mesoscopic materials and micro/nanodevices. In this review, we describe the efforts toward understanding the microstructures and various properties of the fractal germanium micro/nanoclusters induced by gold prepared by high vacuum thermal evaporation techniques, highlighting contributions from our laboratory. First, we present the integer and non-integer dimensional germanium micro/nanoclusters such as nanoparticles, nanorings, and nanofractals induced by gold and annealing. In particular, the nonlinear electrical behavior of a gold/germanium bilayer film with the interesting nanofractal is discussed in detail. In addition, the third-order optical nonlinearities of the fractal germanium nanocrystals embedded in gold matrix will be summarized by using the sensitive and reliable Z-scan techniques aimed to determine the nonlinear absorption coefficient and nonlinear refractive index. Finally, we emphasize the thermoelectric power properties of the gold/germanium bilayer films. The thermoelectric power measurement is considered to be a more effective method than the conductivity for investigating superlocalization in a percolating system. This research may provide a novel insight to modulate their competent performance and promote rational design of micro/nanodevices. Once mastered, germanium thin films with a variety of fascinating micro/nanoclusters will offer vast and unforeseen opportunities in the semiconductor industry as well as in other fields of science and technology.

  7. Semiconductor-metal nanostructures: Scanning tunneling microscopy investigation of the fullerene-gold and manganese-germanium-silicon system

    NASA Astrophysics Data System (ADS)

    Liu, Hui

    Nanostructures, assembled from a layer or cluster of atoms with size of the order of nanometers, have attracted much attention for decades, because it has been widely recognized that the properties of nanoscale materials are remarkably different from those of materials of large scale. As one of the most powerful techniques, Scanning Tunneling Microscopy (STM) has become an indispensable technique for studies in nanotechnology. This dissertation is focused on the investigation of the C60-Au system, which is relevant in photovoltaic applications and organic electronic devices, and the Mn-Ge-Si system which is central to the development of advanced spintronics system. The first part of the dissertation focuses on the C60-Au system. Exploring how fullerene molecules interact physically and electronically with each other and with other elements is highly relevant to the advancement of fullerene-based nanotechnology applications. The initial growth stage of C 60 thin film on graphite substrate has been investigated by STM at room temperature. It is observed that the C60 layer grows in a quasi-layer-by-layer mode and forms round 1st layer islands on the graphite surface. The fractal-dendritic growth of the 2nd layer islands has been successfully described by a combination of Monte Carlo simulation and molecular dynamics simulations. As a next step towards the application of fullerenes in device structures, the growth mechanisms of Au clusters on fullerene layers and co-deposition of Au and C60 were explored. The most prominent features of the growth of Au on C60 are the preferential nucleation of Au clusters at the graphite-first fullerene layer islands edge and the co-deposition of C60 and Au on graphite leading to the formation of highly organized structures, in which Au clusters are embedded in a ring of fullerene molecules with a constant width of about 4 nm. The second part of this dissertation concentrates on the Mn-Ge-Si system, a semiconductor/metal system, which

  8. Dielectric properties of doping-free NaMn{sub 7}O{sub 12}: Origin of the observed colossal dielectric constant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabassi, R.; Bolzoni, F.; Gauzzi, A.

    2006-07-15

    The semiconducting NaMn{sub 7}O{sub 12} is a doping-free compound with several coexistent properties such as orbital ordering, charge ordering, and magnetic orderings of different types. We investigated its dielectric response by means of frequency impedance measurements in the range from 20 Hz to 1 MHz. Standard measurements on metallized samples exhibit an apparent colossal dielectric constant (CDC) with an {epsilon}{sub R} value of several thousands at low frequencies, but a careful equivalent circuit analysis allows one to ascribe the observed CDC to the effect of a depletion layer on the metal-semiconductor junctions. We bypass this effect by means of amore » nonstandard technique employing mica linings: the resulting dielectric behavior exhibits the presence of the charge ordering transition at T{sub CO}=176 K and shows a net bulk dielectric constant value {epsilon}{sub R}{approx_equal}68 at room temperature.« less

  9. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  10. Germanium and indium

    USGS Publications Warehouse

    Shanks, W.C. Pat; Kimball, Bryn E.; Tolcin, Amy C.; Guberman, David E.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Germanium and indium are two important elements used in electronics devices, flat-panel display screens, light-emitting diodes, night vision devices, optical fiber, optical lens systems, and solar power arrays. Germanium and indium are treated together in this chapter because they have similar technological uses and because both are recovered as byproducts, mainly from copper and zinc sulfides.The world’s total production of germanium in 2011 was estimated to be 118 metric tons. This total comprised germanium recovered from zinc concentrates, from fly ash residues from coal burning, and from recycled material. Worldwide, primary germanium was recovered in Canada from zinc concentrates shipped from the United States; in China from zinc residues and coal from multiple sources in China and elsewhere; in Finland from zinc concentrates from the Democratic Republic of the Congo; and in Russia from coal.World production of indium metal was estimated to be about 723 metric tons in 2011; more than one-half of the total was produced in China. Other leading producers included Belgium, Canada, Japan, and the Republic of Korea. These five countries accounted for nearly 95 percent of primary indium production.Deposit types that contain significant amounts of germanium include volcanogenic massive sulfide (VMS) deposits, sedimentary exhalative (SEDEX) deposits, Mississippi Valley-type (MVT) lead-zinc deposits (including Irish-type zinc-lead deposits), Kipushi-type zinc-lead-copper replacement bodies in carbonate rocks, and coal deposits.More than one-half of the byproduct indium in the world is produced in southern China from VMS and SEDEX deposits, and much of the remainder is produced from zinc concentrates from MVT deposits. The Laochang deposit in Yunnan Province, China, and the VMS deposits of the Murchison greenstone belt in Limpopo Province, South Africa, provide excellent examples of indium-enriched deposits. The SEDEX deposits at Bainiuchang, China (located in

  11. Nanowire systems: technology and design

    PubMed Central

    Gaillardon, Pierre-Emmanuel; Amarù, Luca Gaetano; Bobba, Shashikanth; De Marchi, Michele; Sacchetto, Davide; De Micheli, Giovanni

    2014-01-01

    Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology. PMID:24567471

  12. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  13. Physical aspects of colossal dielectric constant material CaCu3Ti4O12 thin films

    NASA Astrophysics Data System (ADS)

    Deng, Guochu; He, Zhangbin; Muralt, Paul

    2009-04-01

    The underlying physical mechanism of the so-called colossal dielectric constant phenomenon in CaCu3Ti4O12 (CCTO) thin films were investigated by using semiconductor theories and methods. The semiconductivity of CCTO thin films originated from the acceptor defect at a level ˜90 meV higher than valence band. Two contact types, metal-semiconductor and metal-insulator-semiconductor junctions, were observed and their barrier heights, and impurity concentrations were theoretically calculated. Accordingly, the Schottky barrier height of metal-semiconductor contact is about 0.8 eV, and the diffusion barrier height of metal-insulator-semiconductor contact is about 0.4-0.7 eV. The defect concentrations of both samples are quite similar, of the magnitude of 1019 cm-3, indicating an inherent feature of high defect concentration.

  14. Planar heterostructures of single-layer transition metal dichalcogenides: Composite structures, Schottky junctions, tunneling barriers, and half metals

    NASA Astrophysics Data System (ADS)

    Aras, Mehmet; Kılıç, ćetin; Ciraci, S.

    2017-02-01

    Planar composite structures formed from the stripes of transition metal dichalcogenides joined commensurately along their zigzag or armchair edges can attain different states in a two-dimensional (2D), single-layer, such as a half metal, 2D or one-dimensional (1D) nonmagnetic metal and semiconductor. Widening of stripes induces metal-insulator transition through the confinements of electronic states to adjacent stripes, that results in the metal-semiconductor junction with a well-defined band lineup. Linear bending of the band edges of the semiconductor to form a Schottky barrier at the boundary between the metal and semiconductor is revealed. Unexpectedly, strictly 1D metallic states develop in a 2D system along the boundaries between stripes, which pins the Fermi level. Through the δ doping of a narrow metallic stripe one attains a nanowire in the 2D semiconducting sheet or narrow band semiconductor. A diverse combination of constituent stripes in either periodically repeating or finite-size heterostructures can acquire critical fundamental features and offer device capacities, such as Schottky junctions, nanocapacitors, resonant tunneling double barriers, and spin valves. These predictions are obtained from first-principles calculations performed in the framework of density functional theory.

  15. Ultrafast photoinduced charge separation in metal-semiconductor nanohybrids.

    PubMed

    Mongin, Denis; Shaviv, Ehud; Maioli, Paolo; Crut, Aurélien; Banin, Uri; Del Fatti, Natalia; Vallée, Fabrice

    2012-08-28

    Hybrid nano-objects formed by two or more disparate materials are among the most promising and versatile nanosystems. A key parameter in their properties is interaction between their components. In this context we have investigated ultrafast charge separation in semiconductor-metal nanohybrids using a model system of gold-tipped CdS nanorods in a matchstick architecture. Experiments are performed using an optical time-resolved pump-probe technique, exciting either the semiconductor or the metal component of the particles, and probing the light-induced change of their optical response. Electron-hole pairs photoexcited in the semiconductor part of the nanohybrids are shown to undergo rapid charge separation with the electron transferred to the metal part on a sub-20 fs time scale. This ultrafast gold charging leads to a transient red-shift and broadening of the metal surface plasmon resonance, in agreement with results for free clusters but in contrast to observation for static charging of gold nanoparticles in liquid environments. Quantitative comparison with a theoretical model is in excellent agreement with the experimental results, confirming photoexcitation of one electron-hole pair per nanohybrid followed by ultrafast charge separation. The results also point to the utilization of such metal-semiconductor nanohybrids in light-harvesting applications and in photocatalysis.

  16. Transparent, conducting films based on metal/dielectric photonic band gaps

    NASA Astrophysics Data System (ADS)

    Bloemer, Mark J.; Scalora, Michael; D'Aguanno, G.; Bowden, Charles M.; Baglio, Salvatore; Sibilia, Concita; Centini, Marco; Bertolotti, Mario

    1999-07-01

    A transparent conductor has been developed based on 1D metal/dielectric photonic band gap structures. Laminated metal/dielectric filters containing 100 nm of silver have been fabricated with > 50% transmittance. Applications for transparent, conducting films include antennas embedded in windshields, electrodes on flat panel displays, electromagnetic shielding, and solar window panes.

  17. Lorentz factor determination for local electric fields in semiconductor devices utilizing hyper-thin dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McPherson, J. W., E-mail: mcpherson.reliability@yahoo.com

    The local electric field (the field that distorts, polarizes, and weakens polar molecular bonds in dielectrics) has been investigated for hyper-thin dielectrics. Hyper-thin dielectrics are currently required for advanced semiconductor devices. In the work presented, it is shown that the common practice of using a Lorentz factor of L = 1/3, to describe the local electric field in a dielectric layer, remains valid for hyper-thin dielectrics. However, at the very edge of device structures, a rise in the macroscopic/Maxwell electric field E{sub diel} occurs and this causes a sharp rise in the effective Lorentz factor L{sub eff}. At capacitor and transistor edges,more » L{sub eff} is found to increase to a value 2/3 < L{sub eff} < 1. The increase in L{sub eff} results in a local electric field, at device edge, that is 50%–100% greater than in the bulk of the dielectric. This increase in local electric field serves to weaken polar bonds thus making them more susceptible to breakage by standard Boltzmann and/or current-driven processes. This has important time-dependent dielectric breakdown (TDDB) implications for all electronic devices utilizing polar materials, including GaN devices that suffer from device-edge TDDB.« less

  18. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  19. Geometrical optics, electrostatics, and nanophotonic resonances in absorbing nanowire arrays.

    PubMed

    Anttu, Nicklas

    2013-03-01

    Semiconductor nanowire arrays have shown promise for next-generation photovoltaics and photodetection, but enhanced understanding of the light-nanowire interaction is still needed. Here, we study theoretically the absorption of light in an array of vertical InP nanowires by moving continuously, first from the electrostatic limit to the nanophotonic regime and then to the geometrical optics limit. We show how the absorption per volume of semiconductor material in the array can be varied by a factor of 200, ranging from 10 times weaker to 20 times stronger than in a bulk semiconductor sample.

  20. A theoretical approach to study the melting temperature of metallic nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arora, Neha; Joshi, Deepika P.

    2016-05-23

    The physical properties of any material change with the change of its size from bulk range to nano range. A theoretical study to account for the size and shape effect on melting temperature of metallic nanowires has been done. We have studied zinc (Zn), indium (In), lead (Pb) and tin (Sn) nanowires with three different cross sectional shapes like regular triangular, square and regular hexagonal. Variation of melting temperature with the size and shape is graphically represented with the available experimental data. It was found that melting temperature of the nanowires decreases with decrement in the size of nanowire, duemore » to surface effect and at very small size the most probable shape also varies with material.« less

  1. Metallic Nanowire Interconnections for Integrated Circuit Fabrication

    NASA Technical Reports Server (NTRS)

    Ng, Hou Tee (Inventor); Li, Jun (Inventor); Meyyappan, Meyya (Inventor)

    2007-01-01

    A method for fabricating an electrical interconnect between two or more electrical components. A conductive layer is provided on a substarte and a thin, patterned catalyst array is deposited on an exposed surface of the conductive layer. A gas or vapor of a metallic precursor of a metal nanowire (MeNW) is provided around the catalyst array, and MeNWs grow between the conductive layer and the catalyst array. The catalyst array and a portion of each of the MeNWs are removed to provide exposed ends of the MeNWs.

  2. Template-free preparation of crystalline Ge nanowire film electrodes via an electrochemical liquid-liquid-solid process in water at ambient pressure and temperature for energy storage.

    PubMed

    Gu, Junsi; Collins, Sean M; Carim, Azhar I; Hao, Xiaoguang; Bartlett, Bart M; Maldonado, Stephen

    2012-09-12

    The direct electrodeposition of crystalline germanium (Ge) nanowire film electrodes from an aqueous solution of dissolved GeO(2) using discrete 'flux' nanoparticles capable of dissolving Ge(s) has been demonstrated. Electrodeposition of Ge at inert electrode substrates decorated with small (<100 nm), discrete indium (In) nanoparticles resulted in crystalline Ge nanowire films with definable nanowire diameters and densities without the need for a physical or chemical template. The Ge nanowires exhibited strong polycrystalline character as-deposited, with approximate crystallite dimensions of 20 nm and a mixed orientation of the crystallites along the length of the nanowire. Energy dispersive spectroscopic elemental mapping of individual Ge nanowires showed that the In nanoparticles remained at the base of each nanowire, indicating good electrical communication between the Ge nanowire and the underlying conductive support. As-deposited Ge nanowire films prepared on Cu supports were used without further processing as Li(+) battery anodes. Cycling studies performed at 1 C (1624 mA g(-1)) indicated the native Ge nanowire films supported stable discharge capacities at the level of 973 mA h g(-1), higher than analogous Ge nanowire film electrodes prepared through an energy-intensive vapor-liquid-solid nanowire growth process. The cumulative data show that ec-LLS is a viable method for directly preparing a functional, high-activity nanomaterials-based device component. The work presented here is a step toward the realization of simple processes that make fully functional energy conversion/storage technologies based on crystalline inorganic semiconductors entirely through benchtop, aqueous chemistry and electrochemistry without time- or energy-intensive process steps.

  3. Ultrafast Photodetection in the Quantum Wells of Single AlGaAs/GaAs-Based Nanowires.

    PubMed

    Erhard, N; Zenger, S; Morkötter, S; Rudolph, D; Weiss, M; Krenner, H J; Karl, H; Abstreiter, G; Finley, J J; Koblmüller, G; Holleitner, A W

    2015-10-14

    We investigate the ultrafast optoelectronic properties of single Al0.3Ga0.7As/GaAs core-shell nanowires. The nanowires contain GaAs-based quantum wells. For a resonant excitation of the quantum wells, we find a picosecond photocurrent which is consistent with an ultrafast lateral expansion of the photogenerated charge carriers. This Dember-effect does not occur for an excitation of the GaAs-based core of the nanowires. Instead, the core exhibits an ultrafast displacement current and a photothermoelectric current at the metal Schottky contacts. Our results uncover the optoelectronic dynamics in semiconductor core-shell nanowires comprising quantum wells, and they demonstrate the possibility to use the low-dimensional quantum well states therein for ultrafast photoswitches and photodetectors.

  4. Engineering Nanowire n-MOSFETs at L_{g}<8 nm

    NASA Astrophysics Data System (ADS)

    Mehrotra, Saumitra R.; Kim, SungGeun; Kubis, Tillmann; Povolotskyi, Michael; Lundstrom, Mark S.; Klimeck, Gerhard

    2013-07-01

    As metal-oxide-semiconductor field-effect transistors (MOSFET) channel lengths (Lg) are scaled to lengths shorter than Lg<8 nm source-drain tunneling starts to become a major performance limiting factor. In this scenario a heavier transport mass can be used to limit source-drain (S-D) tunneling. Taking InAs and Si as examples, it is shown that different heavier transport masses can be engineered using strain and crystal orientation engineering. Full-band extended device atomistic quantum transport simulations are performed for nanowire MOSFETs at Lg<8 nm in both ballistic and incoherent scattering regimes. In conclusion, a heavier transport mass can indeed be advantageous in improving ON state currents in ultra scaled nanowire MOSFETs.

  5. Fabrication of very high aspect ratio metal nanowires by a self-propulsion mechanism.

    PubMed

    Sharabani, Rona; Reuveni, Saada; Noy, Gilad; Shapira, Eyal; Sadeh, Shira; Selzer, Yoram

    2008-04-01

    A novel synthesis method of very high aspect ratio metal nanowires is described. The synthesis utilizes a nanoporous membrane as a template and self-electrophoresis as a directed force that continuously push formed nanowires out of the pores in a rate that is identical to the rate of their elongation. As a result, while the pores of membranes are only 6 microm long, the formed nanowires could be more than 100 microm long.

  6. Which nanowire couples better electrically to a metal contact: Armchair or zigzag nanotube?

    NASA Technical Reports Server (NTRS)

    Anantram, M. P.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    The fundamental question of how chirality affects tile electronic coupling of a nanotube to metal contacts is important for tile application of nanotubes as nanowires. We show that metallic-zigzag nanotubes are superior to armchair nanotubes as nanowires, by modeling the metal-nanotube interface. More specifically, we show that as a function of coupling strength, the total electron transmission of armchair nanotubes increases and tends to be pinned close to unity for a metal with Fermi wave vector close to that of gold. In contrast, the transmission probability of zigzag nanotubes increases to the maximum possible value of two. The origin of these effects lies in the details of the wave function, which is explained.

  7. Recovery of germanium-68 from irradiated targets

    DOEpatents

    Phillips, Dennis R.; Jamriska, Sr., David J.; Hamilton, Virginia T.

    1993-01-01

    A process for selective separation of germanium-68 from proton irradiated molybdenum targets is provided and includes dissolving the molybdenum target in a hydrogen peroxide solution to form a first ion-containing solution, contacting the first ion-containing solution with a cationic resin whereby ions selected from the group consisting of molybdenum, niobium, technetium, selenium, vanadium, arsenic, germanium, zirconium and rubidium remain in a second ion-containing solution while ions selected from the group consisting of rubidium, zinc, beryllium, cobalt, iron, manganese, chromium, strontium, yttrium and zirconium are selectively adsorbed by the first resin, adjusting the pH of the second ion-containing solution to within a range of from about 0.7 to about 3.0, adjusting the soluble metal halide concentration in the second ion-containing solution to a level adapted for subsequent separation of germanium, contacting the pH-adjusted, soluble metal halide-containing second ion-containing solution with a dextran-based material whereby germanium ions are separated by the dextran-based material, and recovering the germanium from the dextran-based material, preferably by distillation.

  8. [Radioactive cesium analysis in radiation-tainted beef by gamma-ray spectrometry with germanium semiconductor detector].

    PubMed

    Minatani, Tomiaki; Nagai, Hiroyuki; Nakamura, Masashi; Otsuka, Kimihito; Sakai, Yoshimichi

    2012-01-01

    The detection limit and precision of radioactive cesium measurement in beef by gamma-ray spectrometry with a germanium semiconductor detector were evaluated. Measurement for 2,000 seconds using a U-8 container (100 mL) provided a detection limit of radioactive cesium (the sum of 134Cs and 137Cs) of around 20 Bq/kg. The 99% confidence interval of the measurement of provisional maximum residue limit level (491 Bq/kg) samples ranged from 447 to 535 Bq/kg. Beef is heterogeneous, containing muscle and complex fat layers. Depending on the sampled parts, the measurement value is variable. It was found that radioactive cesium content of the muscle layer was clearly different from that of fat, and slight differences were observed among parts of the sample (SD=16.9 Bq/kg), even though the same region (neck block) of beef sample was analyzed.

  9. Light-matter Interactions in Semiconductors and Metals: From Nitride Optoelectronics to Quantum Plasmonics

    NASA Astrophysics Data System (ADS)

    Narang, Prineha

    This thesis puts forth a theory-directed approach coupled with spectroscopy aimed at the discovery and understanding of light-matter interactions in semiconductors and metals. The first part of the thesis presents the discovery and development of Zn-IV nitride materials. The commercial prominence in the optoelectronics industry of tunable semiconductor alloy materials based on nitride semiconductor devices, specifically InGaN, motivates the search for earth-abundant alternatives for use in efficient, high-quality optoelectronic devices. II-IV-N2 compounds, which are closely related to the wurtzite-structured III-N semiconductors, have similar electronic and optical properties to InGaN namely direct band gaps, high quantum efficiencies and large optical absorption coefficients. The choice of different group II and group IV elements provides chemical diversity that can be exploited to tune the structural and electronic properties through the series of alloys. The first theoretical and experimental investigation of the ZnSnxGe1--xN2 series as a replacement for III-nitrides is discussed here. The second half of the thesis shows ab-initio calculations for surface plasmons and plasmonic hot carrier dynamics. Surface plasmons, electromagnetic modes confined to the surface of a conductor-dielectric interface, have sparked renewed interest because of their quantum nature and their broad range of applications. The decay of surface plasmons is usually a detriment in the field of plasmonics, but the possibility to capture the energy normally lost to heat would open new opportunities in photon sensors, energy conversion devices and switching. A theoretical understanding of plasmon-driven hot carrier generation and relaxation dynamics in the ultrafast regime is presented here. Additionally calculations for plasmon-mediated upconversion as well as an energy-dependent transport model for these non-equilibrium carriers are shown. Finally, this thesis gives an outlook on the

  10. Measurement of the quantum conductance of germanium by an electrochemical scanning tunneling microscope break junction based on a jump-to-contact mechanism.

    PubMed

    Xie, Xufen; Yan, Jiawei; Liang, Jinghong; Li, Jijun; Zhang, Meng; Mao, Bingwei

    2013-10-01

    We present quantum conductance measurements of germanium by means of an electrochemical scanning tunneling microscope (STM) break junction based on a jump-to-contact mechanism. Germanium nanowires between a platinum/iridium tip and different substrates were constructed to measure the quantum conductance. By applying appropriate potentials to the substrate and the tip, the process of heterogeneous contact and homogeneous breakage was realized. Typical conductance traces exhibit steps at 0.025 and 0.05 G0. The conductance histogram indicates that the conductance of germanium nanowires is located between 0.02 and 0.15 G0 in the low-conductance region and is free from the influence of substrate materials. However, the distribution of conductance plateaus is too discrete to display distinct peaks in the conductance histogram of the high-conductance region. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Growth of Gallium Nitride Nanowires: A Study Using In Situ Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Diaz Rivas, Rosa Estela

    Owing to their special characteristics, group III-Nitride semiconductors have attracted special attention for their application in a wide range of optoelectronic devices. Of particular interest are their direct and wide band gaps that span from ultraviolet to the infrared wavelengths. In addition, their stronger bonds relative to the other compound semiconductors makes them thermally more stable, which provides devices with longer life time. However, the lattice mismatch between these semiconductors and their substrates cause the as-grown films to have high dislocation densities, reducing the life time of devices that contain these materials. One possible solution for this problem is to substitute single crystal semiconductor nanowires for epitaxial films. Due to their dimensionality, semiconductor nanowires typically have stress-free surfaces and better physical properties. In order to employ semiconductor nanowires as building blocks for nanoscale devices, a precise control of the nanowires' crystallinity, morphology, and chemistry is necessary. This control can be achieved by first developing a deeper understanding of the processes involved in the synthesis of nanowires, and then by determining the effects of temperature and pressure on their growth. This dissertation focuses on understanding of the growth processes involved in the formation of GaN nanowires. Nucleation and growth events were observed in situ and controlled in real-time using an environmental transmission electron microscope. These observations provide a satisfactory elucidation of the underlying growth mechanism during the formation of GaN nanowires. Nucleation of these nanowires appears to follow the vapor-liquid-solid mechanism. However, nanowire growth is found to follow both the vapor-liquid-solid and vapor-solid-solid mechanisms. Direct evidence of the effects of III/V ratio on nanowire growth is also reported, which provides important information for tailoring the synthesis of Ga

  12. Mechanical Sensing with Flexible Metallic Nanowires

    NASA Astrophysics Data System (ADS)

    Dobrokhotov, Vladimir; Yazdanpanah, Mehdi; Pabba, Santosh; Safir, Abdelilah; Cohn, Robert

    2008-03-01

    A calibrated method of force sensing is demonstrated in which the buckled shape of a long flexible metallic nanowire is interpreted to determine the applied force. Using a nanomanipulator the nanowire is buckled in the chamber of a scanning electron microscope (SEM) and the buckled shapes are recorded in SEM images. Force is determined as a function of deflection for an assumed elastic modulus by fitting the shapes using the generalized elastica model. In this calibration the elastic modulus was determined using an auxiliary AFM measurement, with the needle in the same orientation as in the SEM. Following this calibration the needle was used as a sensor in a different orientation than the AFM coordinates to deflect a suspended PLLA polymer fiber from which the elastic modulus (2.96 GPa) was determined. In this study the same needle remained rigidly secured to the AFM cantilever throughout the entire SEM/AFM calibration procedure and the characterization of the nanofiber.

  13. Germanium Requirements for National Defense,

    DTIC Science & Technology

    1991-07-01

    work in this area involves development of hard exterior coating materials that will protect Ge windows but not adversely affect their optical...advanced electronic materials, is used in semiconductor devices, fiber optic systems, and infrared sensors for ships, aircraft, missiles, tanks and anti -tank...infrared sensors for ships, aircraft, missiles, tanks and anti -tank units. Because of its importance in these applications, germanium was added to the

  14. Stable and metastable nanowires displaying locally controllable properties

    DOEpatents

    Sutter, Eli Anguelova; Sutter, Peter Werner

    2014-11-18

    Vapor-liquid-solid growth of nanowires is tailored to achieve complex one-dimensional material geometries using phase diagrams determined for nanoscale materials. Segmented one-dimensional nanowires having constant composition display locally variable electronic band structures that are determined by the diameter of the nanowires. The unique electrical and optical properties of the segmented nanowires are exploited to form electronic and optoelectronic devices. Using gold-germanium as a model system, in situ transmission electron microscopy establishes, for nanometer-sized Au--Ge alloy drops at the tips of Ge nanowires (NWs), the parts of the phase diagram that determine their temperature-dependent equilibrium composition. The nanoscale phase diagram is then used to determine the exchange of material between the NW and the drop. The phase diagram for the nanoscale drop deviates significantly from that of the bulk alloy.

  15. Mechanical characterization of metallic nanowires by using a customized atomic microscope

    NASA Astrophysics Data System (ADS)

    Celik, Emrah

    A new experimental method to characterize the mechanical properties of metallic nanowires is introduced. An accurate and fast mechanical characterization of nanowires requires simultaneous imaging and testing of nanowires. However, there exists no practical experimental procedure in the literature that provides a quantitative mechanical analysis and imaging of the nanowire specimens during mechanical testing. In this study, a customized atomic force microscope (AFM) is placed inside a scanning electron microscope (SEM) in order to locate the position of the nanowires. The tip of the atomic force microscope cantilever is utilized to bend and break the nanowires. The nanowires are prepared by electroplating of nickel ions into the nanoscale pores of the alumina membranes. Force versus bending displacement responses of these nanowires are measured experimentally and then compared against those of the finite element analysis and peridynamic simulations to extract their mechanical properties through an inverse approach. The average elastic modulus of nickel nanowires, which are extracted using finite element analysis and peridynamic simulations, varies between 220 GPa and 225 GPa. The elastic modulus of bulk nickel published in the literature is comparable to that of nickel nanowires. This observation agrees well with the previous findings on nanowires stating that the elastic modulus of nanowires with diameters over 100nm is similar to that of bulk counterparts. The average yield stress of nickel nanowires, which are extracted using finite element analysis and peridynamic simulations, is found to be between 3.6 GPa to 4.1 GPa. The average value of yield stress of nickel nanowires with 250nm diameter is significantly higher than that of bulk nickel. Higher yield stress of nickel nanowires observed in this study can be explained by the lower defect density of nickel nanowires when compared to their bulk counterparts. Deviation in the extracted mechanical properties is

  16. Directional charge separation in isolated organic semiconductor crystalline nanowires

    DOE PAGES

    Labastide, J. A.; Thompson, H. B.; Marques, S. R.; ...

    2016-02-25

    One of the fundamental design paradigms in organic photovoltaic device engineering is based on the idea that charge separation is an extrinsically driven process requiring an interface for exciton fission. This idea has driven an enormous materials science engineering effort focused on construction of domain sizes commensurate with a nominal exciton diffusion length of order 10 nm. Here, we show that polarized optical excitation of isolated pristine crystalline nanowires of a small molecule n-type organic semiconductor, 7,8,15,16-tetraazaterrylene, generates a significant population of charge-separated polaron pairs along the π-stacking direction. Charge separation was signalled by pronounced power-law photoluminescence decay polarized alongmore » the same axis. In the transverse direction, we observed exponential decay associated with excitons localized on individual monomers. We propose that this effect derives from an intrinsic directional charge-transfer interaction that can ultimately be programmed by molecular packing geometry.« less

  17. Directional Charge Separation in Isolated Organic Semiconductor Crystalline Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barnes, Michael; Labastide, Joelle; Bond-Thompson, Hilary

    2017-03-01

    In the conventional view of organic photovoltaics (OPV), localized electronic excitations (excitons) formed in the active layer are transported by random 3D diffusion to an interface where charge separation and extraction take place. Because radiative de-excitation is usually strongly allowed in organic semiconductors, efficient charge separation requires high exciton mobility, with much of the diffusive motion ‘wasted’ in directions that don’t result in an interface encounter. Our research efforts are focused on ways to enforce a preferred directionality in energy and/or charge transport using ordered crystalline nanowires in which the intermolecular interactions that facilitate transport along, for example, the pi-stackingmore » axis, can be made several orders of magnitude stronger than those in a transverse direction. The results presented in our recent work (Nature Communications) is a first step towards realizing the goal of directional control of both energy transport and charge separation, where excitons shared between adjacent molecules dissociate exclusively along the pi-stacking direction.« less

  18. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  19. Progressive Design of Plasmonic Metal-Semiconductor Ensemble toward Regulated Charge Flow and Improved Vis-NIR-Driven Solar-to-Chemical Conversion.

    PubMed

    Han, Chuang; Quan, Quan; Chen, Hao Ming; Sun, Yugang; Xu, Yi-Jun

    2017-04-01

    Surface plasmon resonance (SPR)-mediated photocatalysis without the bandgap limitations of traditional semiconductor has aroused significant attention in solar-to-chemical energy conversion. However, the photocatalytic efficiency barely initiated by the SPR effects is still challenged by the low concentration and ineffective extraction of energetic hot electrons, slow charge migration rates, random charge diffusion directions, and the lack of highly active sites for redox reactions. Here, the tunable, progressive harvesting of visible-to-near infrared light (vis-NIR, λ > 570 nm) by designing plasmonic Au nanorods and metal (Au, Ag, or Pt) nanoparticle codecorated 1D CdS nanowire (1D CdS NW) ensemble is reported. The intimate integration of these metal nanostructures with 1D CdS NWs promotes the extraction and manipulated directional separation and migration of hot charge carriers in a more effective manner. Such cooperative synergy with tunable control of interfacial interaction, morphology optimization, and cocatalyst strategy results in the distinctly boosted performance for vis-NIR-driven plasmonic photocatalysis. This work highlights the significance of rationally progressive design of plasmonic metal-semiconductor-based composite system for boosting the regulated directional flow of hot charge carrier and thus the more efficient use of broad-spectrum solar energy conversion. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Mask-free, vacuum-free fabrication of high-conductivity metallic nanowire by spatially shaped ultrafast laser (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wang, Andong; Li, Xiaowei; Qu, Lianti; Lu, Yongfeng; Jiang, Lan

    2017-03-01

    Metal nanowire fabrication has drawn tremendous attention in recent years due to its wide application in electronics, optoelectronics, and plasmonics. However, conventional laser fabrication technologies are limited by diffraction limit thus the fabrication resolution cannot meet the increasingly high demand of modern devices. Herein we report on a novel method for high-resolution high-quality metal nanowire fabrication by using Hermite-Gaussian beam to ablate metal thin film. The nanowire is formed due to the intensity valley in the center of the laser beam while the surrounding film is ablated. Arbitrary nanowire can be generated on the substrate by dynamically adjusting the orientation of the intensity valley. This method shows obvious advantages compared to conventional methods. First, the minimum nanowire has a width of 60 nm (≍1/13 of the laser wavelength), which is much smaller than the diffraction limit. The high resolution is achieved by combining the ultrashort nature of the femtosecond laser and the low thermal conductivity of the thin film. In addition, the fabricated nanowires have good inside qualities. No inner nanopores and particle intervals are generated inside the nanowire, thus endowing the nanowire with good electronic characteristics: the conductivity of the nanowires is as high as 1.2×107 S/m (≍1/4 of buck material), and the maximum current density is up to 1.66×108 A/m2. Last, the nanowire has a good adhesion to the substrates, which can withstand ultrasonic bath for a long time. These advantages make our method a good approach for high-resolution high-quality nanowire fabrication as a complementary method to conventional lithography methods.

  1. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  2. Structural, electronic and magnetic properties of carbon doped boron nitride nanowire: Ab initio study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jalilian, Jaafar, E-mail: JaafarJalilian@gmail.com; Kanjouri, Faramarz, E-mail: kanjouri@khu.ac.ir

    2016-11-15

    Using spin-polarized density functional theory calculations, we demonstrated that carbon doped boron nitride nanowire (C-doped BNNW) has diverse electronic and magnetic properties depending on position of carbon atoms and their percentages. Our results show that only when one carbon atom is situated on the edge of the nanowire, C-doped BNNW is transformed into half-metal. The calculated electronic structure of the C-doped BNNW suggests that doping carbon can induce localized edge states around the Fermi level, and the interaction among localized edge states leads to semiconductor to half-metal transition. Overall, the bond reconstruction causes of appearance of different electronic behavior suchmore » as semiconducting, half-metallicity, nonmagnetic metallic, and ferromagnetic metallic characters. The formation energy of the system shows that when a C atom is doped on surface boron site, system is more stable than the other positions of carbon impurity. Our calculations show that C-doped BNNW may offer unique opportunities for developing nanoscale spintronic materials.« less

  3. CATALYTIC PROPERTIES OF SEMICONDUCTORS.

    DTIC Science & Technology

    SEMICONDUCTORS, CATALYSTS), (*CATALYSIS, REACTION KINETICS), (* SODIUM COMPOUNDS, TUNGSTATES), (*GALLIUM ALLOYS, ARSENIC ALLOYS), (*YTTERBIUM...COMPOUNDS, SILICIDES ), (*GERMANIUM, CATALYSIS), INTERNAL CONVERSION, EXCHANGE REACTIONS, HEAT OF ACTIVATION, THERMODYNAMICS, DEUTERIUM, POWDERS, SURFACES, HYDROGEN

  4. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    NASA Technical Reports Server (NTRS)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  5. Fabrication of gallium nitride nanowires by metal-assisted photochemical etching

    NASA Astrophysics Data System (ADS)

    Zhang, Miao-Rong; Jiang, Qing-Mei; Zhang, Shao-Hui; Wang, Zu-Gang; Hou, Fei; Pan, Ge-Bo

    2017-11-01

    Gallium nitride (GaN) nanowires (NWs) were fabricated by metal-assisted photochemical etching (MaPEtch). Gold nanoparticles (AuNPs) as metal catalyst were electrodeposited on the GaN substrate. SEM and HRTEM images show the surface of GaN NWs is smooth and clean without any impurity. SAED and FFT patterns demonstrate GaN NWs have single crystal structure, and the crystallographic orientation of GaN NWs is (0002) face. On the basis of the assumption of localized galvanic cells, combined with the energy levels and electrochemical potentials of reactants in this etching system, the generation, transfer and consumption of electron-hole pairs reveal the whole MaPEtch reaction process. Such easily fabricated GaN NWs have great potential for the assembly of GaN-based single-nanowire nanodevices.

  6. Saturable nonlinear dielectric waveguide with applications to broad-area semiconductor lasers.

    PubMed

    Mehuys, D; Mittelstein, M; Salzman, J; Yariv, A

    1987-11-01

    Self-focusing in a passive dielectric waveguide with a saturable nonlinearity is studied. The eigensolutions constitute a good approximation to the lateral modes of broad-area semiconductor lasers under low-duty-cycle pulsed conditions. The laser modes are predicted to consist of adjacent filaments coupled in phase, leading to a single-lobed far field, and to be stable with increased current injection above saturation intensity. The ultimate filament spacing is inversely proportional to the threshold gain, and thus wider filaments are expected in low-threshold broad-area lasers.

  7. Solid-phase diffusion mechanism for GaAs nanowire growth.

    PubMed

    Persson, Ann I; Larsson, Magnus W; Stenström, Stig; Ohlsson, B Jonas; Samuelson, Lars; Wallenberg, L Reine

    2004-10-01

    Controllable production of nanometre-sized structures is an important field of research, and synthesis of one-dimensional objects, such as nanowires, is a rapidly expanding area with numerous applications, for example, in electronics, photonics, biology and medicine. Nanoscale electronic devices created inside nanowires, such as p-n junctions, were reported ten years ago. More recently, hetero-structure devices with clear quantum-mechanical behaviour have been reported, for example the double-barrier resonant tunnelling diode and the single-electron transistor. The generally accepted theory of semiconductor nanowire growth is the vapour-liquid-solid (VLS) growth mechanism, based on growth from a liquid metal seed particle. In this letter we suggest the existence of a growth regime quite different from VLS. We show that this new growth regime is based on a solid-phase diffusion mechanism of a single component through a gold seed particle, as shown by in situ heating experiments of GaAs nanowires in a transmission electron microscope, and supported by highly resolved chemical analysis and finite element calculations of the mass transport and composition profiles.

  8. Crystallographic alignment of high-density gallium nitride nanowire arrays.

    PubMed

    Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong

    2004-08-01

    Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.

  9. Room temperature high circular dichroism ultraviolet lasing from planar spiral metal-GaN nanowire cavity (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Shih, Min-Hsiung

    2016-09-01

    Circularly polarized light and chiroptical effect have received considerable attention in advanced photonic and electronic technologies including optical spintronics, quantum-based optical information processing and communication, and high-efficiency liquid crystal display backlights. Moreover, the development of circularly polarized photon sources has played a major role in circular dichroism (CD) spectroscopy, which is important for analyses of optically active molecules, chiral synthesis in biology and chemistry, and ultrafast magnetization control. However, the conventional collocation of light-emitting devices and additional circular-polarization converters that produce circularly polarized beams makes the setup bulky and hardly compatible with nanophotonic devices in ultrasmall scales. In fact, the direct generation of circularly polarized photons may simplify the system integration, compact the setup, lower the cost of external components, and perhaps enhance the power efficiency. In this work, with the spiral-type metal-gallium nitride (GaN) nanowire cavity, we demonstrated an ultrasmall semiconductor laser capable of emitting circularly-polarized photons. The left- and right-hand spiral metal nanowire cavities with varied periods were designed at ultraviolet wavelengths to achieve the high quality factor circular dichroism metastructures. The dissymmetry factors characterizing the degrees of circular polarizations of the left- and right-hand chiral lasers were 1.4 and -1.6 (2 if perfectly circular polarized), respectively. The results show that the chiral cavities with only 5 spiral periods can achieve lasing signals with decently high degrees of circular polarizations.

  10. Retrieving the Quantitative Chemical Information at Nanoscale from Scanning Electron Microscope Energy Dispersive X-ray Measurements by Machine Learning

    NASA Astrophysics Data System (ADS)

    Jany, B. R.; Janas, A.; Krok, F.

    2017-11-01

    The quantitative composition of metal alloy nanowires on InSb(001) semiconductor surface and gold nanostructures on germanium surface is determined by blind source separation (BSS) machine learning (ML) method using non negative matrix factorization (NMF) from energy dispersive X-ray spectroscopy (EDX) spectrum image maps measured in a scanning electron microscope (SEM). The BSS method blindly decomposes the collected EDX spectrum image into three source components, which correspond directly to the X-ray signals coming from the supported metal nanostructures, bulk semiconductor signal and carbon background. The recovered quantitative composition is validated by detailed Monte Carlo simulations and is confirmed by separate cross-sectional TEM EDX measurements of the nanostructures. This shows that SEM EDX measurements together with machine learning blind source separation processing could be successfully used for the nanostructures quantitative chemical composition determination.

  11. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  12. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  13. Direct measurement of the effective infrared dielectric response of a highly doped semiconductor metamaterial.

    PubMed

    Al Mohtar, Abeer; Kazan, Michel; Taliercio, Thierry; Cerutti, Laurent; Blaize, Sylvain; Bruyant, Aurélien

    2017-03-24

    We have investigated the effective dielectric response of a subwavelength grating made of highly doped semiconductors (HDS) excited in reflection, using numerical simulations and spectroscopic measurement. The studied system can exhibit strong localized surface resonances and has, therefore, a great potential for surface-enhanced infrared absorption (SEIRA) spectroscopy application. It consists of a highly doped InAsSb grating deposited on lattice-matched GaSb. The numerical analysis demonstrated that the resonance frequencies can be inferred from the dielectric function of an equivalent homogeneous slab by accounting for the complex reflectivity of the composite layer. Fourier transform infrared reflectivity (FTIR) measurements, analyzed with the Kramers-Kronig conversion technique, were used to deduce the effective response in reflection of the investigated system. From the knowledge of this phenomenological dielectric function, transversal and longitudinal energy-loss functions were extracted and attributed to transverse and longitudinal resonance modes frequencies.

  14. Characteristics of EMI generated by negative metal-positive dielectric voltage stresses due to spacecraft charging

    NASA Technical Reports Server (NTRS)

    Chaky, R. C.; Inouye, G. T.

    1985-01-01

    Charging of spacecraft surfaces by the environmental plasma can result in differential potentials between metallic structure and adjacent dielectric surfaces in which the relative polarity of the voltage stress is either negative dielectric/positive metal or negative metal/positive dielectric. Negative metal/positive dielectric is a stress condition that may arise if relatively large areas of spacecraft surface metals are shadowed from solar UV and/or if the UV intensity is reduced as in the situation in which the spacecraft is entering into or leaving eclipse. The results of experimental studies of negative metal/positive dielectric systems are given. Information is given on: enhanced electron emission I-V curves; e(3) corona noise vs e(3) steady-state current; the localized nature of e(3) and negative metal arc discharge currents; negative metal arc discharges at stress thresholds below 1 kilovolt; negative metal arc discharge characteristics; dependence of blowoff arc discharge current on spacecraft capacitance to space (linear dimension); and damage to second surface mirrors due to negative metal arcs.

  15. Large Lateral Photovoltaic Effect in Metal-(Oxide-) Semiconductor Structures

    PubMed Central

    Yu, Chongqi; Wang, Hui

    2010-01-01

    The lateral photovoltaic effect (LPE) can be used in position-sensitive detectors to detect very small displacements due to its output of lateral photovoltage changing linearly with light spot position. In this review, we will summarize some of our recent works regarding LPE in metal-semiconductor and metal-oxide-semiconductor structures, and give a theoretical model of LPE in these two structures. PMID:22163463

  16. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    PubMed

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  17. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  18. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  19. Electrochemical Growth of Single-Crystal Metal Nanowires via a Two-Dimensional Nucleation and Growth Mechanism.

    PubMed

    Tian, Mingliang; Wang, Jinguo; Kurtz, James; Mallouk, Thomas E; Chan, M H W

    2003-07-01

    Metallic nanowires (Au, Ag, Cu, Ni, Co, and Rh) with an average diameter of 40 nm and a length of 3-5 μm have been fabricated by electrodeposition in the pores of track-etched polycarbonate membranes. Structural characterizations by transmission electron microscopy (TEM) and electron diffraction showed that nanowires of Au, Ag, and Cu are single-crystalline with a preferred [111] orientation, whereas Ni, Co, and Rh wires are polycrystalline. Possible mechanisms responsible for nucleation and growth for single-crystal noble metals versus polycrystalline group VIII-B metals are discussed.

  20. In situ electron microscopy four-point electromechanical characterization of freestanding metallic and semiconducting nanowires.

    PubMed

    Bernal, Rodrigo A; Filleter, Tobin; Connell, Justin G; Sohn, Kwonnam; Huang, Jiaxing; Lauhon, Lincoln J; Espinosa, Horacio D

    2014-02-26

    Electromechanical coupling is a topic of current interest in nanostructures, such as metallic and semiconducting nanowires, for a variety of electronic and energy applications. As a result, the determination of structure-property relations that dictate the electromechanical coupling requires the development of experimental tools to perform accurate metrology. Here, a novel micro-electro-mechanical system (MEMS) that allows integrated four-point, uniaxial, electromechanical measurements of freestanding nanostructures in-situ electron microscopy, is reported. Coupled mechanical and electrical measurements are carried out for penta-twinned silver nanowires, their resistance is identified as a function of strain, and it is shown that resistance variations are the result of nanowire dimensional changes. Furthermore, in situ SEM piezoresistive measurements on n-type, [111]-oriented silicon nanowires up to unprecedented levels of ∼7% strain are demonstrated. The piezoresistance coefficients are found to be similar to bulk values. For both metallic and semiconducting nanowires, variations of the contact resistance as strain is applied are observed. These variations must be considered in the interpretation of future two-point electromechanical measurements. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  2. MOF-Based Membrane Encapsulated ZnO Nanowires for Enhanced Gas Sensor Selectivity.

    PubMed

    Drobek, Martin; Kim, Jae-Hun; Bechelany, Mikhael; Vallicari, Cyril; Julbe, Anne; Kim, Sang Sub

    2016-04-06

    Gas sensors are of a great interest for applications including toxic or explosive gases detection in both in-house and industrial environments, air quality monitoring, medical diagnostics, or control of food/cosmetic properties. In the area of semiconductor metal oxides (SMOs)-based sensors, a lot of effort has been devoted to improve the sensing characteristics. In this work, we report on a general methodology for improving the selectivity of SMOx nanowires sensors, based on the coverage of ZnO nanowires with a thin ZIF-8 molecular sieve membrane. The optimized ZnO@ZIF-8-based nanocomposite sensor shows markedly selective response to H2 in comparison with the pristine ZnO nanowires sensor, while showing the negligible sensing response to C7H8 and C6H6. This original MOF-membrane encapsulation strategy applied to nanowires sensor architecture pave the way for other complex 3D architectures and various types of applications requiring either gas or ion selectivity, such as biosensors, photo(catalysts), and electrodes.

  3. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  4. Ac-conductivity and dielectric response of new zinc-phosphate glass/metal composites

    NASA Astrophysics Data System (ADS)

    Maaroufi, A.; Oabi, O.; Lucas, B.

    2016-07-01

    The ac-conductivity and dielectric response of new composites based on zinc-phosphate glass with composition 45 mol%ZnO-55 mol%P2O5, filled with metallic powder of nickel (ZP/Ni) were investigated by impedance spectroscopy in the frequency range from 100 Hz to 1 MHz at room temperature. A high percolating jump of seven times has been observed in the conductivity behavior from low volume fraction of filler to the higher fractions, indicating an insulator - semiconductor phase transition. The measured conductivity at higher filler volume fraction is about 10-1 S/cm and is frequency independent, while, the obtained conductivity for low filler volume fraction is around 10-8 S/cm and is frequency dependent. Moreover, the elaborated composites are characterized by high dielectric constants in the range of 105 for conductive composites at low frequencies (100 Hz). In addition, the distribution of the relaxation processes was also evaluated. The Debye, Cole-Cole, Davidson-Cole and Havriliak-Negami models in electric modulus formalism were used to model the observed relaxation phenomena in ZP/Ni composites. The observed relaxation phenomena are fairly simulated by Davidson-Cole model, and an account of the interpretation of results is given.

  5. Van der Waals Interactions of Organic Molecules on Semiconductor and Metal Surfaces: a Comparative Study

    NASA Astrophysics Data System (ADS)

    Li, Guo; Cooper, Valentino; Cho, Jun-Hyung; Tamblyn, Isaac; Du, Shixuan; Neaton, Jeffrey; Gao, Hong-Jun; Zhang, Zhenyu

    2012-02-01

    We present a comparative investigation of vdW interactions of the organic molecules on semiconductor and metal surfaces using the DFT method implemented with vdW-DF. For styrene/H-Si(100), the vdW interactions reverse the effective intermolecular interaction from repulsive to attractive, ensuring preferred growth of long wires as observed experimentally. We further propose that an external E field and the selective creation of Si dangling bonds can drastically improve the ordered arrangement of the molecular nanowires [1]. For BDA/Au(111), the vdW interactions not only dramatically enhances the adsorption energies, but also significantly changes the molecular configurations. In the azobenzene/Ag(111) system, vdW-DF produces superior predictions for the adsorption energy than those obtained with other vdW corrected DFT approaches, providing evidence for the applicability of the vdW-DF method [2].

  6. Light-controlled plasmon switching using hybrid metal-semiconductor nanostructures.

    PubMed

    Paudel, Hari P; Leuenberger, Michael N

    2012-06-13

    We present a proof of concept for the dynamic control over the plasmon resonance frequencies in a hybrid metal-semiconductor nanoshell structure with Ag core and TiO(2) coating. Our method relies on the temporary change of the dielectric function ε of TiO(2) achieved through temporarily generated electron-hole pairs by means of a pump laser pulse. This change in ε leads to a blue shift of the Ag surface plasmon frequency. We choose TiO(2) as the environment of the Ag core because the band gap energy of TiO(2) is larger than the Ag surface plasmon energy of our nanoparticles, which allows the surface plasmon being excited without generating electron-hole pairs in the environment at the same time. We calculate the magnitude of the plasmon resonance shift as a function of electron-hole pair density and obtain shifts up to 126 nm at wavelengths around 460 nm. Using our results, we develop the model of a light-controlled surface plasmon polariton switch.

  7. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  8. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  9. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    NASA Astrophysics Data System (ADS)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  10. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  11. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  12. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  13. Heterojunction metal-oxide-metal Au-Fe{sub 3}O{sub 4}-Au single nanowire device for spintronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reddy, K. M., E-mail: mrkongara@boisestate.edu; Punnoose, Alex; Hanna, Charles

    2015-05-07

    In this report, we present the synthesis of heterojunction magnetite nanowires in alumina template and describe magnetic and electrical properties from a single nanowire device for spintronics applications. Heterojunction Au-Fe-Au nanowire arrays were electrodeposited in porous aluminum oxide templates, and an extensive and controlled heat treatment process converted Fe segment to nanocrystalline cubic magnetite phase with well-defined Au-Fe{sub 3}O{sub 4} interfaces as confirmed by the transmission electron microscopy. Magnetic measurements revealed Verwey transition shoulder around 120 K and a room temperature coercive field of 90 Oe. Current–voltage (I-V) characteristics of a single Au-Fe{sub 3}O{sub 4}-Au nanowire have exhibited Ohmic behavior. Anomalous positivemore » magnetoresistance of about 0.5% is observed on a single nanowire, which is attributed to the high spin polarization in nanowire device with pure Fe{sub 3}O{sub 4} phase and nanocontact barrier. This work demonstrates the ability to preserve the pristine Fe{sub 3}O{sub 4} and well defined electrode contact metal (Au)–magnetite interface, which helps in attaining high spin polarized current.« less

  14. One-dimensional polaritons with size-tunable and enhanced coupling strengths in semiconductor nanowires.

    PubMed

    van Vugt, Lambert K; Piccione, Brian; Cho, Chang-Hee; Nukala, Pavan; Agarwal, Ritesh

    2011-06-21

    Strong coupling of light with excitons in direct bandgap semiconductors leads to the formation of composite photonic-electronic quasi-particles (polaritons), in which energy oscillates coherently between the photonic and excitonic states with the vacuum Rabi frequency. The light-matter coherence is maintained until the oscillator dephases or the photon escapes. Exciton-polariton formation has enabled the observation of Bose-Einstein condensation in the solid-state, low-threshold polariton lasing and is also useful for terahertz and slow-light applications. However, maintaining coherence for higher carrier concentration and temperature applications still requires increased coupling strengths. Here, we report on size-tunable, exceptionally high exciton-polariton coupling strengths characterized by a vacuum Rabi splitting of up to 200 meV as well as a reduction in group velocity, in surface-passivated, self-assembled semiconductor nanowire cavities. These experiments represent systematic investigations on light-matter coupling in one-dimensional optical nanocavities, demonstrating the ability to engineer light-matter coupling strengths at the nanoscale, even in non-quantum-confined systems, to values much higher than in bulk.

  15. One-dimensional polaritons with size-tunable and enhanced coupling strengths in semiconductor nanowires

    PubMed Central

    van Vugt, Lambert K.; Piccione, Brian; Cho, Chang-Hee; Nukala, Pavan; Agarwal, Ritesh

    2011-01-01

    Strong coupling of light with excitons in direct bandgap semiconductors leads to the formation of composite photonic-electronic quasi-particles (polaritons), in which energy oscillates coherently between the photonic and excitonic states with the vacuum Rabi frequency. The light-matter coherence is maintained until the oscillator dephases or the photon escapes. Exciton-polariton formation has enabled the observation of Bose-Einstein condensation in the solid-state, low-threshold polariton lasing and is also useful for terahertz and slow-light applications. However, maintaining coherence for higher carrier concentration and temperature applications still requires increased coupling strengths. Here, we report on size-tunable, exceptionally high exciton-polariton coupling strengths characterized by a vacuum Rabi splitting of up to 200 meV as well as a reduction in group velocity, in surface-passivated, self-assembled semiconductor nanowire cavities. These experiments represent systematic investigations on light-matter coupling in one-dimensional optical nanocavities, demonstrating the ability to engineer light-matter coupling strengths at the nanoscale, even in non-quantum-confined systems, to values much higher than in bulk. PMID:21628582

  16. Front End Spectroscopy ASIC for Germanium Detectors

    NASA Astrophysics Data System (ADS)

    Wulf, Eric

    Large-area, tracking, semiconductor detectors with excellent spatial and spectral resolution enable exciting new access to soft (0.2-5 MeV) gamma-ray astrophysics. The improvements from semiconductor tracking detectors come with the burden of high density of strips and/or pixels that require high-density, low-power, spectroscopy quality readout electronics. CMOS ASIC technologies are a natural fit to this requirement and have led to high-quality readout systems for all current semiconducting tracking detectors except for germanium detectors. The Compton Spectrometer and Imager (COSI), formerly NCT, at University of California Berkeley and the Gamma-Ray Imager/Polarimeter for Solar flares (GRIPS) at Goddard Space Flight Center utilize germanium cross-strip detectors and are on the forefront of NASA's Compton telescope research with funded missions of long duration balloon flights. The development of a readout ASIC for germanium detectors would allow COSI to replace their discrete electronics readout and would enable the proposed Gamma-Ray Explorer (GRX) mission utilizing germanium strip-detectors. We propose a 3-year program to develop and test a germanium readout ASIC to TRL 5 and to integrate the ASIC readout onto a COSI detector allowing a TRL 6 demonstration for the following COSI balloon flight. Our group at NRL led a program, sponsored by another government agency, to produce and integrate a cross-strip silicon detector ASIC, designed and fabricated by Dr. De Geronimo at Brookhaven National Laboratory. The ASIC was designed to handle the large (>30 pF) capacitance of three 10 cm^2 detectors daisy-chained together. The front-end preamplifier, selectable inverter, shaping times, and gains make this ASIC compatible with a germanium cross-strip detector as well. We therefore have the opportunity and expertise to leverage the previous investment in the silicon ASIC for a new mission. A germanium strip detector ASIC will also require precise timing of the signals at

  17. All-dielectric resonant cavity-enabled metals with broadband optical transparency

    NASA Astrophysics Data System (ADS)

    Liu, Zhengqi; Zhang, Houjiao; Liu, Xiaoshan; Pan, Pingping; Liu, Yi; Tang, Li; Liu, Guiqiang

    2017-06-01

    Metal films with broadband optical transparency are desirable in many optoelectronic devices, such as displays, smart windows, light-emitting diodes and infrared detectors. As bare metal is opaque to light, this issue of transparency attracts great scientific interest. In this work, we proposed and demonstrated a feasible and universal approach for achieving broadband optical transparent (BOT) metals by utilizing all-dielectric resonant cavities. Resonant dielectrics provide optical cavity modes and couple strongly with the surface plasmons of the metal film, and therefore produce a broadband near-unity optical transparent window. The relative enhancement factor (EF) of light transmission exceeds 3400% in comparison with that of pure metal film. Moreover, the transparent metal motif can be realized by other common metals including gold (Au), silver (Ag) and copper (Cu). These optical features together with the fully retained electric and mechanical properties of a natural metal suggest that it will have wide applications in optoelectronic devices.

  18. Development of Highly Ordered Heterostructured Semiconductor Nanowire Arrays for Sub-Wavelength Optical Devices

    DTIC Science & Technology

    2007-06-01

    properties of nanowires" J. Appl. Phys 98, 094306 (2005) 9. Harry E. Ruda and Alexander Shik, "Polarization-sensitive optical properties of metallic and...34Biexcitons in parabolic quantum dots", Phys. Rev. B. 73, 125321 (2006). 11. M. Blumin, H.E. Ruda, I. Savelyev , A Shik and H. Wang, "Self-assembled InAs

  19. Monte Carlo simulation of elongating metallic nanowires in the presence of surfactants

    NASA Astrophysics Data System (ADS)

    Gimenez, M. Cecilia; Reinaudi, Luis; Leiva, Ezequiel P. M.

    2015-12-01

    Nanowires of different metals undergoing elongation were studied by means of canonical Monte Carlo simulations and the embedded atom method representing the interatomic potentials. The presence of a surfactant medium was emulated by the introduction of an additional stabilization energy, represented by a parameter Q. Several values of the parameter Q and temperatures were analyzed. In general, it was observed for all studied metals that, as Q increases, there is a greater elongation before the nanowire breaks. In the case of silver, linear monatomic chains several atoms long formed at intermediate values of Q and low temperatures. Similar observations were made for the case of silver-gold alloys when the medium interacted selectively with Ag.

  20. Nanoscale resonant-cavity-enhanced germanium photodetectors with lithographically defined spectral response for improved performance at telecommunications wavelengths.

    PubMed

    Balram, Krishna C; Audet, Ross M; Miller, David A B

    2013-04-22

    We demonstrate the use of a subwavelength planar metal-dielectric resonant cavity to enhance the absorption of germanium photodetectors at wavelengths beyond the material's direct absorption edge, enabling high responsivity across the entire telecommunications C and L bands. The resonant wavelength of the detectors can be tuned linearly by varying the width of the Ge fin, allowing multiple detectors, each resonant at a different wavelength, to be fabricated in a single-step process. This approach is promising for the development of CMOS-compatible devices suitable for integrated, high-speed, and energy-efficient photodetection at telecommunications wavelengths.

  1. Scalable Manufacturing of Metal Micro/Nanowires and Applications by Thermal Fiber Drawing Method

    NASA Astrophysics Data System (ADS)

    Hwang, Injoo

    The objective of this study is to better understand the fundamental principal of the thermal fiber drawing process with metal-core preforms. This would enable us to overcome the fundamental limits of current thermal drawing techniques by tuning material properties of core metals and interactions between core and cladding materials using nanoparticles. Metal micro/nanowires with controlled size, aspect ratio and spatial configurations of core and cladding materials exhibit extraordinary mechanical, thermal, electrical and optical properties. These metal micro/nanowires can be utilized for widespread applications such as: thermoelectric, conductive electrode and plasmonic photonic crystal fibers. Thermal fiber drawing method has emerged as an advanced scalable manufacturing technique for micro/nanowires production due to its unique characteristics that allow mass production of continuous and arbitrary designed wires. It is of tremendous scientific and technical interests to conduct a fundamental study on thermal fiber drawing methods and to break the current limits of the crystalline metal core thermal fiber drawing process. In this study, metal core was fabricated by cold compaction of the Zinc (Zn)-Tungsten Carbide (WC) nanopowders. Our characterizations through scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDS) showed that WC nanoparticle are uniformly dispersed in Zn matrix. The effects of WC nanoparticles on the mechanical properties and degradation rate in Zn-WC nanocomposites were carefully analyzed by tensile, compressive, hardness, degradation and viscosity tests. Metallic stents are commonly used to expand blood vessels that have been narrowed by plaque buildup (atherosclerosis). Fabrication difficulty and other constrains of metallic stents result in high cost. Zn-WC nanocomposite microwires were controllably drawn for stent struts with a diameter of 200 ?m. Characterizations by the tensile and degradation tests of Zn

  2. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    NASA Astrophysics Data System (ADS)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  3. Relative Influence of Intrinsic and Extrinsic Factors on the Metal-Insulator Transition of VO2 Nanowires

    NASA Astrophysics Data System (ADS)

    Kim, In Soo

    The influence of stoichiometry on the metal-insulator transition of vanadium dioxide (VO2) nanowires was investigated using Raman spectroscopy. Controlled reduction of nominally strain-free suspended VO2 nanowires was conducted by rapid thermal annealing (RTA). The deficiency in oxygen assisted in the unprecedented suppression of the metallic (R) phase to temperatures as low as 103 K through generation of free electrons. In a complementary manner, oxygen-rich conditions stabilized the metastable monoclinic (M2) and triclinic (T) phases. A pseudo-phase diagram with dimensions of temperature and stoichiometry was established, highlighting the accessibility of new phases in the nanowire geometry. Detection of the dynamic elastic response across the metal-insulator transition in suspended VO2 nanowires was enabled by fiber-coupled polarization dependent interferometry. Dual-beam Raman spectroscopy was developed to determine the local domain/phase structure of VO2 nanowires, which allowed for accurate modeling using COMSOL finite element analysis (FEA). The Young's moduli of the single crystal insulating (M1) and metallic (R) phases without artifacts were determined for the first time. The sources of dissipation were identified as clamping losses, structural losses, thermoelastic damping, and domain wall motion. While contribution of thermoelastic damping was found to be dominant in the terminal phases, extraordinary dissipation was observed upon formation and movement of domain walls. Finally, it was shown that creation of local defects could lead to new classes of tunable sensors with a discrete and programmable frequency response with temperature.

  4. Plasmon-exciton polaritons in two-dimensional semiconductor/metal interfaces

    NASA Astrophysics Data System (ADS)

    Gonçalves, P. A. D.; Bertelsen, L. P.; Xiao, Sanshui; Mortensen, N. Asger

    2018-01-01

    The realization and control of polaritons is of paramount importance in the prospect of novel photonic devices. Here, we investigate the emergence of plasmon-exciton polaritons in hybrid structures consisting of a two-dimensional transition-metal dichalcogenide (TMDC) deposited onto a metal substrate or coating a metallic thin film. We determine the polaritonic spectrum and show that, in the former case, the addition of a top dielectric layer and, in the latter case, the thickness of the metal film can be used to tune and promote plasmon-exciton interactions well within the strong-coupling regime. Our results demonstrate that Rabi splittings exceeding 100 meV can readily be achieved in planar dielectric/TMDC/metal structures under ambient conditions. We thus believe that this Rapid Communication provides a simple and intuitive picture to tailor strong coupling in plexcitonics with potential applications for engineering compact photonic devices with tunable optical properties.

  5. Vertical InAs nanowire wrap gate transistors with f(t) > 7 GHz and f(max) > 20 GHz.

    PubMed

    Egard, M; Johansson, S; Johansson, A-C; Persson, K-M; Dey, A W; Borg, B M; Thelander, C; Wernersson, L-E; Lind, E

    2010-03-10

    In this letter we report on high-frequency measurements on vertically standing III-V nanowire wrap-gate MOSFETs (metal-oxide-semiconductor field-effect transistors). The nanowire transistors are fabricated from InAs nanowires that are epitaxially grown on a semi-insulating InP substrate. All three terminals of the MOSFETs are defined by wrap around contacts. This makes it possible to perform high-frequency measurements on the vertical InAs MOSFETs. We present S-parameter measurements performed on a matrix consisting of 70 InAs nanowire MOSFETs, which have a gate length of about 100 nm. The highest unity current gain cutoff frequency, f(t), extracted from these measurements is 7.4 GHz and the maximum frequency of oscillation, f(max), is higher than 20 GHz. This demonstrates that this is a viable technique for fabricating high-frequency integrated circuits consisting of vertical nanowires.

  6. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  7. Soft lithographic functionalization and patterning oxide-free silicon and germanium.

    PubMed

    Bowers, Carleen M; Toone, Eric J; Clark, Robert L; Shestopalov, Alexander A

    2011-12-16

    The development of hybrid electronic devices relies in large part on the integration of (bio)organic materials and inorganic semiconductors through a stable interface that permits efficient electron transport and protects underlying substrates from oxidative degradation. Group IV semiconductors can be effectively protected with highly-ordered self-assembled monolayers (SAMs) composed of simple alkyl chains that act as impervious barriers to both organic and aqueous solutions. Simple alkyl SAMs, however, are inert and not amenable to traditional patterning techniques. The motivation for immobilizing organic molecular systems on semiconductors is to impart new functionality to the surface that can provide optical, electronic, and mechanical function, as well as chemical and biological activity. Microcontact printing (μCP) is a soft-lithographic technique for patterning SAMs on myriad surfaces. Despite its simplicity and versatility, the approach has been largely limited to noble metal surfaces and has not been well developed for pattern transfer to technologically important substrates such as oxide-free silicon and germanium. Furthermore, because this technique relies on the ink diffusion to transfer pattern from the elastomer to substrate, the resolution of such traditional printing is essentially limited to near 1 μm. In contrast to traditional printing, inkless μCP patterning relies on a specific reaction between a surface-immobilized substrate and a stamp-bound catalyst. Because the technique does not rely on diffusive SAM formation, it significantly expands the diversity of patternable surfaces. In addition, the inkless technique obviates the feature size limitations imposed by molecular diffusion, facilitating replication of very small (<200 nm) features. However, up till now, inkless μCP has been mainly used for patterning relatively disordered molecular systems, which do not protect underlying surfaces from degradation. Here, we report a simple, reliable

  8. Parametric presentation of dielectric function of laser pumped wide-zone semiconductor material: Does this function satisfy the Kramers-Kronig relations?

    NASA Astrophysics Data System (ADS)

    Zimnyakov, D. A.; Yuvchenko, S. A.; Volchkov, S. S.; Samorodina, T. V.

    2018-04-01

    Dielectric function of wide-zone semiconductor nanoparticles (titanium dioxide) was studied under the condition of laser pumping at various wavelengths. A closed-aperture z-scan method with simultaneous measurements of the right-anglescattered intensity was used to retrieve the real and imaginary parts of dielectric function in the dependence on the pump intensity. It was found that the efficiency of dielectric function modulation by pumping light strongly depends on detuning of the wavelength of pumping light with respect to the fundamental absorption band of nanoparticles. The ColeCole diagrammatic technique was applied for interpretation of the pump-induced changes of the dielectric function in the optical range. Applicability of the Kramers-Kronig relations for description of the observed behavior of the dielectric function is discussed.

  9. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    NASA Astrophysics Data System (ADS)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  10. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  11. Thermally activated charge transport in microbial protein nanowires

    PubMed Central

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-01-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors. PMID:27009596

  12. Thermally activated charge transport in microbial protein nanowires

    NASA Astrophysics Data System (ADS)

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-03-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  13. Thermally activated charge transport in microbial protein nanowires.

    PubMed

    Lampa-Pastirk, Sanela; Veazey, Joshua P; Walsh, Kathleen A; Feliciano, Gustavo T; Steidl, Rebecca J; Tessmer, Stuart H; Reguera, Gemma

    2016-03-24

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  14. Superabsorbing, Artificial Metal Films Constructed from Semiconductor Nanoantennas.

    PubMed

    Kim, Soo Jin; Park, Junghyun; Esfandyarpour, Majid; Pecora, Emanuele F; Kik, Pieter G; Brongersma, Mark L

    2016-06-08

    In 1934, Wilhelm Woltersdorff demonstrated that the absorption of light in an ultrathin, freestanding film is fundamentally limited to 50%. He concluded that reaching this limit would require a film with a real-valued sheet resistance that is exactly equal to R = η/2 ≈ 188.5Ω/□, where [Formula: see text] is the impedance of free space. This condition can be closely approximated over a wide frequency range in metals that feature a large imaginary relative permittivity εr″, that is, a real-valued conductivity σ = ε0εr″ω. A thin, continuous sheet of semiconductor material does not facilitate such strong absorption as its complex-valued permittivity with both large real and imaginary components preclude effective impedance matching. In this work, we show how a semiconductor metafilm constructed from optically resonant semiconductor nanostructures can be created whose optical response mimics that of a metallic sheet. For this reason, the fundamental absorption limit mentioned above can also be reached with semiconductor materials, opening up new opportunities for the design of ultrathin optoelectronic and light harvesting devices.

  15. Integration of ZnO and CuO nanowires into a thermoelectric module

    PubMed Central

    Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Summary Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices. PMID:24991531

  16. Integration of ZnO and CuO nanowires into a thermoelectric module.

    PubMed

    Zappa, Dario; Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices.

  17. Numerical study on characteristic of two-dimensional metal/dielectric photonic crystals

    NASA Astrophysics Data System (ADS)

    Zong, Yi-Xin; Xia, Jian-Bai; Wu, Hai-Bin

    2017-04-01

    An improved plan-wave expansion method is adopted to theoretically study the photonic band diagrams of two-dimensional (2D) metal/dielectric photonic crystals. Based on the photonic band structures, the dependence of flat bands and photonic bandgaps on two parameters (dielectric constant and filling factor) are investigated for two types of 2D metal/dielectric (M/D) photonic crystals, hole and cylinder photonic crystals. The simulation results show that band structures are affected greatly by these two parameters. Flat bands and bandgaps can be easily obtained by tuning these parameters and the bandgap width may reach to the maximum at certain parameters. It is worth noting that the hole-type photonic crystals show more bandgaps than the corresponding cylinder ones, and the frequency ranges of bandgaps also depend strongly on these parameters. Besides, the photonic crystals containing metallic medium can obtain more modulation of photonic bands, band gaps, and large effective refractive index, etc. than the dielectric/dielectric ones. According to the numerical results, the needs of optical devices for flat bands and bandgaps can be met by selecting the suitable geometry and material parameters. Project supported by the National Basic Research Program of China (Grant No. 2011CB922200) and the National Natural Science Foundation of China (Grant No. 605210010).

  18. Ultrathin CsPbX3 Nanowire Arrays with Strong Emission Anisotropy.

    PubMed

    Gao, Yan; Zhao, Liyun; Shang, Qiuyu; Zhong, Yangguang; Liu, Zhen; Chen, Jie; Zhang, Zhepeng; Shi, Jia; Du, Wenna; Zhang, Yanfeng; Chen, Shulin; Gao, Peng; Liu, Xinfeng; Wang, Xina; Zhang, Qing

    2018-06-19

    1D nanowires of all-inorganic lead halide perovskites represent a good architecture for the development of polarization-sensitive optoelectronic devices due to their high absorption efficient, emission yield, and dielectric constants. However, among as-fabricated perovskite nanowires with the lateral dimensions of hundreds nanometers so far, the optical anisotropy is hindered and rarely explored owing to the invalidating of electrostatic dielectric mismatch in the physical dimensions. Here, well-aligned CsPbBr 3 and CsPbCl 3 nanowires with thickness T down to 15 and 7 nm, respectively, are synthesized using a vapor phase van der Waals epitaxial method. Strong emission anisotropy with polarization ratio up to ≈0.78 is demonstrated in the nanowires with T < 40 nm due to the electrostatic dielectric confinement. With the increasing of thickness, the polarization ratio remarkably reduces monotonously to ≈0.17 until T ≈140 nm; and further oscillates in a small amplitude owing to the wave characteristic of light. These findings not only represent a demonstration of perovskite-based polarization-sensitive light sources, but also advance fundamental understanding of their polarization properties of perovskite nanowires. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Lateral resolution improvement in scanning nonlinear dielectric microscopy by measuring super-higher-order nonlinear dielectric constants

    NASA Astrophysics Data System (ADS)

    Chinone, N.; Yamasue, K.; Hiranaga, Y.; Honda, K.; Cho, Y.

    2012-11-01

    Scanning nonlinear dielectric microscopy (SNDM) can be used to visualize polarization distributions in ferroelectric materials and dopant profiles in semiconductor devices. Without using a special sharp tip, we achieved an improved lateral resolution in SNDM through the measurement of super-higher-order nonlinearity up to the fourth order. We observed a multidomain single crystal congruent LiTaO3 (CLT) sample, and a cross section of a metal-oxide-semiconductor (MOS) field-effect-transistor (FET). The imaged domain boundaries of the CLT were narrower in the super-higher-order images than in the conventional image. Compared to the conventional method, the super-higher-order method resolved the more detailed structure of the MOSFET.

  20. Superplastic Creep of Metal Nanowires from Rate-Dependent Plasticity Transition.

    PubMed

    Tao, Weiwei; Cao, Penghui; Park, Harold S

    2018-05-22

    Understanding the time-dependent mechanical behavior of nanomaterials such as nanowires is essential to predict their reliability in nanomechanical devices. This understanding is typically obtained using creep tests, which are the most fundamental loading mechanism by which the time-dependent deformation of materials is characterized. However, due to existing challenges facing both experimentalists and theorists, the time-dependent mechanical response of nanowires is not well-understood. Here, we use atomistic simulations that can access experimental time scales to examine the creep of single-crystal face-centered cubic metal (Cu, Ag, Pt) nanowires. We report that both Cu and Ag nanowires show significantly increased ductility and superplasticity under low creep stresses, where the superplasticity is driven by a rate-dependent transition in defect nucleation from twinning to trailing partial dislocations at the micro- or millisecond time scale. The transition in the deformation mechanism also governs a corresponding transition in the stress-dependent creep time at the microsecond (Ag) and millisecond (Cu) time scales. Overall, this work demonstrates the necessity of accessing time scales that far exceed those seen in conventional atomistic modeling for accurate insights into the time-dependent mechanical behavior and properties of nanomaterials.

  1. Study of all-angle negative refraction of light in metal-dielectric-metal multilayered structures based on generalized formulas of reflection and refraction

    NASA Astrophysics Data System (ADS)

    Chen, Jiangwei; Liu, Jun; Xu, Weidong

    2017-09-01

    In this paper, refraction behaviors of light in both metal single-layered film and metal-dielectric-metal multilayered films are investigated based on the generalized formulas of reflection and refraction. The obtained results, especially, dependence of power refractive index on incident angles for a light beam traveling through a metal-dielectric-metal multilayered structure, are well consistent with the experimental observations. Our work may offer a new angle of view to understand the all-angle negative refraction of light in metal-dielectric-metal multilayered structures, and provide a convenient approach to optimize the devised design and address the issue on making the perfect lens.

  2. Optical and Electrical Characterization of Single Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Wickramasuriya, Nadeeka Thejanie

    Strain distribution in the core and the shell of a semiconductor nanowire (NW) and its effect on band structures including carrier recombination dynamics of individual Wurtzite (WZ) In1- xGxAs/InP and Zincblende (ZB) GaAs1-xSbx/InP strained core-shell NWs are investigated using room temperature Raman scattering and transient Rayleigh scattering (TRS) optical spectroscopy techniques. In addition, the electrical transport properties of individual ZB InP NWs are explored using gate-dependent current-voltage (I-V) measurements. Micro-Raman scattering from individual In1-xGaxAs NWs show InAs like TO and GaAs like TO modes with frequencies which are consistent with the 35% Ga concentration determined from the growth parameters. Calculations showed that the In0.65Ga0.35As core is under compressive strain of 0.26% while the InP shell is in tensile strain of 0.42% in In 0.65Ga0.35As/InP NWs. TRS measurements of single NWs show clear evidence for a strong band resonance in the WZ In0.65Ga 0.35As NW at 0.819 eV which is estimated to be a 186 meV blue-shift with respect to bulk ZB In0.65Ga0.35As. Furthermore, both Raman scattering and TRS measurements are on excellent agreement with the band gap shift of In0.65Ga0.35As/InP core-shell NWs with respect to the core only NW by 46 48 meV which experimentally confirmed the InP shell induced compression of the core. The time decays of the resonance are observed to be long ( 125 ps) for core-shell NWs while it is short ( 31 ps) for core only NWs consistent with a larger nonradiative recombination rate. Optical phonon modes of GaAs1-xSbx are observed to be red-shifted with increasing Antimony fraction in GaAs1-xSb x NWs which can be expected in an alloy with increasing concentration of a heavier atom in the lattice. Using TRS measurements, the GaAs0.71 Sb0.29 band gap for the coreshell NW is observed to be reduced by 0.04 eV with respect to the core only NW because of the tensile strain in the core. Raman experiments show a blue

  3. Mass production of polymer nano-wires filled with metal nano-particles.

    PubMed

    Lomadze, Nino; Kopyshev, Alexey; Bargheer, Matias; Wollgarten, Markus; Santer, Svetlana

    2017-08-17

    Despite the ongoing progress in nanotechnology and its applications, the development of strategies for connecting nano-scale systems to micro- or macroscale elements is hampered by the lack of structural components that have both, nano- and macroscale dimensions. The production of nano-scale wires with macroscale length is one of the most interesting challenges here. There are a lot of strategies to fabricate long nanoscopic stripes made of metals, polymers or ceramics but none is suitable for mass production of ordered and dense arrangements of wires at large numbers. In this paper, we report on a technique for producing arrays of ordered, flexible and free-standing polymer nano-wires filled with different types of nano-particles. The process utilizes the strong response of photosensitive polymer brushes to irradiation with UV-interference patterns, resulting in a substantial mass redistribution of the polymer material along with local rupturing of polymer chains. The chains can wind up in wires of nano-scale thickness and a length of up to several centimeters. When dispersing nano-particles within the film, the final arrangement is similar to a core-shell geometry with mainly nano-particles found in the core region and the polymer forming a dielectric jacket.

  4. Monte Carlo simulation of elongating metallic nanowires in the presence of surfactants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gimenez, M. Cecilia; Reinaudi, Luis, E-mail: luis.reinaudi@unc.edu.ar; Leiva, Ezequiel P. M.

    2015-12-28

    Nanowires of different metals undergoing elongation were studied by means of canonical Monte Carlo simulations and the embedded atom method representing the interatomic potentials. The presence of a surfactant medium was emulated by the introduction of an additional stabilization energy, represented by a parameter Q. Several values of the parameter Q and temperatures were analyzed. In general, it was observed for all studied metals that, as Q increases, there is a greater elongation before the nanowire breaks. In the case of silver, linear monatomic chains several atoms long formed at intermediate values of Q and low temperatures. Similar observations weremore » made for the case of silver-gold alloys when the medium interacted selectively with Ag.« less

  5. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    PubMed

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  6. General Properties of Surface Modes in Binary Metal-Dielectric Metamaterials

    DTIC Science & Technology

    2010-11-22

    metamaterials,” Opt. Lett. 35(11), 1847–1849 (2010). 10. Y. Liu, G. Bartal, D. A. Genov, and X. Zhang, “Subwavelength discrete solitons in nonlinear...dielectric metamaterials and the corresponding dispersion curves for the unit cell parameters (a) metal (Au, 20nm, dark grey) and dielectric (n = 1.5

  7. Transition-Metal Substitution Doping in Synthetic Atomically Thin Semiconductors

    DOE PAGES

    Gao, Jian; Kim, Young Duck; Liang, Liangbo; ...

    2016-09-20

    Semiconductor impurity doping has enabled an entire generation of technology. The emergence of alternative semiconductor material systems, such as transition metal dichalcogenides (TMDCs), requires the development of scalable doping strategies. We report an unprecedented one-pot synthesis for transition-metal substitution in large-area, synthetic monolayer TMDCs. Electron microscopy, optical and electronic transport characterization and ab initio calculations indicate that our doping strategy preserves the attractive qualities of TMDC monolayers, including semiconducting transport and strong direct-gap luminescence. These results are expected to encourage exploration of transition-metal substitution in two-dimensional systems, potentially enabling next-generation optoelectronic technology in the atomically-thin regime.

  8. Debye temperature of metallic nanowires--an experimental determination from the resistance of metallic nanowires in the temperature range 4.2 K-300 K.

    PubMed

    Bid, Aveek; Bora, Achyut; Raychaudhuri, A K

    2007-06-01

    We have studied the resistance of metallic nanowires (silver and copper) as a function of the wire diameter in the temperature range 4.2 K-300 K. The nanowires with an average diameter of 15 nm-200 nm and length 6 microm were electrochemically deposited using polycarbonate membranes as template from AgNO3 and CuSO4, respectively. The wires after growth were removed from the membranes by dissolving the polymer in dichloromethane and their crystalline nature confirmed by XRD and TEM studies. The TEM study establishes that the nanowires are single crystalline and can have twin in them. The resistivity data was fitted to Bloch-Gruneisen theorem with the values of Debye temperature and the electron-acoustic phonon coupling constant as the two fit variables. The value of the Debye temperature obtained for the Ag wires was seen to match well with that of the bulk while for Cu wires a significant reduction was observed. The observed increase in resistivity with a decrease in the wire diameter could be explained as due to diffuse surface scattering of the conduction electrons.

  9. Improved dielectric functions in metallic films obtained via template stripping

    NASA Astrophysics Data System (ADS)

    Hyuk Park, Jong; Nagpal, Prashant; Oh, Sang-Hyun; Norris, David J.

    2012-02-01

    We compare the dielectric functions of silver interfaces obtained via thermal evaporation with those obtained with template stripping. Ellipsometry measurements show that the smoother template-stripped surfaces exhibit effective dielectric functions with a more negative real component and a smaller imaginary component, implying higher conductivity and less energy loss, respectively. These results agree with the relation between dielectric function and surface roughness derived from combining the effective-medium model and the Drude-Lorentz model. The improvement in the effective dielectric properties shows that metallic films prepared via template stripping can be favorable for applications in electronics, nanophotonics, and plasmonics.

  10. A study of dynamic SIMS analysis of low-k dielectric materials

    NASA Astrophysics Data System (ADS)

    Mowat, Ian A.; Lin, Xue-Feng; Fister, Thomas; Kendall, Marius; Chao, Gordon; Yang, Ming Hong

    2006-07-01

    Dynamic SIMS is an established tool for the characterization of dielectric layers in semiconductors, both for contaminant levels and for composition. As the silicon-based semiconductor industry moves towards the use of copper rather than aluminum, there is also a need to use lower k-dielectric materials to reduce RC delays and to reduce cross-talk between closely spaced metal lines. New dielectric materials pose serious challenges for implementation into semiconductor processes and also for the analytical scientist doing measurements on them. The move from inorganic materials such as SiO 2 to organic or carbon-rich low-k materials is a large change for the SIMS analyst. Low-k dielectric films from different sources can be very different materials with different analytical issues. A SIMS challenge for these materials is dealing with their insulating nature and their also fragility, particularly for porous films. These materials can be extremely sensitive to electron beam damage during charge neutralization, leading to difficulties in determining depth scales and introducing unknown errors to secondary ion counts and their subsequent conversion to concentrations. This paper presents details regarding an investigation of the effects of electron beam exposure on a low-k material. These effects and their potential impact on SIMS data will be investigated using FT-IR, TOF-SIMS, AFM and stylus profilometry.

  11. Highly-Sensitive Thin Film THz Detector Based on Edge Metal-Semiconductor-Metal Junction.

    PubMed

    Jeon, Youngeun; Jung, Sungchul; Jin, Hanbyul; Mo, Kyuhyung; Kim, Kyung Rok; Park, Wook-Ki; Han, Seong-Tae; Park, Kibog

    2017-12-04

    Terahertz (THz) detectors have been extensively studied for various applications such as security, wireless communication, and medical imaging. In case of metal-insulator-metal (MIM) tunnel junction THz detector, a small junction area is desirable because the detector response time can be shortened by reducing it. An edge metal-semiconductor-metal (EMSM) junction has been developed with a small junction area controlled precisely by the thicknesses of metal and semiconductor films. The voltage response of the EMSM THz detector shows the clear dependence on the polarization angle of incident THz wave and the responsivity is found to be very high (~2,169 V/W) at 0.4 THz without any antenna and signal amplifier. The EMSM junction structure can be a new and efficient way of fabricating the nonlinear device THz detector with high cut-off frequency relying on extremely small junction area.

  12. Plasmonic Roche lobe in metal-dielectric-metal structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shiu, Ruei-Cheng; Lan, Yung-Chiang

    2013-07-15

    This study investigates a plasmonic Roche lobe that is based on a metal-dielectric-metal (MDM) structure using finite-difference time-domain simulations and theoretical analyses. The effective refractive index of the MDM structure has two centers and is inversely proportional to the distance from the position of interest to the centers, in a manner that is analogous to the gravitational potential in a two-star system. The motion of surface plasmons (SPs) strongly depends on the ratio of permittivities at the two centers. The Lagrange point is an unstable equilibrium point for SPs that propagate in the system. After the SPs have passed throughmore » the Lagrange point, their spread drastically increases.« less

  13. Nanowire structures and electrical devices

    DOEpatents

    Bezryadin, Alexey; Remeika, Mikas

    2010-07-06

    The present invention provides structures and devices comprising conductive segments and conductance constricting segments of a nanowire, such as metallic, superconducting or semiconducting nanowire. The present invention provides structures and devices comprising conductive nanowire segments and conductance constricting nanowire segments having accurately selected phases including crystalline and amorphous states, compositions, morphologies and physical dimensions, including selected cross sectional dimensions, shapes and lengths along the length of a nanowire. Further, the present invention provides methods of processing nanowires capable of patterning a nanowire to form a plurality of conductance constricting segments having selected positions along the length of a nanowire, including conductance constricting segments having reduced cross sectional dimensions and conductance constricting segments comprising one or more insulating materials such as metal oxides.

  14. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    PubMed

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  15. High-k dielectric Al2O3 nanowire and nanoplate field effect sensors for improved pH sensing

    PubMed Central

    Reddy, Bobby; Dorvel, Brian R.; Go, Jonghyun; Nair, Pradeep R.; Elibol, Oguz H.; Credo, Grace M.; Daniels, Jonathan S.; Chow, Edmond K. C.; Su, Xing; Varma, Madoo; Alam, Muhammad A.

    2011-01-01

    Over the last decade, field-effect transistors (FETs) with nanoscale dimensions have emerged as possible label-free biological and chemical sensors capable of highly sensitive detection of various entities and processes. While significant progress has been made towards improving their sensitivity, much is yet to be explored in the study of various critical parameters, such as the choice of a sensing dielectric, the choice of applied front and back gate biases, the design of the device dimensions, and many others. In this work, we present a process to fabricate nanowire and nanoplate FETs with Al2O3 gate dielectrics and we compare these devices with FETs with SiO2 gate dielectrics. The use of a high-k dielectric such as Al2O3 allows for the physical thickness of the gate dielectric to be thicker without losing sensitivity to charge, which then reduces leakage currents and results in devices that are highly robust in fluid. This optimized process results in devices stable for up to 8 h in fluidic environments. Using pH sensing as a benchmark, we show the importance of optimizing the device bias, particularly the back gate bias which modulates the effective channel thickness. We also demonstrate that devices with Al2O3 gate dielectrics exhibit superior sensitivity to pH when compared to devices with SiO2 gate dielectrics. Finally, we show that when the effective electrical silicon channel thickness is on the order of the Debye length, device response to pH is virtually independent of device width. These silicon FET sensors could become integral components of future silicon based Lab on Chip systems. PMID:21203849

  16. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  17. Sustained Resistive Switching in a Single Cu:7,7,8,8-tetracyanoquinodimethane Nanowire: A Promising Material for Resistive Random Access Memory

    PubMed Central

    Basori, Rabaya; Kumar, Manoranjan; Raychaudhuri, Arup K.

    2016-01-01

    We report a new type of sustained and reversible unipolar resistive switching in a nanowire device made from a single strand of Cu:7,7,8,8-tetracyanoquinodimethane (Cu:TCNQ) nanowire (diameter <100 nm) that shows high ON/OFF ratio (~103), low threshold voltage of switching (~3.5 V) and large cycling endurance (>103). This indicates a promising material for high density resistive random access memory (ReRAM) device integration. Switching is observed in Cu:TCNQ single nanowire devices with two different electrode configuration: symmetric (C-Pt/Cu:TCNQ/C-Pt) and asymmetric (Cu/Cu:TCNQ/C-Pt), where contacts connecting the nanowire play an important role. This report also developed a method of separating out the electrode and material contributions in switching using metal-semiconductor-metal (MSM) device model along with a direct 4-probe resistivity measurement of the nanowire in the OFF as well as ON state. The device model was followed by a phenomenological model of current transport through the nanowire device which shows that lowering of potential barrier at the contacts likely occur due to formation of Cu filaments in the interface between nanowire and contact electrodes. We obtain quantitative agreement of numerically analyzed results with the experimental switching data. PMID:27245099

  18. Double heterojunction nanowire photocatalysts for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Tongying, P.; Vietmeyer, F.; Aleksiuk, D.; Ferraudi, G. J.; Krylova, G.; Kuno, M.

    2014-03-01

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ~434.29 +/- 27.40 μmol h-1 g-1 under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core

  19. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  20. SEMICONDUCTOR TECHNOLOGY Development of spin-on-glass process for triple metal interconnects

    NASA Astrophysics Data System (ADS)

    Li, Peng; Wenbin, Zhao; Guozhang, Wang; Zongguang, Yu

    2010-12-01

    Spin-on-glass (SOG), an interlayer dielectric material applied in liquid form to fill narrow gaps in the sub-dielectric surface and thus conducive to planarization, is an alternative to silicon dioxide (SiO2) deposited using PECVD processes. However, its inability to adhere to metal and problems such as cracking prevent the easy application of SOG technology to provide an interlayer dielectric in multilevel metal interconnect circuits, particularly in university processing labs. This paper will show that a thin layer of CVD SiO2 and a curing temperature below the sintering temperature of the metal interconnect layer will promote adhesion, reduce gaps, and prevent cracking. Electron scanning microscope analysis has been used to demonstrate the success of the improved technique. This optimized process has been used in batches of double-poly, triple-metal CMOS wafer fabrication to date.

  1. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    NASA Astrophysics Data System (ADS)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  2. A tapered dielectric waveguide solar concentrator for a compound semiconductor photovoltaic cell.

    PubMed

    Park, Minkyu; Oh, Kyunghwan; Kim, Jeong; Shin, Hyun Woo; Oh, Byung Du

    2010-01-18

    A novel tapered dielectric waveguide solar concentrator is proposed for compound semiconductor solar cells utilizing optical fiber preform. Its light collecting capability is numerically simulated and experimentally demonstrated for feasibility and potential assessments. Utilizing tapered shape of an optical fiber preform with a step-index profile, low loss guidance was enhanced and the limitation in the acceptance angle of solar radiation was alleviated by an order of magnitude. Using a solar simulator the device performances were experimentally investigated and discussed in terms of the photocurrent improvements. Total acceptance angle exceeding +/- 6 degrees was experimentally achieved sustaining a high solar flux.

  3. Controlling the plasmonic surface waves of metallic nanowires by transformation optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yichao; Yuan, Jun; Yin, Ge

    2015-07-06

    In this letter, we introduce the technique of using transformation optics to manipulate the mode states of surface plasmonic waves of metallic nanowire waveguides. As examples we apply this technique to design two optical components: a three-dimensional (3D) electromagnetic mode rotator and a mode convertor. The rotator can rotate the polarization state of the surface wave around plasmonic nanowires by arbitrarily desired angles, and the convertor can transform the surface wave modes from one to another. Full-wave simulation is performed to verify the design and efficiency of our devices. Their potential application in photonic circuits is envisioned.

  4. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  5. On Practical Charge Injection at the Metal/Organic Semiconductor Interface

    PubMed Central

    Kumatani, Akichika; Li, Yun; Darmawan, Peter; Minari, Takeo; Tsukagoshi, Kazuhito

    2013-01-01

    We have revealed practical charge injection at metal and organic semiconductor interface in organic field effect transistor configurations. We have developed a facile interface structure that consisted of double-layer electrodes in order to investigate the efficiency through contact metal dependence. The metal interlayer with few nanometers thickness between electrode and organic semiconductor drastically reduces the contact resistance at the interface. The improvement has clearly obtained when the interlayer is a metal with lower standard electrode potential of contact metals than large work function of the contact metals. The electrode potential also implies that the most dominant effect on the mechanism at the contact interface is induced by charge transfer. This mechanism represents a step forward towards understanding the fundamental physics of intrinsic charge injection in all organic devices. PMID:23293741

  6. Spontaneous time reversal symmetry breaking in atomically confined two-dimensional impurity bands in silicon and germanium

    NASA Astrophysics Data System (ADS)

    Ghosh, Arindam

    Three-dimensional bulk-doped semiconductors, in particular phosphorus (P)-doped silicon (Si) and germanium (Ge), are among the best studied systems for many fundamental concepts in solid state physics, ranging from the Anderson metal-insulator transition to the many-body Coulomb interaction effects on quantum transport. Recent advances in material engineering have led to vertically confined doping of phosphorus (P) atoms inside bulk crystalline silicon and germanium, where the electron transport occurs through one or very few atomic layers, constituting a new and unique platform to investigate many of these phenomena at reduced dimensions. In this talk I shall present results of extensive quantum transport experiments in delta-doped silicon and germanium epilayers, over a wide range of doping density that allow independent tuning of the on-site Coulomb interaction and hopping energy scales. We find that low-frequency flicker noise, or the 1 / f noise, in the electrical conductance of these systems is exceptionally low, and in fact among the lowest when compared with other low-dimensional materials. This is attributed to the physical separation of the conduction electrons, embedded inside the crystalline semiconductor matrix, from the charged fluctuators at the surface. Most importantly, we find a remarkable suppression of weak localization effects, including the quantum correction to conductivity and universal conductance fluctuations, with decreasing doping density or, equivalently, increasing effective on-site Coulomb interaction. In-plane magneto-transport measurements indicate the presence of intrinsic local spin fluctuations at low doping although no signatures of long range magnetic order could be identified. We argue that these results indicate a spontaneous breakdown of time reversal symmetry, which is one of the most fundamental and robust symmetries of nonmagnetic quantum systems. While the microscopic origin of this spontaneous time reversal symmetry

  7. Stability and band offsets between c-plane ZnO semiconductor and LaAlO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Jianli; Chen, Xinfeng; Wu, Shuyin; Tang, Gang; Zhang, Junting; Stampfl, C.

    2018-03-01

    Wurtzite-perovskite heterostructures composed of a high dielectric constant oxide and a wide bandgap semiconductor envision promising applications in field-effect transistors. In the present paper, the structural and electronic properties of LaAlO3/ZnO heterojunctions are investigated by first-principles calculations. We study the initial adsorption of La, Al, and oxygen atoms on ZnO (0001) and (000 1 ¯ ) surfaces and find that La atoms may occupy interstitial sites during the growth of stoichiometric ZnO (0001). The band gap of the stoichiometric ZnO (0001) surface is smaller than that of the stoichiometric ZnO (000 1 ¯ ) surface. The surface formation energy indicates that La or Al atoms may substitute Zn atoms at the nonstoichiometric ZnO (0001) surface. The atomic charges, electronic density of states, and band offsets are analyzed for the optimized LaAlO3/ZnO heterojunctions. There is a band gap for the LaAlO3/ZnO (000 1 ¯ ) heterostructures, and the largest variation in charge occurs at the surface or interface. Our results suggest that the Al-terminated LaAlO3/ZnO (000 1 ¯ ) interfaces are suitable for the design of metal oxide semiconductor devices because the valence and conduction band offsets are both larger than 1 eV and the interface does not produce any in-gap states.

  8. A Semimetal Nanowire Rectifier: Balancing Quantum Confinement and Surface Electronegativity.

    PubMed

    Sanchez-Soares, Alfonso; Greer, James C

    2016-12-14

    For semimetal nanowires with diameters on the order of 10 nm, a semimetal-to-semiconductor transition is observed due to quantum confinement effects. Quantum confinement in a semimetal lifts the degeneracy of the conduction and valence bands in a "zero" gap semimetal or shifts energy levels with a "negative" overlap to form conduction and valence bands. For semimetal nanowires with diameters less than 10 nm, the band gap energy can be significantly larger than the thermal energy at room temperature resulting in a new class of semiconductors suitable for nanoelectronics. As a nanowire's diameter is reduced, its surface-to-volume ratio increases rapidly leading to an increased impact of surface chemistry on its electronic structure. Energy level shifts to states in the vicinity of the Fermi energy with varying surface electronegativity are shown to be comparable in magnitude to quantum confinement effects arising in nanowires with diameters of a few nanometer; these two effects can counteract one another leading to semimetallic behavior at nanowire cross sections at which confinement effects would otherwise dominate. Abruptly changing the surface terminating species along the length of a nanowire can lead to an abrupt change in the surface electronegativity. This can result in the formation of a semimetal-semiconductor junction within a monomaterial nanowire without impurity doping nor requiring the formation of a heterojunction. Using density functional theory in tandem with a Green's function approach to determine electronic structure and charge transport, respectively, current rectification is calculated for such a junction. Current rectification ratios of the order of 10 3 -10 5 are predicted at applied biases as low as 300 mV. It is concluded that rectification can be achieved at essentially molecular length scales with conventional biasing, while rivaling the performance of macroscopic semiconductor diodes.

  9. Adjustable metal-semiconductor transition of FeS thin films by thermal annealing

    NASA Astrophysics Data System (ADS)

    Fu, Ganhua; Polity, Angelika; Volbers, Niklas; Meyer, Bruno K.; Mogwitz, Boris; Janek, Jürgen

    2006-12-01

    FeS polycrystalline thin films were prepared on float glass at 500°C by radio-frequency reactive sputtering. The influence of vacuum annealing on the metal-semiconductor transition of FeS films was investigated. It has been found that with the increase of the annealing temperature from 360to600°C, the metal-semiconductor transition temperature of FeS films first decreases and then increases, associated with first a reduction and then an enhancement of hysteresis width. The thermal stress is considered to give rise to the abnormal change of the metal-semiconductor transition of the FeS film during annealing.

  10. Copper Nanowires: A Substitute for Noble Metals to Enhance Photocatalytic H2 Generation.

    PubMed

    Xiao, Shuning; Liu, Peijue; Zhu, Wei; Li, Guisheng; Zhang, Dieqing; Li, Hexing

    2015-08-12

    Microwave-assisted hydrothermal approach was developed as a general strategy to decorate copper nanowires (CuNWs) with nanorods (NRs) or nanoparticles (NPs) of metal oxides, metal sulfides, and metal organic frameworks (MOFs). The microwave irradiation induced local "super hot" dots generated on the CuNWs surface, which initiated the adsorption and chemical reactions of the metal ions, accompanied by the growth and assembly of NPs building blocks along the metal nanowires' surfaces. This solution-processed approach enables the NRs (NPs) @CuNWs hybrid structure to exhibit three unique characteristics: (1) high coverage density of NRs (NPs) per NWs with the morphology of NRs (NPs) directly growing from the CuNWs core, (2) intimate contact between CuNWs and NRs (NPs), and (3) flexible choices of material composition. Such hybrid structures also increased light absorption by light scattering. In general, the TiO2/CuNWs showed excellent photocatalytic activity for H2 generation. The corresponding hydrogen production rate is 5104 μmol h(-1) g(-1) with an apparent quantum yield (AQY) of 17.2%, a remarkably high AQY among the noble-metal free TiO2 photocatalysts. Such performance may be associated with the favorable geometry of the hybrid system, which is characterized by a large contact area between the photoactive materials (TiO2) and the H2 evolution cocatalyst (Cu), the fast and short diffusion paths of photogenerated electrons transferring from the TiO2 to the CuNWs. This study not only shows a possibility for the utilization of low cost copper nanowires as a substitute for noble metals in enhanced solar photocatalytic H2 generation but also exhibits a general strategy for fabricating other highly active H2 production photocatalysts by a facile microwave-assisted solution approach.

  11. Manipulation of Optoelectronic Properties and Band Structure Engineering of Ultrathin Te Nanowires by Chemical Adsorption.

    PubMed

    Roy, Ahin; Amin, Kazi Rafsanjani; Tripathi, Shalini; Biswas, Sangram; Singh, Abhishek K; Bid, Aveek; Ravishankar, N

    2017-06-14

    Band structure engineering is a powerful technique both for the design of new semiconductor materials and for imparting new functionalities to existing ones. In this article, we present a novel and versatile technique to achieve this by surface adsorption on low dimensional systems. As a specific example, we demonstrate, through detailed experiments and ab initio simulations, the controlled modification of band structure in ultrathin Te nanowires due to NO 2 adsorption. Measurements of the temperature dependence of resistivity of single ultrathin Te nanowire field-effect transistor (FET) devices exposed to increasing amounts of NO 2 reveal a gradual transition from a semiconducting to a metallic state. Gradual quenching of vibrational Raman modes of Te with increasing concentration of NO 2 supports the appearance of a metallic state in NO 2 adsorbed Te. Ab initio simulations attribute these observations to the appearance of midgap states in NO 2 adsorbed Te nanowires. Our results provide fundamental insights into the effects of ambient on the electronic structures of low-dimensional materials and can be exploited for designing novel chemical sensors.

  12. Electroactive Nanoporous Metal Oxides and Chalcogenides by Chemical Design

    PubMed Central

    2017-01-01

    The archetypal silica- and aluminosilicate-based zeolite-type materials are renowned for wide-ranging applications in heterogeneous catalysis, gas-separation and ion-exchange. Their compositional space can be expanded to include nanoporous metal chalcogenides, exemplified by germanium and tin sulfides and selenides. By comparison with the properties of bulk metal dichalcogenides and their 2D derivatives, these open-framework analogues may be viewed as three-dimensional semiconductors filled with nanometer voids. Applications exist in a range of molecule size and shape discriminating devices. However, what is the electronic structure of nanoporous metal chalcogenides? Herein, materials modeling is used to describe the properties of a homologous series of nanoporous metal chalcogenides denoted np-MX2, where M = Si, Ge, Sn, Pb, and X = O, S, Se, Te, with Sodalite, LTA and aluminum chromium phosphate-1 structure types. Depending on the choice of metal and anion their properties can be tuned from insulators to semiconductors to metals with additional modification achieved through doping, solid solutions, and inclusion (with fullerene, quantum dots, and hole transport materials). These systems form the basis of a new branch of semiconductor nanochemistry in three dimensions. PMID:28572706

  13. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  14. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    PubMed

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  15. Superplastic Creep of Metal Nanowires From Rate-Dependent Plasticity Transition

    DOE PAGES

    Tao, Weiwei; Cao, Penghui; Park, Harold S.

    2018-04-30

    Understanding the time-dependent mechanical behavior of nanomaterials such as nanowires is essential to predict their reliability in nanomechanical devices. This understanding is typically obtained using creep tests, which are the most fundamental loading mechanism by which the time dependent deformation of materials is characterized. However, due to existing challenges facing both experimentalists and theorists, the time dependent mechanical response of nanowires is not well-understood. Here, we use atomistic simulations that can access experimental time scales to examine the creep of single-crystal face-centered cubic metal (Cu, Ag, Pt) nanowires. Here, we report that both Cu and Ag nanowires show significantly increasedmore » ductility and superplasticity under low creep stresses, where the superplasticity is driven by a rate-dependent transition in defect nucleation from twinning to trailing partial dislocations at the micro- or millisecond time scale. The transition in the deformation mechanism also governs a corresponding transition in the stress-dependent creep time at the microsecond (Ag) and millisecond (Cu) time scales. Overall, this work demonstrates the necessity of accessing time scales that far exceed those seen in conventional atomistic modeling for accurate insights into the time-dependent mechanical behavior and properties of nanomaterials.« less

  16. Superplastic Creep of Metal Nanowires From Rate-Dependent Plasticity Transition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tao, Weiwei; Cao, Penghui; Park, Harold S.

    Understanding the time-dependent mechanical behavior of nanomaterials such as nanowires is essential to predict their reliability in nanomechanical devices. This understanding is typically obtained using creep tests, which are the most fundamental loading mechanism by which the time dependent deformation of materials is characterized. However, due to existing challenges facing both experimentalists and theorists, the time dependent mechanical response of nanowires is not well-understood. Here, we use atomistic simulations that can access experimental time scales to examine the creep of single-crystal face-centered cubic metal (Cu, Ag, Pt) nanowires. Here, we report that both Cu and Ag nanowires show significantly increasedmore » ductility and superplasticity under low creep stresses, where the superplasticity is driven by a rate-dependent transition in defect nucleation from twinning to trailing partial dislocations at the micro- or millisecond time scale. The transition in the deformation mechanism also governs a corresponding transition in the stress-dependent creep time at the microsecond (Ag) and millisecond (Cu) time scales. Overall, this work demonstrates the necessity of accessing time scales that far exceed those seen in conventional atomistic modeling for accurate insights into the time-dependent mechanical behavior and properties of nanomaterials.« less

  17. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    NASA Astrophysics Data System (ADS)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  18. Highly flexible, nonflammable and free-standing SiC nanowire paper

    NASA Astrophysics Data System (ADS)

    Chen, Jianjun; Liao, Xin; Wang, Mingming; Liu, Zhaoxiang; Zhang, Judong; Ding, Lijuan; Gao, Li; Li, Ye

    2015-03-01

    Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber reinforced ceramic composites. Herein, free-standing SiC nanowire paper consisting of ultralong single-crystalline SiC nanowires was prepared through a facile vacuum filtration approach. The ultralong SiC nanowires were synthesized by a sol-gel and carbothermal reduction method. The flexible paper composed of SiC nanowires is ~100 nm in width and up to several hundreds of micrometers in length. The nanowires are intertwisted with each other to form a three-dimensional network-like structure. SiC nanowire paper exhibits high flexibility and strong mechanical stability. The refractory performance and thermal stability of SiC nanowire paper were also investigated. The paper not only exhibits excellent nonflammability in fire, but also remains well preserved without visible damage when it is heated in an electric oven at a high temperature (1000 °C) for 3 h. With its high flexibility, excellent nonflammability, and high thermal stability, the free-standing SiC nanowire paper may have the potential to improve the ablation resistance of high temperature ceramic composites.Flexible paper-like semiconductor nanowire materials are expected to meet the criteria for some emerging applications, such as components of flexible solar cells, electrical batteries, supercapacitors, nanocomposites, bendable or wearable electronic or optoelectronic components, and so on. As a new generation of wide-bandgap semiconductors and reinforcements in composites, SiC nanowires have advantages in power electronic applications and nanofiber

  19. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  20. Phosphorus-Rich Copper Phosphide Nanowires for Field-Effect Transistors and Lithium-Ion Batteries.

    PubMed

    Li, Guo-An; Wang, Chiu-Yen; Chang, Wei-Chung; Tuan, Hsing-Yu

    2016-09-27

    Phosphorus-rich transition metal phosphide CuP2 nanowires were synthesized with high quality and high yield (∼60%) via the supercritical fluid-liquid-solid (SFLS) growth at 410 °C and 10.2 MPa. The obtained CuP2 nanowires have a high aspect ratio and exhibit a single crystal structure of monoclinic CuP2 without any impurity phase. CuP2 nanowires have progressive improvement for semiconductors and energy storages compared with bulk CuP2. Being utilized for back-gate field effect transistor (FET) measurement, CuP2 nanowires possess a p-type behavior intrinsically with an on/off ratio larger than 10(4) and its single nanowire electrical transport property exhibits a hole mobility of 147 cm(2) V(-1) s(-1), representing the example of a CuP2 transistor. In addition, CuP2 nanowires can serve as an appealing anode material for a lithium-ion battery electrode. The discharge capacity remained at 945 mA h g(-1) after 100 cycles, showing a good capacity retention of 88% based on the first discharge capacity. Even at a high rate of 6 C, the electrode still exhibited an outstanding result with a capacity of ∼600 mA h g(-1). Ex-situ transmission electron microscopy and CV tests demonstrate that the stability of capacity retention and remarkable rate capability of the CuP2 nanowires electrode are attributed to the role of the metal phosphide conversion-type lithium storage mechanism. Finally, CuP2 nanowire anodes and LiFePO4 cathodes were assembled into pouch-type lithium batteries offering a capacity over 60 mA h. The full cell shows high capacity and stable capacity retention and can be used as an energy supply to operate electronic devices such as mobile phones and mini 4WD cars.