Sample records for microwave plasma-enhanced chemical

  1. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  2. Growth of carbon nanotubes at low powers by impedance-matched microwave plasma enhanced chemical vapor deposition method.

    PubMed

    Chen, S Y; Chang, L W; Peng, C W; Miao, H Y; Lue, Juh-Tzeng

    2005-11-01

    A solo carbon nanotube (CNT) was successfully grown on nickel electrodes by a microwave plasma enhanced chemical vapor deposition (MPECVD) method equipped with an impedance-matched substrate holder with the reaction gases composed of hydrogen (H2), carbon dioxide (CO2), and methane (CH4) mixtures. An introduction of carbon dioxide gas before CNTs growth, the substrate temperature can easily be reached above 610 degrees C even heated at a low microwave power. This can be enunciated from fact that carbon dioxide inherits with higher bond energy for molecular dissociation, lower thermal conductivity, and higher heat capacity in comparing to other gases. The electron field emissions for randomly aligned CNTs and well-aligned CNTs grown by MPECVD and by radio frequency assisted hot-filament methods, respectively, are measured and compared. The higher field emission characteristic of the randomly aligned CNTs is presumed to be due to the protruded CNTs, which inheriting with less screening effect and manifesting with defects are crucial to play the effective emission sites.

  3. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    PubMed

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  4. Synthesis of molybdenum carbide superconducting compounds by microwave-plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Hongyang; Cai, Kang; Ma, Zhibin; Cheng, Zhenxiang; Jia, Tingting; Kimura, Hideo; Fu, Qiuming; Tao, Hong; Xiong, Liwei

    2018-02-01

    A method to synthesize molybdenum carbides has been developed based on microwave plasma treatment with methane and hydrogen mixed gases, using a microwave-plasma chemical vapor deposition device. The device framework and its mechanism are described in detail. Two-dimensional α-Mo2C has been directly synthesized by a plate-to-plate substrate holder structure with a microwave power of 920 W and a partial pressure of 20 kPa. In-situ optical emission spectroscopy was used to measure the radical types in the plasma ball during glow discharge. The as-grown α-Mo2C samples were characterized by X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy to determine their phases, purity and chemical groups. The superconducting transition temperature was measured, and the transition temperatures of the relevant phases are discussed in detail. The results confirmed that this method is an efficient way to obtain molybdenum carbides and inspire new research interest in transition metal carbides, which have many intrinsic local properties and applications.

  5. Beam current enhancement of microwave plasma ion source utilizing double-port rectangular cavity resonator.

    PubMed

    Lee, Yuna; Park, Yeong-Shin; Jo, Jong-Gab; Yang, J J; Hwang, Y S

    2012-02-01

    Microwave plasma ion source with rectangular cavity resonator has been examined to improve ion beam current by changing wave launcher type from single-port to double-port. The cavity resonators with double-port and single-port wave launchers are designed to get resonance effect at TE-103 mode and TE-102 mode, respectively. In order to confirm that the cavities are acting as resonator, the microwave power for breakdown is measured and compared with the E-field strength estimated from the HFSS (High Frequency Structure Simulator) simulation. Langmuir probe measurements show that double-port cavity enhances central density of plasma ion source by modifying non-uniform plasma density profile of the single-port cavity. Correspondingly, beam current from the plasma ion source utilizing the double-port resonator is measured to be higher than that utilizing single-port resonator. Moreover, the enhancement in plasma density and ion beam current utilizing the double-port resonator is more pronounced as higher microwave power applied to the plasma ion source. Therefore, the rectangular cavity resonator utilizing the double-port is expected to enhance the performance of plasma ion source in terms of ion beam extraction.

  6. Beam current enhancement of microwave plasma ion source utilizing double-port rectangular cavity resonator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Yuna; Park, Yeong-Shin; Jo, Jong-Gab

    2012-02-15

    Microwave plasma ion source with rectangular cavity resonator has been examined to improve ion beam current by changing wave launcher type from single-port to double-port. The cavity resonators with double-port and single-port wave launchers are designed to get resonance effect at TE-103 mode and TE-102 mode, respectively. In order to confirm that the cavities are acting as resonator, the microwave power for breakdown is measured and compared with the E-field strength estimated from the HFSS (High Frequency Structure Simulator) simulation. Langmuir probe measurements show that double-port cavity enhances central density of plasma ion source by modifying non-uniform plasma density profilemore » of the single-port cavity. Correspondingly, beam current from the plasma ion source utilizing the double-port resonator is measured to be higher than that utilizing single-port resonator. Moreover, the enhancement in plasma density and ion beam current utilizing the double-port resonator is more pronounced as higher microwave power applied to the plasma ion source. Therefore, the rectangular cavity resonator utilizing the double-port is expected to enhance the performance of plasma ion source in terms of ion beam extraction.« less

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dechana, A.; Thamboon, P.; Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides highmore » flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.« less

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    NASA Astrophysics Data System (ADS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    PubMed

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  10. A microwave plasma torch and its applications

    NASA Astrophysics Data System (ADS)

    Uhm, H. S.; Hong, Y. C.; Shin, D. H.

    2006-05-01

    A portable microwave plasma torch at atmospheric pressure by making use of magnetrons operated at 2.45 GHz and used in a home microwave oven has been developed. This electrodeless torch can be used in various areas including commercial, environmental and military applications. For example, perfluorocompounds (PFCs), which have long lifetimes and serious global warming implications, are widely used during plasma etching and plasma-assisted chamber cleaning processes in chemical vapour deposition systems. The microwave torch effectively eliminates PFCs. Efficient decomposition of toluene gas indicates the effectiveness of volatile organic compound eliminations from industrial emission and the elimination of airborne chemical and biological warfare agents. The microwave torch has been used to synthesize carbon nanotubes in an on-line system, thereby providing the opportunity of mass production of the nanotubes. There are other applications of the microwave plasma torch.

  11. Elimination of dimethyl methylphosphonate by plasma flame made of microwave plasma and burning hydrocarbon fuel

    NASA Astrophysics Data System (ADS)

    Cho, S. C.; Uhm, H. S.; Hong, Y. C.; Park, Y. G.; Park, J. S.

    2008-06-01

    Elimination of dimethyl methylphosphonate (DMMP) in liquid phase was studied by making use of a microwave plasma burner, exhibiting a safe removal capability of stockpiled chemical weapons. The microwave plasma burner consisted of a fuel injector and a plasma flame exit connected in series to a microwave plasma torch. The burner flames were sustained by injecting hydrocarbon fuels into the microwave plasma torch in air discharge. The Fourier transform infrared spectra indicated near perfect elimination of DMMP in the microwave plasma burner. This was confirmed by gas chromatography spectra as supporting data, revealing the disappearance of even intermediary compounds in the process of DMMP destruction. The experimental results and the physical configuration of the microwave plasma burner may provide an effective means of on-site removal of chemical warfare agents found on a battlefield.

  12. [Studies on the general properties of a novel microwave plasma enhanced glow discharge source].

    PubMed

    Li, Y; Du, Z; Duan, Y; Zhang, H; Jin, Q; Liu, H

    1998-04-01

    This paper introduced a novel microwave plasma enhanced glow descharge source, the structure design and operation were decribed, and the mutual effects of the main characters, such as pressure, current, voltage, microwave power and sputtering rates were also investigated in details.

  13. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    NASA Astrophysics Data System (ADS)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  14. Microwave Assisted Helicon Plasmas

    NASA Astrophysics Data System (ADS)

    McKee, John; Caron, David; Jemiolo, Andrew; Scime, Earl

    2017-10-01

    The use of two (or more) rf sources at different frequencies is a common technique in the plasma processing industry to control ion energy characteristics separately from plasma generation. A similar approach is presented here with the focus on modifying the electron population in argon and helium plasmas. The plasma is generated by a helicon source at a frequency f0 = 13.56 MHz. Microwaves of frequency f1 = 2.45 GHz are then injected into the helicon source chamber perpendicular to the background magnetic field. The microwaves damp on the electrons via X-mode Electron Cyclotron Heating (ECH) at the upper hybrid resonance, providing additional energy input into the electrons. The effects of this secondary-source heating on electron density, temperature, and energy distribution function are examined and compared to helicon-only single source plasmas as well as numeric models suggesting that the heating is not evenly distributed. Optical Emission Spectroscopy (OES) is used to examine the impact of the energetic tail of the electron distribution on ion and neutral species via collisional excitation. Large enhancements of neutral spectral lines are observed in both Ar and He. While small enhancement of ion lines is seen in Ar, ion lines not normally present in He are observed during microwave injection. U.S. National Science Foundation Grant No. PHY-1360278.

  15. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  16. Microwave plasma induced surface modification of diamond-like carbon films

    NASA Astrophysics Data System (ADS)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  17. Preferential vibrational excitation in microwave nitrogen plasma assessed by Raman scattering

    NASA Astrophysics Data System (ADS)

    Gatti, N.; Ponduri, S.; Peeters, F. J. J.; van den Bekerom, D. C. M.; Minea, T.; Tosi, P.; van de Sanden, M. C. M.; van Rooij, G. J.

    2018-05-01

    Vibrational activation of N2 molecules in a flowing microwave plasma is investigated in the context of utilising electrical energy for chemical conversion. Spatial profiles of rotational (T r ) and vibrational (T v ) temperatures are measured by Raman scattering. Maximum values of T r = 3500 K and T v = 6000 K were observed in the centre of the plasma at low pressure (50 mbar). A detailed quantification of the local energy content shows how the strong non-equilibrium character of low pressure discharges compares with a closer-to-equilibrium energy distribution at higher pressures. Measurements performed downstream of the plasma display the ability of the microwave flowing reactor to deliver up to 48% of the specific energy input (SEI) into internal degrees of freedom of the gas molecules. Specifically, 23% of the SEI is loaded into the vibrational mode, which is potentially available to enhance chemical reactivity of endothermic reactions.

  18. Direct-Coupled Plasma-Assisted Combustion Using a Microwave Waveguide Torch

    DTIC Science & Technology

    2011-12-01

    enhance combustion by coupling an atmospheric plasma dis- charge to a premixed methane/air flame. The absorbed microwave power ranges from 60 to 150 W...The plasma system allows for complete access of the plasma- enhanced flame for laser and optical diagnostics 0093-3813/$26.00 © 2011 IEEE Report...microwave waveguide is used to initiate and enhance combustion by coupling an atmospheric plasma discharge to a premixed methane/air flame. The

  19. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  20. Polyimide surface modification by using microwave plasma for adhesion enhancement of Cu electroless plating.

    PubMed

    Cho, Sang-Jin; Nguyen, Trieu; Boo, Jin-Hyo

    2011-06-01

    Microwave (MW) plasma was applied to the surface of polyimide (PI) films as a treatment to enhance the adhesion between copper deposition layer and PI surface for electroless plating. The influences of nitrogen MW plasma treatment on chemical composition of the PI surface were investigated by using X-Ray photoelectron spectroscopy (XPS). The wettability was also investigated by water contact angle measurement. The surface morphologies of PI films before and after treatment were characterized with atomic force microscopy (AFM). The contact angle results show that was dramatically decreased to 16.1 degrees at the optimal treatment condition from 72.1 degrees (untreated PI). However, the root mean square (RMS) roughness of treated PI film was almost unchanged. The AFM roughness was stayed from 1.0 to 1.2 with/without plasma treatment. XPS data show a nitrogen increase when PI films exposed to N2 MW plasma. Electroless copper depositions were carried out with the free-formaldehyde method using glyoxylic acid as the reducing reagent and mixture palladium chloride, tin chloride as activation solution. Adhesion property between polyimide surface and copper layer was investigated by tape test.

  1. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-02-01

    Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W2CoB2 with average hardness from 23 to 27 GPa and average elastic modulus of 600-730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  2. Plasma-chemical processes accompanying discharge in air excited by a microwave beam

    NASA Astrophysics Data System (ADS)

    Askar'ian, G. A.; Batanov, G. M.; Gritsinin, S. I.; Kossyi, I. A.; Kostinskii, A. Iu.

    1990-11-01

    Experimental results are presented on plasma-chemical processes of nitrogen oxidation and ozone production accompanying microwave discharge in dry air and in nitrogen-oxygen mixtures. The degree of nitrogen oxidation and the energy expenditure toward the formation of oxides as a function of discharge conditions are established. The experimental results can be explained by assuming oxidation reactions of electron-excited metastable nitrogen molecules by oxygen atoms. Low ozone concentrations in the discharge indicate a significant energy input into the gas.

  3. Excellent Field Emission Properties of Short Conical Carbon Nanotubes Prepared by Microwave Plasma Enhanced CVD Process

    PubMed Central

    2008-01-01

    Randomly oriented short and low density conical carbon nanotubes (CNTs) were prepared on Si substrates by tubular microwave plasma enhanced chemical vapor deposition process at relatively low temperature (350–550 °C) by judiciously controlling the microwave power and growth time in C2H2 + NH3gas composition and Fe catalyst. Both length as well as density of the CNTs increased with increasing microwave power. CNTs consisted of regular conical compartments stacked in such a way that their outer diameter remained constant. Majority of the nanotubes had a sharp conical tip (5–20 nm) while its other side was either open or had a cone/pear-shaped catalyst particle. The CNTs were highly crystalline and had many open edges on the outer surface, particularly near the joints of the two compartments. These films showed excellent field emission characteristics. The best emission was observed for a medium density film with the lowest turn-on and threshold fields of 1.0 and 2.10 V/μm, respectively. It is suggested that not only CNT tip but open edges on the body also act as active emission sites in the randomly oriented geometry of such periodic structures.

  4. Microwave enhanced chemical reduction process for nitrite-containing wastewater treatment using sulfaminic acid.

    PubMed

    Li, Nan; Wang, Peng; Liu, Qingsong; Cao, Hailei

    2010-01-01

    High-concentration nitrite-containing wastewater that presents extreme toxicity to human health and organisms is difficult to be treated using traditional biological process. In this study, a novel microwave-enhanced chemical reduction process (MECRP) using sulfaminic acid (SA) was proposed as a new manner to treat such type of wastewater. Based on lab-scale experiments, it was shown that 75%-80% nitrite (NO2-) could be removed within time as short as 4 min under 50 W microwave irradiation in pH range 5-10 when molar ratio of SA to nitrite (SA/NO2-) was 0.8. Pilot-scale investigations demonstrated that MECRP was able to achieve nitrite and chemical oxygen demand (COD) removal with efficiency up to 80% and 20%, respectively under operating conditions of SA concentration 80 kg/m3, SA/NO2- ratio 0.8, microwave power 3.4 kW, and stirring time 3 min. Five-day biological oxygen demand (BOD5)/COD value of treated effluent after MECRP was increased from 0.05 to 0.36 (by 620%), which clearly suggested a considerable improvement of biodegradability for subsequent biological treatment. This study provided a demonstration of using microwave irradiation to enhance reaction between SA and nitrite in a short time, in which nitrite in wastewater was completely converted into nitrogen gas without leaving any sludge and secondary pollutants.

  5. How to Ignite an Atmospheric Pressure Microwave Plasma Torch without Any Additional Igniters

    PubMed Central

    Leins, Martina; Gaiser, Sandra; Schulz, Andreas; Walker, Matthias; Schumacher, Uwe; Hirth, Thomas

    2015-01-01

    This movie shows how an atmospheric pressure plasma torch can be ignited by microwave power with no additional igniters. After ignition of the plasma, a stable and continuous operation of the plasma is possible and the plasma torch can be used for many different applications. On one hand, the hot (3,600 K gas temperature) plasma can be used for chemical processes and on the other hand the cold afterglow (temperatures down to almost RT) can be applied for surface processes. For example chemical syntheses are interesting volume processes. Here the microwave plasma torch can be used for the decomposition of waste gases which are harmful and contribute to the global warming but are needed as etching gases in growing industry sectors like the semiconductor branch. Another application is the dissociation of CO2. Surplus electrical energy from renewable energy sources can be used to dissociate CO2 to CO and O2. The CO can be further processed to gaseous or liquid higher hydrocarbons thereby providing chemical storage of the energy, synthetic fuels or platform chemicals for the chemical industry. Applications of the afterglow of the plasma torch are the treatment of surfaces to increase the adhesion of lacquer, glue or paint, and the sterilization or decontamination of different kind of surfaces. The movie will explain how to ignite the plasma solely by microwave power without any additional igniters, e.g., electric sparks. The microwave plasma torch is based on a combination of two resonators — a coaxial one which provides the ignition of the plasma and a cylindrical one which guarantees a continuous and stable operation of the plasma after ignition. The plasma can be operated in a long microwave transparent tube for volume processes or shaped by orifices for surface treatment purposes. PMID:25938699

  6. Plasma-assisted microwave processing of materials

    NASA Technical Reports Server (NTRS)

    Barmatz, Martin (Inventor); Jackson, Henry (Inventor); Ylin, Tzu-yuan (Inventor)

    1998-01-01

    A microwave plasma assisted method and system for heating and joining materials. The invention uses a microwave induced plasma to controllably preheat workpiece materials that are poorly microwave absorbing. The plasma preheats the workpiece to a temperature that improves the materials' ability to absorb microwave energy. The plasma is extinguished and microwave energy is able to volumetrically heat the workpiece. Localized heating of good microwave absorbing materials is done by shielding certain parts of the workpiece and igniting the plasma in the areas not shielded. Microwave induced plasma is also used to induce self-propagating high temperature synthesis (SHS) process for the joining of materials. Preferably, a microwave induced plasma preheats the material and then microwave energy ignites the center of the material, thereby causing a high temperature spherical wave front from the center outward.

  7. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    NASA Astrophysics Data System (ADS)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  8. Volumetric Near-Field Microwave Plasma Generation

    NASA Technical Reports Server (NTRS)

    Exton, R. J.; Balla, R. Jeffrey; Herring, G. C.; Popovic, S.; Vuskovic, L.

    2003-01-01

    A periodic series of microwave-induced plasmoids is generated using the outgoing wave from a microwave horn and the reflected wave from a nearby on-axis concave reflector. The plasmoids are spaced at half-wavelength separations according to a standing-wave pattern. The plasmoids are enhanced by an effective focusing in the near field of the horn (Fresnel region) as a result of a diffractive narrowing. Optical imaging, electron density, and rotational temperature measurements characterize the near field plasma region. Volumetric microwave discharges may have application to combustion ignition in scramjet engines.

  9. Confluence or independence of microwave plasma bullets in atmospheric argon plasma jet plumes

    NASA Astrophysics Data System (ADS)

    Li, Ping; Chen, Zhaoquan; Mu, Haibao; Xu, Guimin; Yao, Congwei; Sun, Anbang; Zhou, Yuming; Zhang, Guanjun

    2018-03-01

    Plasma bullet is the formation and propagation of a guided ionization wave (streamer), normally generated in atmospheric pressure plasma jet (APPJ). In most cases, only an ionization front produces in a dielectric tube. The present study shows that two or three ionization fronts can be generated in a single quartz tube by using a microwave coaxial resonator. The argon APPJ plumes with a maximum length of 170 mm can be driven by continuous microwaves or microwave pulses. When the input power is higher than 90 W, two or three ionization fronts propagate independently at first; thereafter, they confluence to form a central plasma jet plume. On the other hand, the plasma bullets move independently as the lower input power is applied. For pulsed microwave discharges, the discharge images captured by a fast camera show the ionization process in detail. Another interesting finding is that the strongest lightening plasma jet plumes always appear at the shrinking phase. Both the discharge images and electromagnetic simulations suggest that the confluence or independent propagation of plasma bullets is resonantly excited by the local enhanced electric fields, in terms of wave modes of traveling surface plasmon polaritons.

  10. Fast Determination of Ingredients in Solid Pharmaceuticals by Microwave-Enhanced In-Source Decay of Microwave Plasma Torch Mass Spectrometry.

    PubMed

    Su, Rui; Wang, Xinchen; Hou, Changming; Yang, Meiling; Huang, Keke; Chen, Huanwen

    2017-09-01

    Rapid qualitative and quantitative analysis of solid samples (e.g., pharmaceutical preparations) by using a small and low-resolution mass spectrometer without MS/MS function is still a challenge in ambient pressure ionization mass spectrometric analysis. Herein, a practically efficient method termed microwave-enhanced in-source decay (MEISD) using microwave plasma torch desorption ionization coupled with time-of-flight mass spectrometry (MPTDI-TOF MS) was developed for fast analysis of pharmaceutical tablets using a miniature TOF mass spectrometer without tandem mass function. The intensity of ISD fragmentation was evaluated under different microwave power values. Several factors, including desorption distance and time that might affect the signal intensity and fragmentation, were systematically investigated. It was observed that both the protonated molecular ions and major fragment ions from the active ingredients in tablets could be found in the full-scan mass spectra in positive ion mode, which were comparable to those obtained by a commercial LTQ-XL ion trap mass spectrometer. The structures of the ingredients could be elucidated in detail using the MEISD method, which promotes our understanding of the desorption/ionization processes in microwave plasma torch (MPT). Quantitative analysis of 10 tablets was achieved by full-scan MPTDI-TOF MS with low limit of detection (LOD, 0.763 mg/g), acceptable relative standard deviation (RSD < 7.33%, n =10), and 10 s for each tablet, showing promising applications in high throughput screening of counterfeit drugs. Graphical Abstract ᅟ.

  11. Fast Determination of Ingredients in Solid Pharmaceuticals by Microwave-Enhanced In-Source Decay of Microwave Plasma Torch Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Su, Rui; Wang, Xinchen; Hou, Changming; Yang, Meiling; Huang, Keke; Chen, Huanwen

    2017-09-01

    Rapid qualitative and quantitative analysis of solid samples (e.g., pharmaceutical preparations) by using a small and low-resolution mass spectrometer without MS/MS function is still a challenge in ambient pressure ionization mass spectrometric analysis. Herein, a practically efficient method termed microwave-enhanced in-source decay (MEISD) using microwave plasma torch desorption ionization coupled with time-of-flight mass spectrometry (MPTDI-TOF MS) was developed for fast analysis of pharmaceutical tablets using a miniature TOF mass spectrometer without tandem mass function. The intensity of ISD fragmentation was evaluated under different microwave power values. Several factors, including desorption distance and time that might affect the signal intensity and fragmentation, were systematically investigated. It was observed that both the protonated molecular ions and major fragment ions from the active ingredients in tablets could be found in the full-scan mass spectra in positive ion mode, which were comparable to those obtained by a commercial LTQ-XL ion trap mass spectrometer. The structures of the ingredients could be elucidated in detail using the MEISD method, which promotes our understanding of the desorption/ionization processes in microwave plasma torch (MPT). Quantitative analysis of 10 tablets was achieved by full-scan MPTDI-TOF MS with low limit of detection (LOD, 0.763 mg/g), acceptable relative standard deviation (RSD < 7.33%, n =10), and 10 s for each tablet, showing promising applications in high throughput screening of counterfeit drugs. [Figure not available: see fulltext.

  12. Lifetime and migration length of B-related admolecules on diamond {1 0 0}-surface: Comparative study of hot-filament and microwave plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ohmagari, Shinya; Ogura, Masahiko; Umezawa, Hitoshi; Mokuno, Yoshiaki

    2017-12-01

    The growth of heavily B-doped low-resistivity diamond films will facilitate the development of novel semiconductor applications. To discuss the key factors that increase B solubility into single-crystal {1 0 0} diamond, the misorientation-angle (θmis) dependences of B incorporation were compared between hot filament (HF) and microwave plasma (MW)-enhanced chemical vapor deposition. Based on the model that considers the step-flow motion, the lifetime of B-related admolecules (τ) on terrace surface was evaluated. We found that τ can be extended more than ∼13 times by utilizing HF growth. As a result, the longer migration length of B-related admolecules (χB) was evidenced. Conversely, shorter τ and χB were revealed for MW growth which limit the B incorporation (probably due to etching). This study will provide an important insight to increase the B solubility.

  13. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  14. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    NASA Astrophysics Data System (ADS)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-02-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.

  15. Ultra-Smooth Nanostructured Diamond Films Deposited from He/H2/CH4/N2 Microwave Plasmas

    PubMed Central

    Konovalov, Valery V.; Melo, Andrew; Catledge, Shane A.; Chowdhury, Shafiul

    2008-01-01

    Addition of He to a high CH4 content (10.7 vol%) H2/CH4/N2 feedgas mixture for microwave plasma chemical vapor deposition produced hard (56–72 GPa), ultra-smooth nanostructured diamond films on Ti-6Al-4V alloy substrates. Upon increase in He content up to 71 vol%, root mean squared (RMS) surface roughness of the film decreased to 9–10 nm and average diamond grain size to 5–6 nm. Our studies show that increased nanocrystallinity with He addition in plasma is related to plasma dilution, enhanced fragmentation of carbon containing species, and enhanced formation of CN radical. PMID:16573106

  16. Sheath and bulk expansion induced by RF bias in atmospheric pressure microwave plasma

    NASA Astrophysics Data System (ADS)

    Lee, Jimo; Nam, Woojin; Lee, Jae Koo; Yun, Gunsu

    2017-10-01

    A large axial volume expansion of microwave-driven plasma at atmospheric pressure is achieved by applying a low power radio frequency (RF) bias at an axial location well isolated from the original plasma bulk. The evolution of the plasma plume visualized by high speed ICCD imaging suggest that the free electrons drifting toward the bias electrode cause the prodigious expansion of the sheath, creating a stable plasma stream channel between the microwave and the RF electrodes. For argon plasma in ambient air, enhanced emissions of OH and N2 spectral lines are measured in the extended plume region, supporting the acceleration of electrons and subsequent generation of radical species. The coupling of RF bias with microwave provides an efficient way of enlarging the plasma volume and enhancing the production of radicals. Work supported by the National Research Foundation of Korea under BK21+ program and Grant No. 2015R1D1A1A01061556 (Ministry of Education).

  17. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  18. New diagnostic methods for laser plasma- and microwave-enhanced combustion

    PubMed Central

    Miles, Richard B; Michael, James B; Limbach, Christopher M; McGuire, Sean D; Chng, Tat Loon; Edwards, Matthew R; DeLuca, Nicholas J; Shneider, Mikhail N; Dogariu, Arthur

    2015-01-01

    The study of pulsed laser- and microwave-induced plasma interactions with atmospheric and higher pressure combusting gases requires rapid diagnostic methods that are capable of determining the mechanisms by which these interactions are taking place. New rapid diagnostics are presented here extending the capabilities of Rayleigh and Thomson scattering and resonance-enhanced multi-photon ionization (REMPI) detection and introducing femtosecond laser-induced velocity and temperature profile imaging. Spectrally filtered Rayleigh scattering provides a method for the planar imaging of temperature fields for constant pressure interactions and line imaging of velocity, temperature and density profiles. Depolarization of Rayleigh scattering provides a measure of the dissociation fraction, and multi-wavelength line imaging enables the separation of Thomson scattering from Rayleigh scattering. Radar REMPI takes advantage of high-frequency microwave scattering from the region of laser-selected species ionization to extend REMPI to atmospheric pressures and implement it as a stand-off detection method for atomic and molecular species in combusting environments. Femtosecond laser electronic excitation tagging (FLEET) generates highly excited molecular species and dissociation through the focal zone of the laser. The prompt fluorescence from excited molecular species yields temperature profiles, and the delayed fluorescence from recombining atomic fragments yields velocity profiles. PMID:26170432

  19. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  20. Coupled microwave ECR and radio-frequency plasma source for plasma processing

    DOEpatents

    Tsai, Chin-Chi; Haselton, Halsey H.

    1994-01-01

    In a dual plasma device, the first plasma is a microwave discharge having its own means of plasma initiation and control. The microwave discharge operates at electron cyclotron resonance (ECR), and generates a uniform plasma over a large area of about 1000 cm.sup.2 at low pressures below 0.1 mtorr. The ECR microwave plasma initiates the second plasma, a radio frequency (RF) plasma maintained between parallel plates. The ECR microwave plasma acts as a source of charged particles, supplying copious amounts of a desired charged excited species in uniform manner to the RF plasma. The parallel plate portion of the apparatus includes a magnetic filter with static magnetic field structure that aids the formation of ECR zones in the two plasma regions, and also assists in the RF plasma also operating at electron cyclotron resonance.

  1. Coupled microwave ECR and radio-frequency plasma source for plasma processing

    DOEpatents

    Tsai, C.C.; Haselton, H.H.

    1994-03-08

    In a dual plasma device, the first plasma is a microwave discharge having its own means of plasma initiation and control. The microwave discharge operates at electron cyclotron resonance (ECR), and generates a uniform plasma over a large area of about 1000 cm[sup 2] at low pressures below 0.1 mtorr. The ECR microwave plasma initiates the second plasma, a radio frequency (RF) plasma maintained between parallel plates. The ECR microwave plasma acts as a source of charged particles, supplying copious amounts of a desired charged excited species in uniform manner to the RF plasma. The parallel plate portion of the apparatus includes a magnetic filter with static magnetic field structure that aids the formation of ECR zones in the two plasma regions, and also assists in the RF plasma also operating at electron cyclotron resonance. 4 figures.

  2. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nad, Shreya; Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824; Gu, Yajun

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficienciesmore » (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.« less

  3. Modelling of low-temperature/large-area distributed antenna array microwave-plasma reactor used for nanocrystalline diamond deposition

    NASA Astrophysics Data System (ADS)

    Bénédic, Fabien; Baudrillart, Benoit; Achard, Jocelyn

    2018-02-01

    In this paper we investigate a distributed antenna array Plasma Enhanced Chemical Vapor Deposition system, composed of 16 microwave plasma sources arranged in a 2D matrix, which enables the growth of 4-in. diamond films at low pressure and low substrate temperature by using H2/CH4/CO2 gas chemistry. A self-consistent two-dimensional plasma model developed for hydrogen discharges is used to study the discharge behavior. Especially, the gas temperature is estimated close to 350 K at a position corresponding to the substrate location during the growth, which is suitable for low temperature deposition. Multi-source discharge modeling evidences that the uniformity of the plasma sheet formed by the individual plasmas ignited around each elementary microwave source strongly depends on the distance to the antennas. The radial profile of the film thickness homogeneity may be thus linked to the local variations of species density. Contribution to the topical issue "Plasma Sources and Plasma Processes (PSPP)", edited by Luis Lemos Alves, Thierry Belmonte and Tibeinea Minea.

  4. Carbon Nanotubes/Nanofibers by Plasma Enhanced Chemical Vapour Deposition

    NASA Technical Reports Server (NTRS)

    Teo, K. B. K.; Hash, D. B.; Bell, M. S.; Chhowalla, M.; Cruden, B. A.; Amaratunga, G. A. J.; Meyyappan, M.; Milne, W. I.

    2005-01-01

    Plasma enhanced chemical vapour deposition (PECVD) has been recently used for the production of vertically aligned carbon nanotubedfibers (CN) directly on substrates. These structures are potentially important technologically as electron field emitters (e.g. microguns, microwave amplifiers, displays), nanoelectrodes for sensors, filter media, superhydrophobic surfaces and thermal interface materials for microelectronics. A parametric study on the growth of CN grown by glow discharge dc-PECVD is presented. In this technique, a substrate containing thin film Ni catalyst is exposed to C2H2 and NH3 gases at 700 C. Without plasma, this process is essentially thermal CVD which produces curly spaghetti-like CN as seen in Fig. 1 (a). With the plasma generated by biasing the substrate at -6OOV, we observed that the CN align vertically during growth as shown in Fig. l(b), and that the magnitude of the applied substrate bias affects the degree of alignment. The thickness of the thin film Ni catalyst was found to determine the average diameter and inversely the length of the CN. The yield and density of the CN were controlled by the use of different diffusion barrier materials under the Ni catalyst. Patterned CN growth [Fig. l(c)], with la variation in CN diameter of 4.1% and 6.3% respectively, is achieved by lithographically defining the Ni thin film prior to growth. The shape of the structures could be varied from very straight nanotube-like to conical tip-like nanofibers by increasing the ratio of C2H2 in the gas flow. Due to the plasma decomposition of C2H2, amorphous carbon (a-C) is an undesirable byproduct which could coat the substrate during CN growth. Using a combination of depth profiled Auger electron spectroscopy to study the substrate and in-situ mass spectroscopy to examine gas phase neutrals and ions, the optimal conditions for a-C free growth of CN is determined.

  5. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  6. Plasma surface cleaning using microwave plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, C.C.; Haselton, H.H.; Nelson, W.D.

    1993-11-01

    In a microwave electron cyclotron resonance (ECR) plasma source, reactive plasmas of oxygen and its mixture with argon are used for plasma-cleaning experiments. Aluminum test samples (0.95 {times} 1.9 cm) were coated with thin films ({le} 20 {mu}m in thickness) of Shell Vitrea oil and cleaned by using such reactive plasmas. The plasma cleaning was done in various discharge conditions with fixed microwave power, rf power, biased potential, gas pressures (0.5 and 5 mtorr), and operating time up to 35 min. The status of plasma cleaning has been monitored by using mass spectroscopy. Mass loss of the samples after plasmamore » cleaning was measured to estimate cleaning rates. Measured clean rates of low pressure (0.5 mtorr) argon/oxygen plasmas were as high as 2.7 {mu}/min. X-ray photoelectron spectroscopy was used to determine cleanliness of the sample surfaces and confirm the effectiveness of plasma cleaning in achieving atomic levels of surface cleanliness. In this paper, significant results are reported and discussed.« less

  7. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  8. Production of large resonant plasma volumes in microwave electron cyclotron resonance ion sources

    DOEpatents

    Alton, G.D.

    1998-11-24

    Microwave injection methods are disclosed for enhancing the performance of existing electron cyclotron resonance (ECR) ion sources. The methods are based on the use of high-power diverse frequency microwaves, including variable-frequency, multiple-discrete-frequency, and broadband microwaves. The methods effect large resonant ``volume`` ECR regions in the ion sources. The creation of these large ECR plasma volumes permits coupling of more microwave power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present ECR ion sources. 5 figs.

  9. Production of large resonant plasma volumes in microwave electron cyclotron resonance ion sources

    DOEpatents

    Alton, Gerald D.

    1998-01-01

    Microwave injection methods for enhancing the performance of existing electron cyclotron resonance (ECR) ion sources. The methods are based on the use of high-power diverse frequency microwaves, including variable-frequency, multiple-discrete-frequency, and broadband microwaves. The methods effect large resonant "volume" ECR regions in the ion sources. The creation of these large ECR plasma volumes permits coupling of more microwave power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present ECR ion sources.

  10. Enhanced production of ECR plasma by using pulse mode microwaves on a large bore ECRIS with permanent magnets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kato, Yushi; Kiriyama, Ryutaro; Takenaka, Tomoya

    2012-11-06

    In order to enhance the efficiency of an electron cyclotron resonance (ECR) plasma for a broad and dense ion beam source at low pressure, the magnetic field configuration is constructed by all permanent magnets. By using the pulse mode, we aim at the generation of plasma with parameters that cannot be achieved in the CW mode at microwave frequencies of 11-13GHz, under the constraint of the same average incident microwave powers. It is found that the total beam currents are increased by the pulse mode operation compared with the case of the CW mode. According to probe measurements of themore » ECR plasma, it is found that the electron density in the pulse mode is larger than that in the CW mode, while the electron temperatures in the pulse mode are lower than that in the CW mode. These results are discussed from the viewpoint of relaxation times obtained on plasma parameters and ECR efficiency. The cause of the beam current increment and operational windows spread due to the pulse mode are also discussed on these parameters suitable to production of molecular/cluster ions.« less

  11. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition.

    PubMed

    Kim, Y; Song, W; Lee, S Y; Jeon, C; Jung, W; Kim, M; Park, C-Y

    2011-06-27

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω∕sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  12. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  13. [Experimental study on spectra of compressed air microwave plasma].

    PubMed

    Liu, Yong-Xi; Zhang, Gui-Xin; Wang, Qiang; Hou, Ling-Yun

    2013-03-01

    Using a microwave plasma generator, compressed air microwave plasma was excited under 1 - 5 atm pressures. Under different pressures and different incident microwave power, the emission spectra of compressed air microwave plasma were studied with a spectra measuring system. The results show that continuum is significant at atmospheric pressure and the characteristic will be weakened as the pressure increases. The band spectra intensity will be reduced with the falling of the incident microwave power and the band spectra were still significant. The experimental results are valuable to studying the characteristics of compressed air microwave plasma and the generating conditions of NO active groups.

  14. Abatement of SF{sub 6} and CF{sub 4} using an enhanced kerosene microwave plasma burner

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Dong Hun; Hong, Yong Cheol; Cho, Soon Cheon

    2006-11-15

    A kerosene microwave plasma burner was presented as a tool for abatement of SF{sub 6} and CF{sub 4} gases, which cause global warming. The plasma burner operates by injecting kerosene as a liquid hydrocarbon fuel into a microwave plasma torch and by mixing the resultant gaseous hydrogen and carbon compounds with air or oxygen (O{sub 2}) gas. The abatement of SF{sub 6} and CF{sub 4}, by making use of the kerosene plasma burner, was conducted in terms of nitrogen (N{sub 2}) flow rates. The destruction and removal efficiency of the burner were achieved up to 99.9999% for 0.1 liters permore » minute (lpm) SF{sub 6} in 120 lpm N{sub 2} and 99.3% for 0.05 lpm CF{sub 4} in 60 lpm N{sub 2}, revealing that the microwave plasma burner can effectively eliminate perfluorocompounds emitted from the semiconductor industries.« less

  15. Optimized ECR plasma apparatus with varied microwave window thickness

    DOEpatents

    Berry, Lee A.

    1995-01-01

    The present invention describes a technique to control the radial profile of microwave power in an ECR plasma discharge. In order to provide for a uniform plasma density to a specimen, uniform energy absorption by the plasma is desired. By controlling the radial profile of the microwave power transmitted through the microwave window of a reactor, the profile of the transmitted energy to the plasma can be controlled in order to have uniform energy absorption by the plasma. An advantage of controlling the profile using the window transmission characteristics is that variations to the radial profile of microwave power can be made without changing the microwave coupler or reactor design.

  16. Microwave Plasma Based Single-Step Method for Generation of Carbon Nanostructures

    DTIC Science & Technology

    2013-07-01

    Técnico, Technical University of Lisbon, Portugal 2 Mechanical and Aerospace Engeneering , Naval Postgraduate School, Monterey, CA 93943, U.S.A...Plasma environments constitute powerful tools in materials science due to their operation as thermal and chemical reactors. A microwave, atmospheric...applications include electronic devices, transparent conductive films, mechanical devices, chemical sensors, spintronic devices. Moreover, it shows enormous

  17. Optimized ECR plasma apparatus with varied microwave window thickness

    DOEpatents

    Berry, L.A.

    1995-11-14

    The present invention describes a technique to control the radial profile of microwave power in an ECR plasma discharge. In order to provide for a uniform plasma density to a specimen, uniform energy absorption by the plasma is desired. By controlling the radial profile of the microwave power transmitted through the microwave window of a reactor, the profile of the transmitted energy to the plasma can be controlled in order to have uniform energy absorption by the plasma. An advantage of controlling the profile using the window transmission characteristics is that variations to the radial profile of microwave power can be made without changing the microwave coupler or reactor design. 9 figs.

  18. Microwave-Assisted Ignition for Improved Internal Combustion Engine Efficiency

    NASA Astrophysics Data System (ADS)

    DeFilippo, Anthony Cesar

    The ever-present need for reducing greenhouse gas emissions associated with transportation motivates this investigation of a novel ignition technology for internal combustion engine applications. Advanced engines can achieve higher efficiencies and reduced emissions by operating in regimes with diluted fuel-air mixtures and higher compression ratios, but the range of stable engine operation is constrained by combustion initiation and flame propagation when dilution levels are high. An advanced ignition technology that reliably extends the operating range of internal combustion engines will aid practical implementation of the next generation of high-efficiency engines. This dissertation contributes to next-generation ignition technology advancement by experimentally analyzing a prototype technology as well as developing a numerical model for the chemical processes governing microwave-assisted ignition. The microwave-assisted spark plug under development by Imagineering, Inc. of Japan has previously been shown to expand the stable operating range of gasoline-fueled engines through plasma-assisted combustion, but the factors limiting its operation were not well characterized. The present experimental study has two main goals. The first goal is to investigate the capability of the microwave-assisted spark plug towards expanding the stable operating range of wet-ethanol-fueled engines. The stability range is investigated by examining the coefficient of variation of indicated mean effective pressure as a metric for instability, and indicated specific ethanol consumption as a metric for efficiency. The second goal is to examine the factors affecting the extent to which microwaves enhance ignition processes. The factors impacting microwave enhancement of ignition processes are individually examined, using flame development behavior as a key metric in determining microwave effectiveness. Further development of practical combustion applications implementing microwave

  19. Localized microwave pulsed plasmas for ignition and flame front enhancement

    NASA Astrophysics Data System (ADS)

    Michael, James Bennett

    Modern combustor technologies require the ability to match operational parameters to rapidly changing demands. Challenges include variable power output requirements, variations in air and fuel streams, the requirement for rapid and well-controlled ignition, and the need for reliability at low fuel mixture fractions. Work on subcritical microwave coupling to flames and to weakly ionized laser-generated plasmas has been undertaken to investigate the potential for pulsed microwaves to allow rapid combustion control, volumetric ignition, and leaner combustion. Two strategies are investigated. First, subcritical microwaves are coupled to femtosecond laser-generated ionization to ignite methane/air mixtures in a quasi-volumetric fashion. Total energy levels are comparable to the total minimum ignition energies for laser and spark discharges, but the combined strategy allows a 90 percent reduction in the required laser energy. In addition, well-defined multi-dimensional ignition patterns are designated with multiple laser passes. Second, microwave pulse coupling to laminar flame fronts is achieved through interaction with chemiionization-produced electrons in the reaction zone. This energy deposition remains well-localized for a single microwave pulse, resulting in rapid temperature rises of greater than 200 K and maintaining flame propagation in extremely lean methane/air mixtures. The lean flammability limit in methane/air mixtures with microwave coupling has been decreased from an equivalence ratio 0.6 to 0.3. Additionally, a diagnostic technique for laser tagging of nitrogen for velocity measurements is presented. The femtosecond laser electronic excitation tagging (FLEET) technique utilizes a 120 fs laser to dissociate nitrogen along a laser line. The relatively long-lived emission from recombining nitrogen atoms is imaged with a delayed and fast-gated camera to measure instantaneous velocities. The emission strength and lifetime in air and pure nitrogen allow

  20. Characterization of microwave plasma CVD of diamond by mass analysis and optical emission spectroscopy

    NASA Astrophysics Data System (ADS)

    Weimer, Wayne A.; Johnson, Curtis E.

    1990-12-01

    A microwave plasma enhanced chemical vapor deposition system is characterized using optical emission spectroscopy and mass spectrometry. CH4 CH2 CH4 and CO were used as carbon source gases. The effects of 02 addition to the feed gas is examined. Emission from CH in the plasma is observed and CH4 is a stable reaction product for all carbon source gases used. 02 is fully consumed and converted to H20 and CO. Emission from C is observed for all hydrocarbon gases when 02 is added but is absent when CO is the carbon source gas. Addition of 02 also dramatically affects the relative amount of reaction products as the carbon in the system is converted to CO. 1.

  1. Plasma Properties of Microwave Produced Plasma in a Toroidal Device

    NASA Astrophysics Data System (ADS)

    Singh, Ajay; Edwards, W. F.; Held, Eric

    2011-10-01

    We have modified a small tokamak, STOR-1M, on loan from University of Saskatchewan, to operate as a low-temperature (~5 eV) toroidal plasma machine with externally induced toroidal magnetic fields ranging from zero to ~50 G. The plasma is produced using microwave discharges at relatively high pressures. Microwaves are produced by a kitchen microwave-oven magnetron operating at 2.45 GHz in continuous operating mode, resulting in pulses ~0.5 s in duration. Initial measurements of plasma formation in this device with and without applied magnetic fields are presented. Plasma density and temperature profiles have been measured using Langmuir probes and the magnetic field profile inside the plasma has been obtained using Hall probes. When the discharge is created with no applied toroidal magnetic field, the plasma does not fill the entire torus due to high background pressure. However, when a toroidal magnetic field is applied, the plasma flows along the applied field, filling the torus. Increasing the applied magnetic field seems to aid plasma formation - the peak density increases and the density gradient becomes steeper. Above a threshold magnetic field, the plasma develops low-frequency density oscillations due to probable excitation of flute modes in the plasma.

  2. Continuous, real time microwave plasma element sensor

    DOEpatents

    Woskov, Paul P.; Smatlak, Donna L.; Cohn, Daniel R.; Wittle, J. Kenneth; Titus, Charles H.; Surma, Jeffrey E.

    1995-01-01

    Microwave-induced plasma for continuous, real time trace element monitoring under harsh and variable conditions. The sensor includes a source of high power microwave energy and a shorted waveguide made of a microwave conductive, refractory material communicating with the source of the microwave energy to generate a plasma. The high power waveguide is constructed to be robust in a hot, hostile environment. It includes an aperture for the passage of gases to be analyzed and a spectrometer is connected to receive light from the plasma. Provision is made for real time in situ calibration. The spectrometer disperses the light, which is then analyzed by a computer. The sensor is capable of making continuous, real time quantitative measurements of desired elements, such as the heavy metals lead and mercury.

  3. Continuous, real time microwave plasma element sensor

    DOEpatents

    Woskov, P.P.; Smatlak, D.L.; Cohn, D.R.; Wittle, J.K.; Titus, C.H.; Surma, J.E.

    1995-12-26

    Microwave-induced plasma is described for continuous, real time trace element monitoring under harsh and variable conditions. The sensor includes a source of high power microwave energy and a shorted waveguide made of a microwave conductive, refractory material communicating with the source of the microwave energy to generate a plasma. The high power waveguide is constructed to be robust in a hot, hostile environment. It includes an aperture for the passage of gases to be analyzed and a spectrometer is connected to receive light from the plasma. Provision is made for real time in situ calibration. The spectrometer disperses the light, which is then analyzed by a computer. The sensor is capable of making continuous, real time quantitative measurements of desired elements, such as the heavy metals lead and mercury. 3 figs.

  4. Plasma surface cleaning in a microwave plasma source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsai, C.C.; Nelson, W.D.; Haselton, H.H.

    1994-03-01

    A microwave electron cyclotron resonance (ECR) plasma source has been operated to produce reactive plasmas of oxygen and its mixture with argon. Aluminum samples (0.95 cm by 1.9 cm) were coated with thin films (<20 {mu}m in thickness) of Shell Vitrea oil and cleaned by using such reactive plasmas. The plasma cleaning was done in discharge conditions of microwave power up to 1300 W, radio frequency power up to 200 W, biased potential up to 400 V, gas pressures up to 5 mtorr, and operating time up to 35 min. The surface texture of the postcleaned samples has been examinedmore » visually. Mass loss of the samples after plasma cleaning was measured to estimate cleaning rates. Measured clean rates of low-pressure (0.5-mtorr) argon/oxygen plasmas were as high as 2.7 {mu}m/min. X-ray photoelectron spectroscopy (XPS) was used to determine cleanliness of the sample surfaces after plasma cleaning. The XPS study on polished samples confirmed the effectiveness of plasma cleaning in achieving atomic level of surface cleanliness. In this technical memorandum plasma properties, cleaning phenomena, and significant results are reported and discussed.« less

  5. Optical emission diagnostics of plasmas in chemical vapor deposition of single-crystal diamond

    DOE PAGES

    Hemawan, Kadek W.; Hemley, Russell J.

    2015-08-03

    Here, a key aspect of single crystal diamond growth via microwave plasma chemical vapor deposition is in-process control of the local plasma-substrate environment, that is, plasma gas phase concentrations of activated species at the plasma boundary layer near the substrate surface. Emission spectra of the plasma relative to the diamond substrate inside the microwave plasma reactor chamber have been analyzed via optical emission spectroscopy. The spectra of radical species such as CH, C 2, and H (Balmer series) important for diamond growth were found to be more depndent on operating pressure than on microwave power. Plasma gas temperatures were calculatedmore » from measurements of the C 2 Swan band (d 3Π → a 3Π transition) system. The plasma gas temperature ranges from 2800 to 3400 K depending on the spatial location of the plasma ball, microwave power and operating pressure. Addition of Ar into CH 4 + H 2 plasma input gas mixture has little influence on the Hα, Hβ, and Hγ intensities and single-crystal diamond growth rates.« less

  6. Microwave processes in the SPD-ATON stationary plasma thruster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kirdyashev, K. P., E-mail: kpk@ms.ire.rssi.ru

    2016-09-15

    Results of experimental studies of microwave processes accompanying plasma acceleration in the SPD-ATON stationary plasma thruster are presented. Specific features of the generation of microwave oscillations in both the acceleration channel and the plasma flow outgoing from the thruster are analyzed on the basis of local measurements of the spectra of the plasma wave fields. Mechanisms for generation of microwave oscillations are considered with allowance for the inhomogeneity of the electron density and magnetic field behind the edge of the acceleration channel. The effect of microwave oscillations on the electron transport and the formation of the discharge current in themore » acceleration channel is discussed.« less

  7. Influence of Plasma Unsteadiness on the Spectrum and Shape of Microwave Pulses in a Plasma Relativistic Microwave Amplifier

    NASA Astrophysics Data System (ADS)

    Kartashov, I. N.; Kuzelev, M. V.; Strelkov, P. S.; Tarakanov, V. P.

    2018-02-01

    Dependence of the shape of a microwave pulse in a plasma relativistic microwave amplifier (PRMA) on the initial plasma electron density in the system is detected experimentally. Depending on the plasma density, fast disruption of amplification, stable operation of the amplifier during the relativistic electron beam (REB) pulse, and its delayed actuation can take place. A reduction in the output signal frequency relative to the input frequency is observed experimentally. The change in the shape of the microwave signal and the reduction in its frequency are explained by a decrease in the plasma density in the system. The dynamics of the plasma density during the REB pulse is determined qualitatively from the experimental data by using the linear theory of a PRMA with a thin-wall hollow electron beam. The processes in a PRMA are analyzed by means of the KARAT particle-in-cell code. It is shown that REB injection is accompanied by an increase in the mean energy of plasma electrons and a significant decrease in their density.

  8. Investigations of microwave plasmas - Applications in electrothermal thruster systems

    NASA Technical Reports Server (NTRS)

    Haraburda, Scott S.; Hawley, Martin C.

    1989-01-01

    Experimental studies which have been conducted to develop understanding of plasma processes used for spacecraft propulsion are reviewed. The techniques discussed are calorimetry and volume measurements using the TM 011 and TM 012 modes in the microwave cavity system. The use of plasmas in electrical propulsion and microwave induction is reviewed. Plasma containment, microwave power production, energy distribution, and the pressure and flow dependence of the energy distribution are addressed. The plasma dimensions and their dependence on pressure, flow, and power are considered.

  9. Microwave and plasma-assisted modification of composite fiber surface topography

    DOEpatents

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2003-02-04

    The present invention introduces a novel method for producing an undulated surface on composite fibers using plasma technology and microwave radiation. The undulated surface improves the mechanical interlocking of the fibers to composite resins and enhances the mechanical strength and interfacial sheer strength of the composites in which they are introduced.

  10. Investigations of microwave plasmas - Applications in electrothermal thruster systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haraburda, S.S.; Hawley, M.C.

    1989-01-01

    Experimental studies which have been conducted to develop understanding of plasma processes used for spacecraft propulsion are reviewed. The techniques discussed are calorimetry and volume measurements using the TM 011 and TM 012 modes in the microwave cavity system. The use of plasmas in electrical propulsion and microwave induction is reviewed. Plasma containment, microwave power production, energy distribution, and the pressure and flow dependence of the energy distribution are addressed. The plasma dimensions and their dependence on pressure, flow, and power are considered. 10 refs.

  11. Microwave produced plasma in a Toroidal Device

    NASA Astrophysics Data System (ADS)

    Singh, A. K.; Edwards, W. F.; Held, E. D.

    2010-11-01

    A currentless toroidal plasma device exhibits a large range of interesting basic plasma physics phenomena. Such a device is not in equilibrium in a strict magneto hydrodynamic sense. There are many sources of free energy in the form of gradients in plasma density, temperature, the background magnetic field and the curvature of the magnetic field. These free energy sources excite waves and instabilities which have been the focus of studies in several devices in last two decades. A full understanding of these simple plasmas is far from complete. At Utah State University we have recently designed and installed a microwave plasma generation system on a small tokamak borrowed from the University of Saskatchewan, Saskatoon, Canada. Microwaves are generated at 2.45 GHz in a pulsed dc mode using a magnetron from a commercial kitchen microwave oven. The device is equipped with horizontal and vertical magnetic fields and a transformer to impose a toroidal electric field for current drive. Plasmas can be obtained over a wide range of pressure with and without magnetic fields. We present some preliminary measurements of plasma density and potential profiles. Measurements of plasma temperature at different operating conditions are also presented.

  12. Controlled zone microwave plasma system

    DOEpatents

    Ripley, Edward B [Knoxville, TN; Seals, Roland D [Oak Ridge, TN; Morrell, Jonathan S [Knoxville, TN

    2009-10-20

    An apparatus and method for initiating a process gas plasma. A conductive plate having a plurality of conductive fingers is positioned in a microwave applicator. An arc forms between the conductive fingers to initiate the formation of a plasma. A transport mechanism may convey process materials through the plasma. A spray port may be provided to expel processed materials.

  13. Development of a long-slot microwave plasma source.

    PubMed

    Kuwata, Y; Kasuya, T; Miyamoto, N; Wada, M

    2016-02-01

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10(9) cm(-3) to 5.8 × 10(9) cm(-3), and from 1.1 eV to 2.1 eV, respectively.

  14. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  15. Detection of chemical contraband using spectroscopic microwave imaging

    NASA Astrophysics Data System (ADS)

    Falconer, David G.; Watters, David G.

    1994-02-01

    We have developed and demonstrated a microwave technique for detecting high explosives, illegal drugs, and other chemical contraband in checked airline baggage. Our technique isolates suspicious materials using microwave tomography and identifies chemical contraband using microwave spectroscopy. Measurements in the frequency range 2 - 18 GHz indicate that microwave energy will penetrate nonmetallic suitcases and that contraband materials feature distinct dielectric spectra at these wavelengths. We have also formed microwave images of a soft-sided suitcase and its contents. After manually segmenting the microwave imagery, we successfully identified chemical simulants for both high explosives and illegal drugs.

  16. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  17. Open microwave cavity for use in a Purcell enhancement cooling scheme.

    PubMed

    Evetts, N; Martens, I; Bizzotto, D; Longuevergne, D; Hardy, W N

    2016-10-01

    A microwave cavity is described which can be used to cool lepton plasmas for potential use in synthesis of antihydrogen. The cooling scheme is an incarnation of the Purcell effect: when plasmas are coupled to a microwave cavity, the plasma cooling rate is resonantly enhanced through increased spontaneous emission of cyclotron radiation. The cavity forms a three electrode section of a Penning-Malmberg trap and has a bulged cylindrical geometry with open ends aligned with the magnetic trapping axis. This allows plasmas to be injected and removed from the cavity without the need for moving parts while maintaining high quality factors for resonant modes. The cavity includes unique surface preparations for adjusting the cavity quality factor and achieving anti-static shielding using thin layers of nichrome and colloidal graphite, respectively. Geometric design considerations for a cavity with strong cooling power and low equilibrium plasma temperatures are discussed. Cavities of this weak-bulge design will be applicable to many situations where an open geometry is required.

  18. MICROWAVE TECHNOLOGY CHEMICAL SYNTHESIS APPLICATIONS

    EPA Science Inventory

    Microwave-accelerated chemical syntheses in various solvents as well as under solvent-free conditions have witnessed an explosive growth. The technique has found widespread application predominantly exploiting the inexpensive unmodified household microwave (MW) ovens although th...

  19. Measurement of plasma decay processes in mixture of sodium and argon by coherent microwave scattering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Zhili; Shneider, Mikhail N.

    2010-03-15

    This paper presents the experimental measurement and computational model of sodium plasma decay processes in mixture of sodium and argon by using radar resonance-enhanced multiphoton ionization (REMPI), coherent microwave Rayleigh scattering of REMPI. A single laser beam resonantly ionizes the sodium atoms by means of 2+1 REMPI process. The laser beam can only generate the ionization of the sodium atoms and have negligible ionization of argon. Coherent microwave scattering in situ measures the total electron number in the laser-induced plasma. Since the sodium ions decay by recombination with electrons, microwave scattering directly measures the plasma decay processes of the sodiummore » ions. A theoretical plasma dynamic model, including REMPI of the sodium and electron avalanche ionization (EAI) of sodium and argon in the gas mixture, has been developed. It confirms that the EAI of argon is several orders of magnitude lower than the REMPI of sodium. The theoretical prediction made for the plasma decay process of sodium plasma in the mixture matches the experimental measurement.« less

  20. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  1. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  2. CHEMICAL SYNTHESIS & TRANSFORMATIONS USING MICROWAVES

    EPA Science Inventory

    A historical account of the utility of microwaves in a variety of chemical synthesis applications will be presented, including a solvent-free strategy that involves microwave (MW) exposure of neat reactants (undiluted) catalyzed by the surfaces of recyclable mineral supports such...

  3. Microwave plasma generation of arsine from hydrogen and solid arsenic

    NASA Astrophysics Data System (ADS)

    Omstead, Thomas R.; Annapragada, Ananth V.; Jensen, Klavs F.

    1990-12-01

    The generation of arsine from the reactions of hydrogen and elemental arsenic in a microwave plasma reactor is described. The arsenic is evaporated from a solid source upstream and carried into the microwave plasma region by a mixture of hydrogen and argon. Stable reaction products, arsine and diarsine are observed by molecular beam sampled mass spectroscopy along with partially hydrogenated species (e.g., AsH and AsH2). The effect of composition and flow rate of the argon/hydrogen carrier gas mixture on the amount of arsine generated is investigated. The arsine production reaches a maximum for an argon-to-hydrogen ratio of unity indicating that metastable argon species act as energy transfer intermediates in the overall reaction. The generation of arsine and diarsine from easily handled solid arsenic by this technique makes it attractive as a possible arsenic source for the growth of compound semiconductors by low-pressure metalorganic chemical vapor deposition.

  4. Microwave-enhanced chemical processes

    DOEpatents

    Varma, Ravi

    1990-01-01

    A process for disposal of toxic wastes including chlorinated hydrocarbons, comprising, establishing a bed of non-metallic particulates having a high dielectric loss factor. Effecting intimate contact of the particulates and the toxic wastes at a temperature in excess of about 400.degree. C. in the presence of microwave radiation for a time sufficient to break the hydrocarbon chlorine bonds and provide detoxification values in excess of 80 and further detoxifying the bed followed by additional disposal of toxic wastes.

  5. Low-temperature graphene synthesis using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  6. Planar controlled zone microwave plasma system

    DOEpatents

    Ripley, Edward B [Knoxville, TN; Seals, Roland D [Oak Ridge, TN; Morrell, Jonathan S [Knoxvlle, TN

    2011-10-04

    An apparatus and method for initiating a process gas plasma. A conductive plate having a plurality of conductive fingers is positioned in a microwave applicator. An arc forms between the conductive fingers to initiate the formation of a plasma. A transport mechanism may convey process materials through the plasma. A spray port may be provided to expel processed materials.

  7. Microwave Argon Plasma Torch

    DTIC Science & Technology

    2013-07-01

    31st ICPIG, July 14-19, 2013, Granada , Spain Microwave Argon plasma torch E. Benova1, M. Pencheva-Atanasova1,2, P. Marinova1, V. Marchev1, T...See also ADA594770. International Conference on Phenomena in Ionized Gases (31st) (ICPIG) Held in Granada , Spain on 14-19 July 2013, The original...18 31st ICPIG, July 14-19, 2013, Granada , Spain EEDF usually strongly differs from Maxwellian and chages along the plasma column (this is

  8. Microwave-enhanced chemical processes

    DOEpatents

    Varma, R.

    1990-06-19

    A process is disclosed for the disposal of toxic wastes including chlorinated hydrocarbons, comprising, establishing a bed of non-metallic particulates having a high dielectric loss factor. Intimate contact of the particulates and the toxic wastes at a temperature in excess of about 400 C in the presence of microwave radiation for a time sufficient breaks the hydrocarbon chlorine bonds. Detoxification values in excess of 80 are provided and further detoxification of the bed is followed by additional disposal of toxic wastes. 1 figure.

  9. Self-consistent fluid modeling and simulation on a pulsed microwave atmospheric-pressure argon plasma jet

    NASA Astrophysics Data System (ADS)

    Chen, Zhaoquan; Yin, Zhixiang; Chen, Minggong; Hong, Lingli; Xia, Guangqing; Hu, Yelin; Huang, Yourui; Liu, Minghai; Kudryavtsev, A. A.

    2014-10-01

    In present study, a pulsed lower-power microwave-driven atmospheric-pressure argon plasma jet has been introduced with the type of coaxial transmission line resonator. The plasma jet plume is with room air temperature, even can be directly touched by human body without any hot harm. In order to study ionization process of the proposed plasma jet, a self-consistent hybrid fluid model is constructed in which Maxwell's equations are solved numerically by finite-difference time-domain method and a fluid model is used to study the characteristics of argon plasma evolution. With a Guass type input power function, the spatio-temporal distributions of the electron density, the electron temperature, the electric field, and the absorbed power density have been simulated, respectively. The simulation results suggest that the peak values of the electron temperature and the electric field are synchronous with the input pulsed microwave power but the maximum quantities of the electron density and the absorbed power density are lagged to the microwave power excitation. In addition, the pulsed plasma jet excited by the local enhanced electric field of surface plasmon polaritons should be the discharge mechanism of the proposed plasma jet.

  10. Diagnosis of femtosecond plasma filament by channeling microwaves along the filament

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alshershby, Mostafa; Ren, Yu; Qin, Jiang

    2013-05-20

    We introduce a simple, fast, and non-intrusive experimental method to obtain the basic parameters of femtosecond laser-generated plasma filament. The method is based on the channeling of microwaves along both a plasma filament and a well-defined conducting wire. By comparing the detected microwaves that propagate along the plasma filament and a copper wire with known conductivity and spatial dimension, the basic parameters of the plasma filament can be easily obtained. As a result of the possibility of channeling microwave radiation along the plasma filament, we were then able to obtain the plasma density distribution along the filament length.

  11. Field emission from bias-grown diamond thin films in a microwave plasma

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Ding, Ming Q.; Auciello, Orlando

    2002-01-01

    A method of producing diamond or diamond like films in which a negative bias is established on a substrate with an electrically conductive surface in a microwave plasma chemical vapor deposition system. The atmosphere that is subjected to microwave energy includes a source of carbon, nitrogen and hydrogen. The negative bias is maintained on the substrate through both the nucleation and growth phase of the film until the film is continuous. Biases between -100V and -200 are preferred. Carbon sources may be one or more of CH.sub.4, C.sub.2 H.sub.2 other hydrocarbons and fullerenes.

  12. The low-cost microwave plasma sources for science and industry applications

    NASA Astrophysics Data System (ADS)

    Tikhonov, V. N.; Aleshin, S. N.; Ivanov, I. A.; Tikhonov, A. V.

    2017-11-01

    Microwave plasma torches proposed in the world market are built according to a scheme that can be called classical: power supply - magnetron head - microwave isolator with water load - reflected power meter - matching device - actual plasma torch - sliding short circuit. The total cost of devices from this list with a microwave generator of 3 kW in the performance, for example, of SAIREM (France), is about 17,000 €. We have changed the classical scheme of the microwave plasmathrone and optimised design of the waveguide channel. As a result, we can supply simple and reliable sources of microwave plasma (complete with our low-budget microwave generator up to 3 kW and a simple plasmathrone of atmospheric pressure) at a price from 3,000 €.

  13. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  14. [Microwave thermal remediation of soil contaminated with crude oil enhanced by granular activated carbon].

    PubMed

    Li, Da-Wei; Zhang, Yao-Bin; Quan, Xie; Zhao, Ya-Zhi

    2009-02-15

    The advantage of rapid, selective and simultaneous heating of microwave heating technology was taken to remediate the crude oil-contaminated soil rapidly and to recover the oil contaminant efficiently. The contaminated soil was processed in the microwave field with addition of granular activated carbon (GAC), which was used as strong microwave absorber to enhance microwave heating of the soil mixture to remove the oil contaminant and recover it by a condensation system. The influences of some process parameters on the removal of the oil contaminant and the oil recovery in the remediation process were investigated. The results revealed that, under the condition of 10.0% GAC, 800 W microwave power, 0.08 MPa absolute pressure and 150 mL x min(-1) carrier gas (N2) flow-rate, more than 99% oil removal could be obtained within 15 min using this microwave thermal remediation enhanced by GAC; at the same time, about 91% of the oil contaminant could be recovered without significant changes in chemical composition. In addition, the experiment results showed that GAC can be reused in enhancing microwave heating of soil without changing its enhancement efficiency obviously.

  15. Surface functionalization of microwave plasma-synthesized silica nanoparticles for enhancing the stability of dispersions

    NASA Astrophysics Data System (ADS)

    Sehlleier, Yee Hwa; Abdali, Ali; Schnurre, Sophie Marie; Wiggers, Hartmut; Schulz, Christof

    2014-08-01

    Gas phase-synthesized silica nanoparticles were functionalized with three different silane coupling agents (SCAs) including amine, amine/phosphonate and octyltriethoxy functional groups and the stability of dispersions in polar and non-polar dispersing media such as water, ethanol, methanol, chloroform, benzene, and toluene was studied. Fourier transform infrared spectroscopy showed that all three SCAs are chemically attached to the surface of silica nanoparticles. Amine-functionalized particles using steric dispersion stabilization alone showed limited stability. Thus, an additional SCA with sufficiently long hydrocarbon chains and strong positively charged phosphonate groups was introduced in order to achieve electrosteric stabilization. Steric stabilization was successful with hydrophobic octyltriethoxy-functionalized silica nanoparticles in non-polar solvents. The results from dynamic light scattering measurements showed that in dispersions of amine/phosphonate- and octyltriethoxy-functionalized silica particles are dispersed on a primary particle level. Stable dispersions were successfully prepared from initially agglomerated nanoparticles synthesized in a microwave plasma reactor by designing the surface functionalization.

  16. Plasma wave excitation by intense microwave transmission from a space vehicle

    NASA Astrophysics Data System (ADS)

    Kimura, I.; Matsumoto, H.; Kaya, N.; Miyatake, S.

    An impact of intense microwave upon the ionospheric plasma was empirically investigated by an active rocket experiment (MINIX). The rocket carried two high-power (830W) transmitters of 2.45 GHz microwave on the mother section of the rocket. The ionospheric plasma response to the intense microwave was measured by a diagnostic package installed on both mother and daughter sections. The daughter section was separated from the mother with a slow speed of 15 cm/sec. The plasma wave analyzers revealed that various plasma waves are nonlinearly excited by the microwave. Among them, the most intense are electron cyclotron waves, followed by electron plasma waves. Extremely low frequency waves (several tens of Hz) are also found. The results of the data analysis as well as comparative computer simulations are given in this paper.

  17. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  18. Experimental results of superimposing 9.9 GHz extraordinary mode microwaves on 2.45 GHz ECRIS plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nishiokada, Takuya, E-mail: nishiokada@nf.eie.eng.osaka-u.ac.jp; Nagaya, Tomoki; Hagino, Shogo

    2016-02-15

    Efficient production of multicharged ions has been investigated on the tandem-type ECRIS in Osaka University. According to the consideration of the accessibility conditions of microwaves to resonance and cutoff regions, it was suggested that the upper hybrid resonance (UHR) heating contributed to enhancement of ion beam intensity. In order to enhance multicharged ion beams efficiently, injecting higher frequency microwave with extraordinary (X-mode) toward UHR region has been tried. In this study, 2.45 GHz frequency microwaves are used for conventional ECR discharge, and 9.9 GHz frequency microwaves with X-mode are superimposed for UHR heating. The effects of additive microwave injection aremore » investigated experimentally in terms of plasma parameters and electron energy distribution function (EEDF) measured by Langmuir probe and ion beam current. As the results show, it is confirmed that the electrons in the high energy region are affected by 9.9 GHz X-mode microwave injection from the detailed analysis of EEDF.« less

  19. Experimental results of superimposing 9.9 GHz extraordinary mode microwaves on 2.45 GHz ECRIS plasma.

    PubMed

    Nishiokada, Takuya; Nagaya, Tomoki; Hagino, Shogo; Otsuka, Takuro; Muramatsu, Masayuki; Sato, Fuminobu; Kitagawa, Atsushi; Kato, Yushi

    2016-02-01

    Efficient production of multicharged ions has been investigated on the tandem-type ECRIS in Osaka University. According to the consideration of the accessibility conditions of microwaves to resonance and cutoff regions, it was suggested that the upper hybrid resonance (UHR) heating contributed to enhancement of ion beam intensity. In order to enhance multicharged ion beams efficiently, injecting higher frequency microwave with extraordinary (X-mode) toward UHR region has been tried. In this study, 2.45 GHz frequency microwaves are used for conventional ECR discharge, and 9.9 GHz frequency microwaves with X-mode are superimposed for UHR heating. The effects of additive microwave injection are investigated experimentally in terms of plasma parameters and electron energy distribution function (EEDF) measured by Langmuir probe and ion beam current. As the results show, it is confirmed that the electrons in the high energy region are affected by 9.9 GHz X-mode microwave injection from the detailed analysis of EEDF.

  20. A nonequilibrium model for a moderate pressure hydrogen microwave discharge plasma

    NASA Technical Reports Server (NTRS)

    Scott, Carl D.

    1993-01-01

    This document describes a simple nonequilibrium energy exchange and chemical reaction model to be used in a computational fluid dynamics calculation for a hydrogen plasma excited by microwaves. The model takes into account the exchange between the electrons and excited states of molecular and atomic hydrogen. Specifically, electron-translation, electron-vibration, translation-vibration, ionization, and dissociation are included. The model assumes three temperatures, translational/rotational, vibrational, and electron, each describing a Boltzmann distribution for its respective energy mode. The energy from the microwave source is coupled to the energy equation via a source term that depends on an effective electric field which must be calculated outside the present model. This electric field must be found by coupling the results of the fluid dynamics and kinetics solution with a solution to Maxwell's equations that includes the effects of the plasma permittivity. The solution to Maxwell's equations is not within the scope of this present paper.

  1. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya.

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 tomore » 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.« less

  2. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  3. Apparatus for generating quasi-free-space microwave-driven plasmas

    NASA Astrophysics Data System (ADS)

    Hoff, Brad W.; French, David M.; Reid, Remington R.; Lawrance, Julie E.; Lepell, P. David; Maestas, Sabrina S.

    2016-03-01

    An apparatus for generating quasi-free-space microwave-driven plasmas has been designed, constructed, and tested. The plasma is driven by a multi-kW, ˜5 GHz microwave beam focused at the center of a vacuum chamber using a Koch-type metal plate lens. Sustained plasma discharges have been generated in argon at pressures ranging from 150 to 200 mTorr, at beam power levels ranging from 5 to 10 kW, and at gas flow rates of approximately 200 SCCM.

  4. Apparatus for generating quasi-free-space microwave-driven plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoff, Brad W.; French, David M.; Reid, Remington R.

    An apparatus for generating quasi-free-space microwave-driven plasmas has been designed, constructed, and tested. The plasma is driven by a multi-kW, ∼5 GHz microwave beam focused at the center of a vacuum chamber using a Koch-type metal plate lens. Sustained plasma discharges have been generated in argon at pressures ranging from 150 to 200 mTorr, at beam power levels ranging from 5 to 10 kW, and at gas flow rates of approximately 200 SCCM.

  5. Apparatus for generating quasi-free-space microwave-driven plasmas.

    PubMed

    Hoff, Brad W; French, David M; Reid, Remington R; Lawrance, Julie E; Lepell, P David; Maestas, Sabrina S

    2016-03-01

    An apparatus for generating quasi-free-space microwave-driven plasmas has been designed, constructed, and tested. The plasma is driven by a multi-kW, ∼5 GHz microwave beam focused at the center of a vacuum chamber using a Koch-type metal plate lens. Sustained plasma discharges have been generated in argon at pressures ranging from 150 to 200 mTorr, at beam power levels ranging from 5 to 10 kW, and at gas flow rates of approximately 200 SCCM.

  6. Non-equilibrium Microwave Plasma for Efficient High Temperature Chemistry.

    PubMed

    van den Bekerom, Dirk; den Harder, Niek; Minea, Teofil; Gatti, Nicola; Linares, Jose Palomares; Bongers, Waldo; van de Sanden, Richard; van Rooij, Gerard

    2017-08-01

    A flowing microwave plasma based methodology for converting electric energy into internal and/or translational modes of stable molecules with the purpose of efficiently driving non-equilibrium chemistry is discussed. The advantage of a flowing plasma reactor is that continuous chemical processes can be driven with the flexibility of startup times in the seconds timescale. The plasma approach is generically suitable for conversion/activation of stable molecules such as CO2, N2 and CH4. Here the reduction of CO2 to CO is used as a model system: the complementary diagnostics illustrate how a baseline thermodynamic equilibrium conversion can be exceeded by the intrinsic non-equilibrium from high vibrational excitation. Laser (Rayleigh) scattering is used to measure the reactor temperature and Fourier Transform Infrared Spectroscopy (FTIR) to characterize in situ internal (vibrational) excitation as well as the effluent composition to monitor conversion and selectivity.

  7. Abatement of Perfluorinated Compounds Using Cylindrical Microwave Plasma Source at Low Pressure

    NASA Astrophysics Data System (ADS)

    Kim, Seong Bong; Park, S.; Park, Y.; Youn, S.; Yoo, S. J.

    2016-10-01

    Microwave plasma source with a cylindrical cavity has been proposed to abate the perfluorinated compounds (PFCs). This plasma source was designed to generate microwave plasma with the cylindrical shape and to be easily installed in existing exhaust line. The microwave frequency is 2.45 GHz and the operating pressure range is 0.1 Torr to 0.3 Torr. The plasma characteristic of the cylindrical microwave plasma source was measured using the optical spectrometer, and tunable diode laser absorption spectroscopy (TDLAS). The destruction and removal efficiency (DRE) of CF4 and CHF3 were measured by a quadrupole mass spectroscopy (QMS) with the various operation conditions. The effect of the addition of the oxygen gas were tested and also the correlation between the plasma parameters and the DRE are presented in this study. This work was supported by R&D Program of ``Plasma Advanced Technology for Agriculture and Food (Plasma Farming)'' through the National Fusion Research Institute of Korea (NFRI) funded by the Government funds.

  8. Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity

    DOEpatents

    Whealton, John H.; Hanson, Gregory R.; Storey, John M.; Raridon, Richard J.; Armfield, Jeffrey S.; Bigelow, Timothy S.; Graves, Ronald L.

    2002-01-01

    A method for non-thermal plasma aftertreatment of exhaust gases the method comprising the steps of providing short risetime, high frequency, high power bursts of low-duty factor microwaves sufficient to generate a plasma discharge and passing a gas to be treated through the discharge so as to cause dissociative reduction of the exhaust gases and enhanced catalyst reactivity through application of the pulsed microwave fields directly to the catalyst material sufficient to cause a polarizability catastrophe and enhanced heating of the metal crystallite particles of the catalyst, and in the presence or absence of the plasma. The invention also includes a reactor for aftertreatment of exhaust gases.

  9. Hydrogen production by conversion of ethanol injected into a microwave plasma

    NASA Astrophysics Data System (ADS)

    Czylkowski, Dariusz; Hrycak, Bartosz; Jasiński, Mariusz; Dors, Mirosław; Mizeraczyk, Jerzy

    2017-12-01

    Reforming of gaseous and liquid hydrocarbon compounds into hydrogen is of high interest. In this paper we present a microwave (2.45 GHz) plasma-based method for hydrogen production by conversion of ethanol (C2H5OH) in the thermal reforming process in nitrogen plasma. In contrast to our earlier investigations, in which C2H5OH vapour was supplied into the microwave plasma region either in the form of a swirl or axial flow, in this experiment we injected C2H5OH vapour directly into the nitrogen microwave plasma flame, behind the microwave plasma generation region. The experimental results were as follows. At an absorbed microwave power of 5 kW, N2 (plasma-generating gas) swirl flow rate of 2700 NL(N2)/h and C2H5OH mass flow rate of 2.7 kg(C2H5OH)/h the hydrogen production rate was 1016 NL(H2)/h, which corresponds to the energy yield of hydrogen production 203 NL(H2)/kWh. After the C2H5OH conversion the outlet gas contained 27.6% (vol.) H2, 10.2% CO, 0.2% CO2, 4.8% CH4, 4.3% C2H2, 3.7% C2H4 and 3.7% C2H6. These results are comparable to those obtained in our earlier investigations, in which different methods of C2H5OH vapour supply to the microwave plasma generation region were employed. Contribution to the Topical Issue: "Advances in Plasma Chemistry", edited by Slobodan Milošević, Nikša Krstulović, and Holger Kersten.

  10. Enhanced stability of Cu-BTC MOF via perfluorohexane plasma-enhanced chemical vapor deposition.

    PubMed

    Decoste, Jared B; Peterson, Gregory W; Smith, Martin W; Stone, Corinne A; Willis, Colin R

    2012-01-25

    Metal organic frameworks (MOFs) are a leading class of porous materials for a wide variety of applications, but many of them have been shown to be unstable toward water. Cu-BTC (1,3,5 benzenetricarboxylic acid, BTC) was treated with a plasma-enhanced chemical vapor deposition (PECVD) of perfluorohexane creating a hydrophobic form of Cu-BTC. It was found that the treated Cu-BTC could withstand high humidity and even submersion in water much better than unperturbed Cu-BTC. Through Monte Carlo simulations it was found that perfluorohexane sites itself in such a way within Cu-BTC as to prevent the formation of water clusters, hence preventing the decomposition of Cu-BTC by water. This PECVD of perfluorohexane could be exploited to widen the scope of practical applications of Cu-BTC and other MOFs. © 2012 American Chemical Society

  11. Synthesis of SiV-diamond particulates via the microwave plasma chemical deposition of ultrananocrystalline diamond on soda-lime glass fibers

    NASA Astrophysics Data System (ADS)

    Kunuku, Srinivasu; Chen, Yen-Chun; Yeh, Chien-Jui; Chang, Wen-Hao; Manoharan, Divinah; Leou, Keh-Chyang; Lin, I.-Nan

    2016-10-01

    We report the synthesis of silicon-vacancy (SiV) incorporated spherical shaped ultrananocrystalline diamond (SiV-UNCD) particulates (size ∼1 μm) with bright luminescence at 738 nm. For this purpose, different granular structured polycrystalline diamond films and particulates were synthesized by using three different kinds of growth plasma conditions on the three types of substrate materials in the microwave plasma enhanced CVD process. The grain size dependent photoluminescence properties of nitrogen vacancy (NV) and SiV color centers have been investigated for different granular structured diamond samples. The luminescence of NV center and the associated phonon sidebands, which are usually observed in microcrystalline diamond and nanocrystalline diamond films, were effectively suppressed in UNCD films and UNCD particulates. Micron sized SiV-UNCD particulates with bright SiV emission has been attained by transfer of SiV-UNCD clusters on soda-lime glass fibers to inverted pyramidal cavities fabricated on Si substrates by the simple crushing of UNCD/soda-lime glass fibers in deionized water and ultrasonication. Such a plasma enhanced CVD process for synthesizing SiV-UNCD particulates with suppressed NV emission is simple and robust to attain the bright SiV-UNCD particulates to employ in practical applications.

  12. Noise characteristics of a plasma relativistic microwave amplifier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Strelkov, P. S., E-mail: strelkov@fpl.gpi.ru; Ivanov, I. E.; Shumeiko, D. V.

    2016-07-15

    Reasons for the occurrence of microwave noise at the output of a plasma relativistic amplifier have been analyzed. It is found that, in the absence of an input signal, the emission spectrum of the plasma relativistic microwave amplifier is similar to that of an electron beam in vacuum. It is concluded that microwave noise at the output of the amplifier appears as a result of amplification of the intrinsic noise of the electron beam. The emission characteristics of a relativistic electron beam formed in a magnetically insulated diode with an explosive emission cathode in vacuum have been studied experimentally formore » the first time. An important point is that, in this case, there is no virtual cathode in the drift space.« less

  13. Spectroscopic studies of microwave plasmas containing hexamethyldisiloxane

    NASA Astrophysics Data System (ADS)

    Nave, A. S. C.; Mitschker, F.; Awakowicz, P.; Röpcke, J.

    2016-10-01

    Low-pressure microwave discharges containing hexamethyldisiloxane (HMDSO) with admixtures of oxygen and nitrogen, used for the deposition of silicon containing films, have been studied spectroscopically. Optical emission spectroscopy (OES) in the visible spectral range has been combined with infrared laser absorption spectroscopy (IRLAS). The experiments were carried out in order to analyze the dependence of plasma chemical phenomena on power and gas mixture at relatively low pressures, up to 50 Pa, and power values, up to 2 kW. The evolution of the concentration of the methyl radical, CH3, and of seven stable molecules, HMDSO, CH4, C2H2, C2H4, C2H6, CO and CO2, was monitored in the plasma processes by in situ IRLAS using tunable lead salt diode lasers (TDL) and external-cavity quantum cascade lasers (EC-QCL) as radiation sources. To achieve reliable values for the gas temperature inside and outside the plasma bulk as well as for the temperature in the plasma hot and colder zones, which are of great importance for calculation of species concentrations, three different methods based on emission and absorption spectroscopy data of N2, CH3 and CO have been used. In this approach line profile analysis has been combined with spectral simulation methods. The concentrations of the various species, which were found to be in the range between 1011 to 1015 cm-3, are in the focus of interest. The influence of the discharge parameters power, pressure and gas mixture on the molecular concentrations has been studied. To achieve further insight into general plasma chemical aspects the dissociation of the HMDSO precursor gas including its fragmentation and conversion to the reaction products was analyzed in detail.

  14. Characterization of microwave plasma in a multicusp using 2D emission based tomography: Bessel modes and wave absorption

    NASA Astrophysics Data System (ADS)

    Rathore, Kavita; Bhattacharjee, Sudeep; Munshi, Prabhat

    2017-06-01

    A tomographic method based on the Fourier transform is used for characterizing a microwave plasma in a multicusp (MC), in order to obtain 2D distribution of plasma emissions, plasma (electron) density (Ne) and temperature (Te). The microwave plasma in the MC is characterized as a function of microwave power, gas pressure, and axial distance. The experimentally obtained 2D emission profiles show that the plasma emissions are generated in a circular ring shape. There are usually two bright rings, one at the plasma core and another near the boundary. The experimental results are validated using a numerical code that solves Maxwell's equations inside a waveguide filled with a plasma in a magnetic field, with collisions included. It is inferred that the dark and bright circular ring patterns are a result of superposition of Bessel modes (TE11 and TE21) of the wave electric field inside the plasma filled MC, which are in reasonable agreement with the plasma emission profiles. The tomographically obtained Ne and Te profiles indicate higher densities in the plasma core (˜1010 cm-3) and enhanced electron temperature in the ECR region (˜13 eV), which are in agreement with earlier results using a Langmuir probe and optical emission spectroscopy (OES) diagnostics.

  15. Microwave plasma torches used for hydrogen production

    NASA Astrophysics Data System (ADS)

    Dias, F. M.; Bundaleska, N.; Henriques, J.; Tatarova, E.; Ferreira, C. M.

    2014-06-01

    A microwave plasma torch operating at 2.45 GHz and atmospheric pressure has been used as a medium and a tool for decomposition of alcohol in order to produce molecular hydrogen. Plasma in a gas mixture of argon and ethanol/methanol, with or without water, has been created using a waveguide surfatron launcher and a microwave generator delivering a power in the range 0.2-2.0 kW. Mass, Fourier Transform Infrared, and optical emission spectrometry have been applied as diagnostic tools. The decomposition yield of methanol was nearly 100 % with H2, CO, CO2, H2O, and solid carbon as the main reaction products. The influence of the fraction of Ar flow through the liquid ethanol/methanol on H2, CO, and CO2 partial pressures has been investigated, as well as the dependence of the produced H2 flow on the total flow and power. The optical emission spectrum in the range 250-700 nm has also been detected. There is a decrease of the OH(A-X) band intensity with the increase of methanol in the mixture. The emission of carbon atoms in the near UV range (240-300 nm) exhibits a significant increase as the amount of alcohol in the mixture grows. The obtained results clearly show that this microwave plasma torch at atmospheric pressure provides an efficient plasma environment for hydrogen production.

  16. Development of a miniature microwave electron cyclotron resonance plasma ion thruster for exospheric micro-propulsion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dey, Indranuj, E-mail: indranuj@aees.kyushu-u.ac.jp; Toyoda, Yuji; Yamamoto, Naoji

    A miniature microwave electron cyclotron resonance plasma source [(discharge diameter)/(microwave cutoff diameter) < 0.3] has been developed at Kyushu University to be used as an ion thruster in micro-propulsion applications in the exosphere. The discharge source uses both radial and axial magnetostatic field confinement to facilitate electron cyclotron resonance and increase the electron dwell time in the volume, thereby enhancing plasma production efficiency. Performance of the ion thruster is studied at 3 microwave frequencies (1.2 GHz, 1.6 GHz, and 2.45 GHz), for low input powers (<15 W) and small xenon mass flow rates (<40 μg/s), by experimentally measuring the extractedmore » ion beam current through a potential difference of ≅1200 V. The discharge geometry is found to operate most efficiently at an input microwave frequency of 1.6 GHz. At this frequency, for an input power of 8 W, and propellant (xenon) mass flow rate of 21 μg/s, 13.7 mA of ion beam current is obtained, equivalent to an calculated thrust of 0.74 mN.« less

  17. Temporal and spatial evolution of nanosecond microwave-driven plasma

    NASA Astrophysics Data System (ADS)

    Chang, C.; Chen, X. Q.; Zhu, M.; Pu, Y. K.

    2018-06-01

    In this paper, a method for simultaneously acquiring the temporal and spatial evolution of characteristic plasma spectra in a single microwave pulse is proposed and studied. By using multi-sub-beam fiber bundles coupled with a spectrometer and EMICCD (Electron-multiplying intensified charge-coupled device), the spatial distribution and time evolution of characteristic spectra of desorbed gases at the dielectric/vacuum interface during nanosecond microwave-driven plasma discharge are observed. Arrays of small align tubes punctured with metal walls of feed horn are filled with separate fibers of matched sizes and equal lengths. The output ends of fibers arranged in a single longitudinal column are connected to the entrance slit of a spectrometer, where the optical spectrum inputs to a high-speed EMICCD, to detect the rapid-varying time and space spectra of nanosecond giga-watt microwave discharges. The evolution of spectral clusters of N2 (C-B), N2+ (B-X), and the hydrogen atoms is discovered and monitored. The whole duration of light emission is much longer than the microwave pulse, and the intensities of ion N2+ (B-X) spectra increase after microwave pulses with rise times of 25-50 ns. The brightness distribution of plasma spectra in different space is observed and approximately consistent with the simulated E-field distribution.

  18. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  19. Microwave absorbing properties and enhanced infrared reflectance of Fe/Cu composites prepared by chemical plating

    NASA Astrophysics Data System (ADS)

    Li, Xiaoguang; Ji, Guangbin; Lv, Hualiang; Wang, Min; Du, Youwei

    2014-04-01

    Fe/Cu composite samples with Cu particles depositing on carbonyl iron sheets were prepared by chemical plating. Cu additions were uniformly distributed on the grain boundaries of the flaky carbonyl iron while keeping the internal structure of iron. Meanwhile, we found that the chemical plating time made a key point on both the microwave absorbing properties and infrared emissivity. With the growth of chemical plating time, the value of reflection loss gives a linear decrease and the infrared emissivity is reduced with a tendency of index reduction. When the plating time is less than 30 min, the reflection loss of the samples maintains above -20 GHz, moreover, prolonging the plating time more than 30 min, the infrared emissivity of the samples is reduced to 0.50 or less. It can be concluded that both the microwave absorbing and infrared properties are excellent at the optimal plating time of 30 min.

  20. Microwave meta-atom enhanced spintronic rectification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gou, Peng; Xi, Fuchun; Qian, Qinbai

    2015-04-06

    An artificial meta-atom (MA), or alternatively, a plasmonic antenna, has been demonstrated to significantly enhance the microwave spin rectifying photovoltage by more than two orders in magnitude (∼280) in the ferromagnetic resonance regime. The large enhancement is attributed to the unique structure of the MA which magnifies both microwave electric (∼5) and magnetic (∼56) fields in the same near-field spatial region. Our work develops the interdisciplinary direction with artificial and natural magnetism and may find promising applications in high-frequency or opto-spintronic devices and wireless microwave energy harvesting.

  1. Microwave Plasma Hydrogen Recovery System

    NASA Technical Reports Server (NTRS)

    Atwater, James; Wheeler, Richard, Jr.; Dahl, Roger; Hadley, Neal

    2010-01-01

    A microwave plasma reactor was developed for the recovery of hydrogen contained within waste methane produced by Carbon Dioxide Reduction Assembly (CRA), which reclaims oxygen from CO2. Since half of the H2 reductant used by the CRA is lost as CH4, the ability to reclaim this valuable resource will simplify supply logistics for longterm manned missions. Microwave plasmas provide an extreme thermal environment within a very small and precisely controlled region of space, resulting in very high energy densities at low overall power, and thus can drive high-temperature reactions using equipment that is smaller, lighter, and less power-consuming than traditional fixed-bed and fluidized-bed catalytic reactors. The high energy density provides an economical means to conduct endothermic reactions that become thermodynamically favorable only at very high temperatures. Microwave plasma methods were developed for the effective recovery of H2 using two primary reaction schemes: (1) methane pyrolysis to H2 and solid-phase carbon, and (2) methane oligomerization to H2 and acetylene. While the carbon problem is substantially reduced using plasma methods, it is not completely eliminated. For this reason, advanced methods were developed to promote CH4 oligomerization, which recovers a maximum of 75 percent of the H2 content of methane in a single reactor pass, and virtually eliminates the carbon problem. These methods were embodied in a prototype H2 recovery system capable of sustained high-efficiency operation. NASA can incorporate the innovation into flight hardware systems for deployment in support of future long-duration exploration objectives such as a Space Station retrofit, Lunar outpost, Mars transit, or Mars base. The primary application will be for the recovery of hydrogen lost in the Sabatier process for CO2 reduction to produce water in Exploration Life Support systems. Secondarily, this process may also be used in conjunction with a Sabatier reactor employed to

  2. Microwave-enhanced pyrolysis of natural algae from water blooms.

    PubMed

    Zhang, Rui; Li, Linling; Tong, Dongmei; Hu, Changwei

    2016-07-01

    Microwave-enhanced pyrolysis (MEP) of natural algae under different reaction conditions was carried out. The optimal conditions for bio-oil production were the following: algae particle size of 20-5 mesh, microwave power of 600W, and 10% of activated carbon as microwave absorber and catalyst. The maximum liquid yield obtained under N2, 10% H2/Ar, and CO2 atmosphere was 49.1%, 51.7%, and 54.3% respectively. The energy yield of bio-products was 216.7%, 236.9% and 208.7% respectively. More long chain fatty acids were converted into hydrocarbons by hydrodeoxygenation under 10% H2/Ar atmosphere assisted by microwave over activated carbon containing small amounts of metals. Under CO2 atmosphere, carboxylic acids (66.6%) were the main products in bio-oil because the existence of CO2 vastly inhibited the decarboxylation. The MEP of algae was quick and efficient for bio-oil production, which provided a way to not only ameliorate the environment but also obtain fuel or chemicals at the same time. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Gas mixing enhanced by power modulations in atmospheric pressure microwave plasma jet

    NASA Astrophysics Data System (ADS)

    Voráč, J.; Potočňáková, L.; Synek, P.; Hnilica, J.; Kudrle, V.

    2016-04-01

    Microwave plasma jet operating in atmospheric pressure argon was power modulated by audio frequency sine envelope in the 102 W power range. Its effluent was imaged using interference filters and ICCD camera for several different phases of the modulating signal. The combination of this fast imaging with spatially resolved optical emission spectroscopy provides useful insights into the plasmachemical processes involved. Phase-resolved schlieren photography was performed to visualize the gas dynamics. The results show that for higher modulation frequencies the plasma chemistry is strongly influenced by formation of transient flow perturbation resembling a vortex during each period. The perturbation formation and speed are strongly influenced by the frequency and power variations while they depend only weakly on the working gas flow rate. From application point of view, the perturbation presence significantly broadened lateral distribution of active species, effectively increasing cross-sectional area suitable for applications.

  4. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  5. Multi-Frequency Microwaves Plasma Production for Active Profile Control of Ion Beams on a Large Bore ECR Ion Source with Permanent Magnets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakamoto, Naoki; Kato, Yushi; Kiriyama, Ryutaro

    2011-01-07

    A new concept on magnetic field of plasma production and confinement by using permanent magnets, i.e. cylindrically comb-shaped magnets, has been proposed to enhance efficiency of an electron cyclotron resonance (ECR) plasma for broad and dense ion beam source under the low pressure and also the low microwave power. The resonance zones corresponding to the fundamental ECR for 2.45 GHz and 11-13 GHz frequency are constructed at different positions. The profiles of the plasma parameters in the ECR ion source are different from each frequency of microwave. Large bore extractor is set at the opposite side against the microwave feeds.more » It is found that differences of their profiles also appear at those of ion beam profiles. We conducted to launch simultaneously multiplex frequency microwaves controlled individually, and tried to control the profiles of the plasma parameters and then those of extracted ion beam.« less

  6. Effectiveness of plasma and radical control for the low temperature synthesis and properties of a-SiNx:H films using RF-near microwave PECVD

    NASA Astrophysics Data System (ADS)

    Sahu, Bibhuti Bhusan; Toyoda, Hirotaka; Han, Jeon Geon

    2018-02-01

    By mixing and alternating power conditions of radio frequency and microwave plasma sources, a detailed study of a-SiNx:H films in the SiH4/N2 plasma enhanced chemical vapour deposition processes is undertaken. Data reveal a remarkable coherence between the deposition conditions, material's quality, bond densities, optical property, and stoichiometry of the films. The film composition can simply vary from Si-rich to N-rich by incorporating suitable plasma and atomic radical parameters. Highly transparent and wide bandgap films with N to Si and N to H atomic ratios up to ˜2.3 and 3.1, respectively, are prepared by controlling the plasma parameters and radicals. The presented results pave the way for dual frequency PECVD utilization in a-SiNx:H films for their use in controlled-bandgap nanodevices and light emitting applications.

  7. Development of a tactical high-power microwave source using the Plasma Electron Microwave Source (PEMS) concept

    NASA Astrophysics Data System (ADS)

    Dandl, R. A.; Guest, G. E.; Jory, H. R.

    1990-12-01

    The AMPHED facility was used to perform feasibility experiments to explore the generation of high-power microwave pulses from energy stored in a magnetic mirror plasma. The facility uses an open-ended magnetic mirror driven by pulsed or cw c- and x-band sources. Microwave horns were constructed to couple in the frequency range of 2.4 to 4 GHz to whistler waves in the plasma. Spontaneous bursts of microwave radiation in the range of 3 to 5 GHz were observed in the experiments. But the power levels were lower than expected for the whistler wave interaction. It is probable that the hot-electron energy densities achieved were not high enough to approach the threshold of the desired interaction.

  8. Fabrication and characterization of a planar gradient-index, plasma-enhanced chemical vapor deposition lens.

    PubMed

    Beltrami, D R; Love, J D; Durandet, A; Samo, A; Cogswell, C J

    1997-10-01

    A thin, one-dimensional, gradient-index slab lens with a parabolic profile was designed and fabricated in fluorine-doped silica by use of plasma-enhanced chemical vapor deposition in a Helicon plasma reactor. The refractive-index profile of the fabricated lens was determined by the application of an inversion technique to the values of modal effective index measured with a prism coupler. The periodic refocusing property of the lens and the independence of the wavelength were measured with the fluorescence of a specially doped, thin polymer layer spin-coated onto the surface of the lens.

  9. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  10. Compact microwave imaging system to measure spatial distribution of plasma density

    NASA Astrophysics Data System (ADS)

    Ito, H.; Oba, R.; Yugami, N.; Nishida, Y.

    2004-10-01

    We have developed an advanced microwave interferometric system operating in the K band (18-27 GHz) with the use of a fan-shaped microwave based on a heterodyne detection system for measuring the spatial distribution of the plasma density. In order to make a simple, low-cost, and compact microwave interferometer with better spatial resolution, a microwave scattering technique by a microstrip antenna array is employed. Experimental results show that the imaging system with the microstrip antenna array can have finer spatial resolution than one with the diode antenna array and reconstruct a good spatially resolved image of the finite size dielectric phantoms placed between the horn antenna and the micro strip antenna array. The precise two-dimensional electron density distribution of the cylindrical plasma produced by an electron cyclotron resonance has been observed. As a result, the present imaging system is more suitable for a two- or three-dimensional display of the objects or stationary plasmas and it is possible to realize a compact microwave imaging system.

  11. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  12. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Microwave generation in an optical breakdown plasma created by modulated laser radiation

    NASA Astrophysics Data System (ADS)

    Antipov, A. A.; Grasyuk, Arkadii Z.; Losev, Leonid L.; Soskov, V. I.

    1990-06-01

    It was established that when laser radiation, intensity modulated at a frequency of 2.2 GHz, interacted with an optical breakdown plasma which it had created, a microwave component appeared in the thermal emf of the plasma. The amplitude of the microwave thermal emf reached 0.7 V for a laser radiation intensity of 6 GW/cm2. Laser radiation with λL = 1.06 μm was converted to the microwave range with λmω = 13 cm in the optical breakdown plasma. A microwave signal power of ~ 0.5 W was obtained from a laser power of ~ 5 MW.

  13. Miniature microwave plasmas generated in high pressure argon

    NASA Astrophysics Data System (ADS)

    Inoue, Kenichi; Stauss, Sven; Kim, Jaeho; Ito, Tsuyohito; Terashima, Kazuo

    2018-05-01

    Miniature microwave plasmas with diameters of approximately 1 mm were generated in high-pressure argon (0.1–5.0 MPa) using a microgap electrode. The microwave power required to sustain plasmas was 1–10 W, depending on the pressure. Strong electron-neutral bremsstrahlung emission, indicating an electron temperature of approximately 12000 K, was observed at high pressures (>1 MPa), and electron densities estimated from Stark broadening revealed high values on the order of 1023 m‑3. The analysis confirmed that the coefficient for the pressure shift of the Ar I line at 696.5 nm reported by Copley and Camm can be extended to 5 MPa.

  14. Sharpening of carbon nanocone tips during plasma-enhanced chemical vapor growth

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-12-01

    In situ tip sharpening of vertically aligned carbon nanocones (VACNCs) was demonstrated. VACNCs were synthesized on patterned catalyst dots of 100 nm in diameter using dc plasma-enhanced chemical vapor deposition. The VACNC tip diameter was found to decrease with growth time. This enables synthesis of ultra-sharp VACNCs even for relatively large catalyst dot sizes, which is quite important for practical applications. We also find that for a given set of growth parameters the diameter of the initially formed catalyst nanoparticle determines the maximum length of the growing VACNC. The mechanism of VACNC growth and sharpening is discussed.

  15. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  16. Enhancing anaerobic digestibility and phosphorus recovery of dairy manure through microwave-based thermochemical pretreatment.

    PubMed

    Jin, Ying; Hu, Zhenhu; Wen, Zhiyou

    2009-08-01

    Anaerobic digestion and struvite precipitation are two effective ways of treating dairy manure for recovering biogas and phosphorus. Anaerobic digestion of dairy manure is commonly limited by slow fiber degradation, while struvite precipitation is limited by the availability of orthophosphate. The aim of this work is to study the possibility of using microwave-based thermochemical pretreatment to simultaneously enhance manure anaerobic digestibility (through fiber degradation) and struvite precipitation (through phosphorus solubilization). Microwave heating combined with different chemicals (NaOH, CaO, H(2)SO(4), or HCl) enhanced solubilization of manure and degradation of glucan/xylan in dairy manure. However, sulfuric acid-based pretreatment resulted in a low anaerobic digestibility, probably due to the sulfur inhibition and Maillard side reaction. The pretreatments released 20-40% soluble phosphorus and 9-14% ammonium. However, CaO-based pretreatment resulted in lower orthophosphate releases and struvite precipitation efficiency as calcium interferes with phosphate to form calcium phosphate. Collectively, microwave heating combined with NaOH or HCl led to a high anaerobic digestibility and phosphorus recovery. Using these two chemicals, the performance of microwave- and conventional-heating in thermochemical pretreatment was further compared. The microwave heating resulted in a better performance in terms of COD solubilization, glucan/xylan reduction, phosphorus solubilization and anaerobic digestibility. Lastly, temperature and heating time used in microwave treatment were optimized. The optimal values of temperature and heating time were 147 degrees C and 25.3 min for methane production, and 135 degrees C and 26 min for orthophosphate release, respectively.

  17. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  18. Short-pulse excitation of microwave plasma for efficient diamond growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamada, Hideaki, E-mail: yamada-diamond@aist.go.jp; Chayahara, Akiyoshi; Mokuno, Yoshiaki

    To realize a variety of potential applications of diamonds, particularly in the area of power electronics, it is indispensable to improve their growth efficiency. Most conventional approaches have tried to achieve this simply by increasing the gas temperature; however, this makes it difficult to grow large diamond crystals. To improve the growth efficiency while lowering the gas temperature, we propose that using a pulse-modulated microwave plasma with a sub-millisecond pulse width can enhance the power efficiency of the growth rate of single-crystal diamonds. We found that using a sub-millisecond pulse-mode discharge could almost double the growth rate obtained using continuousmore » mode discharge for a fixed average microwave power and gas pressure. A comparison between experimental observations of the optical emission spectra of the discharge and a numerical simulation of the gas temperature suggests that a decrease in the gas temperature was achieved, and highlights the importance of electron-dominated reactions for obtaining the enhancement of the growth rate. This result will have a large impact in the area of diamond growth because it enables diamond growth to be more power efficient at reduced temperatures.« less

  19. Physical Foundations of Plasma Microwave Sources Based on Anomalous Doppler Effect

    DTIC Science & Technology

    2007-09-17

    International Science and Technology Center ( ISTC ), Moscow. ISTC Project A-1512p Physical Foundations of Plasma Microwave Sources Based on Anomalous...07 – 31-Aug-07 5a. CONTRACT NUMBER ISTC Registration No: A-1512p 5b. GRANT NUMBER 4. TITLE AND SUBTITLE Physical foundations of plasma microwave... ISTC 05-7008 12. DISTRIBUTION/AVAILABILITY STATEMENT Approved for public release; distribution is unlimited. 13. SUPPLEMENTARY NOTES

  20. Thermo-Chemical Conversion of Microwave Activated Biomass Mixtures

    NASA Astrophysics Data System (ADS)

    Barmina, I.; Kolmickovs, A.; Valdmanis, R.; Vostrikovs, S.; Zake, M.

    2018-05-01

    Thermo-chemical conversion of microwave activated wheat straw mixtures with wood or peat pellets is studied experimentally with the aim to provide more effective application of wheat straw for heat energy production. Microwave pre-processing of straw pellets is used to provide a partial decomposition of the main constituents of straw and to activate the thermo-chemical conversion of wheat straw mixtures with wood or peat pellets. The experimental study includes complex measurements of the elemental composition of biomass pellets (wheat straw, wood, peat), DTG analysis of their thermal degradation, FTIR analysis of the composition of combustible volatiles entering the combustor, the flame temperature, the heat output of the device and composition of the products by comparing these characteristics for mixtures with unprocessed and mw pre-treated straw pellets. The results of experimental study confirm that mw pre-processing of straw activates the thermal decomposition of mixtures providing enhanced formation of combustible volatiles. This leads to improvement of the combustion conditions in the flame reaction zone, completing thus the combustion of volatiles, increasing the flame temperature, the heat output from the device, the produced heat energy per mass of burned mixture and decreasing at the same time the mass fraction of unburned volatiles in the products.

  1. Enhanced Microwave Hyperthermia of Cancer Cells with Fullerene.

    PubMed

    Sun, Mingrui; Kiourti, Asimina; Wang, Hai; Zhao, Shuting; Zhao, Gang; Lu, Xiongbin; Volakis, John L; He, Xiaoming

    2016-07-05

    Hyperthermia generated with various energy sources including microwave has been widely studied for cancer treatment. However, the potential damage due to nontargeted heating of normal tissue is a major hurdle to its widespread application. Fullerene is a potential agent for improving cancer therapy with microwave hyperthermia but is limited by its poor solubility in water for biomedical applications. Here we report a combination therapy for enhanced cancer cell destruction by combining microwave heating with C60-PCNPs consisting of fullerene (C60) encapsulated in Pluronic F127-chitosan nanoparticles (PCNPs) with high water solubility. A cell culture dish integrated with an antenna was fabricated to generate microwave (2.7 GHz) for heating PC-3 human prostate cancer cells either with or without the C60-PCNPs. The cell viability data show that the C60-PCNPs alone have minimal cytotoxicity. The combination of microwave heating and C60-PCNPs is significantly more effective than the microwave heating alone in killing the cancer cells (7.5 versus 42.2% cell survival). Moreover, the combination of microwave heating and C60-PCNPs is significantly more destructive to the cancer cells than the combination of simple water-bath heating (with a similar thermal history to microwave heating) and C60-PCNPs (7.5 versus 32.5% survival) because the C60 in the many nanoparticles taken up by the cells can absorb the microwave energy and convert it into heat to enhance heating inside the cells under microwave irradiation. These data suggest the great potential of targeted heating via fullerene for enhanced cancer treatment by microwave hyperthermia.

  2. Synthesis of N-graphene using microwave plasma-based methods

    NASA Astrophysics Data System (ADS)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  3. Preliminary investigation of high power microwave plasmas for electrothermal thruster use

    NASA Technical Reports Server (NTRS)

    Power, John L.; Sullivan, Daniel J.

    1993-01-01

    Results are reported from preliminary tests to evaluate the high power microwave electrothermal thruster (MET) concept, which employs a free-floating plasma discharge maintained by applied CW microwave power to heat a propellant gas flow. Stable plasmas have been created and maintained in helium (He), nitrogen (N2), and hydrogen (H2) as propellants in both the TM(sub 011) and TM(sub 012) modes at discharge pressures from 10 Pa to 69 kPa. Reproducible starting conditions of pressure and power have been documented for all the plasmas. Vortical inflow of the propellant gas was observed to cause the formation of on-axis 'spike' plasmas. The formation and unformation conditions of these plasmas were studied. Operation in the spike plasma condition enables maximum power absorption with minimum wall heating and offers maximum efficiency in heating the propellant gas. In the spike condition, plasmas of the three propellant gases were investigated in an open channel configuration to a maximum applied power level of 11.2 kW (in N2). Microwave power coupling efficiencies of over 90 percent were routinely obtained at absorbed power levels up to 2 kW. Magnetic nozzle effects were investigated with a superconducting solenoid Al magnet applying a high magnetic field to the plasmas in and exiting from the discharge tube.

  4. Use of statistical design of experiments for surface modification of Kapton films by CF4sbnd O2 microwave plasma treatment

    NASA Astrophysics Data System (ADS)

    Grandoni, Andrea; Mannini, Giacomo; Glisenti, Antonella; Manariti, Antonella; Galli, Giancarlo

    2017-10-01

    A statistical design of experiments (DoE) was used to evaluate the effects of CF4sbnd O2 plasma on Kapton films in which the duration of treatment, volume ratio of plasma gases, and microwave power were selected as effective experimental factors for systematic investigation of surface modification. Static water contact angle (θW), polar component of surface free energy (γSp) and surface O/C atomic ratio were analyzed as response variables. A significant enhancement in wettability and polarity of the treated films compared to untreated Kapton films was observed; depending on the experimental conditions, θW very significantly decreased, showing full wettability, and γSp rose dramatically, up to ten times. Within the DoE the conditions of plasma treatment were identified that resulted in selected optimal values of θW, γSp and O/C responses. Surface chemical changes were detected by XPS and ATR-IR investigations that evidenced both the introduction of fluorinated groups and the opening of the imide ring in the plasma-treated films.

  5. Overdense microwave plasma heating in the CNT stellarator

    NASA Astrophysics Data System (ADS)

    Hammond, K. C.; Diaz-Pacheco, R. R.; Köhn, A.; Volpe, F. A.; Wei, Y.

    2018-02-01

    Overdense plasmas have been attained with 2.45 GHz microwave heating in the low-field, low-aspect-ratio CNT stellarator. Densities higher than four times the ordinary (O) mode cutoff density were measured with 8 kW of power injected in the O-mode and, alternatively, with 6.5 kW in the extraordinary (X) mode. The temperature profiles peak at the plasma edge. This was ascribed to collisional damping of the X-mode at the upper hybrid resonant layer. The X-mode reaches that location by tunneling, mode-conversions or after polarization-scrambling reflections off the wall and in-vessel coils, regardless of the initial launch being in O- or X-mode. This interpretation was confirmed by full-wave numerical simulations. Also, as the CNT plasma is not completely ionized at these low microwave power levels, electron density was shown to increase with power. A dependence on magnetic field strength was also observed, for O-mode launch.

  6. A review of research and development on the microwave-plasma electrothermal rocket

    NASA Technical Reports Server (NTRS)

    Hawley, Martin C.; Asmussen, Jes; Filpus, John W.; Frasch, Lydell L.; Whitehair, Stanley; Morin, T. J.; Chapman, R.

    1987-01-01

    The microwave-plasma electrothermal rocket (MWPETR) shows promise for spacecraft propulsion and maneuvering, without some of the drawbacks of competitive electric propulsion systems. In the MWPETR, the electric power is first converted to microwave-frequency radiation. In a specially-designed microwave cavity system, the electromagnetic energy of the radiation is transferred to the electrons in a plasma sustained in the working fluid. The resulting high-energy electrons transfer their energy to the atoms and molecules of the working fluid by collisions. The working fluid, thus heated, expands through a nozzle to generate thrust. In the MWPETR, no electrodes are in contact with the working fluid, the energy is transferred into the working fluid by nonthermal mechanisms, and the main requirement for the materials of construction is that the walls of the plasma chamber be insulating and transparent to microwave radiation at operating conditions. In this survey of work on the MWPETR, several experimental configurations are described and compared. Diagnostic methods used in the study are described and compared, including titration, spectroscopy, calorimetry, electric field measurements, gas-dynamic methods, and thrust measurements. Measured and estimated performance efficiencies are reported. Results of computer modeling of the plasma and of the gas flowing from the plasma are summarized.

  7. Liquid fuel reforming using microwave plasma at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Miotk, Robert; Hrycak, Bartosz; Czylkowski, Dariusz; Dors, Miroslaw; Jasinski, Mariusz; Mizeraczyk, Jerzy

    2016-06-01

    Hydrogen is expected to be one of the most promising energy carriers. Due to the growing interest in hydrogen production technologies, in this paper we present the results of experimental investigations of thermal decomposition and dry reforming of two alcohols (ethanol and isopropanol) in the waveguide-supplied metal-cylinder-based nozzleless microwave (915 MHz) plasma source (MPS). The hydrogen production experiments were preceded by electrodynamics properties investigations of the used MPS and plasma spectroscopic diagnostics. All experimental tests were performed with the working gas (nitrogen or carbon dioxide) flow rate ranging from 1200 to 3900 normal litres per hour and an absorbed microwave power up to 5 kW. The alcohols were introduced into the plasma using an induction heating vaporizer. The ethanol thermal decomposition resulted in hydrogen selectivity up to 100%. The hydrogen production rate was up to 1150 NL(H2) h-1 and the energy yield was 267 NL(H2) kWh-1 of absorbed microwave energy. Due to intense soot production, the thermal decomposition process was not appropriate for isopropanol conversion. Considering the dry reforming process, using isopropanol was more efficient in hydrogen production than ethanol. The rate and energy yield of hydrogen production were up to 1116 NL(H2) h-1 and 223 NL(H2) kWh-1 of microwave energy used, respectively. However, the hydrogen selectivity was no greater than 37%. Selected results given by the experiment were compared with the results of numerical modeling.

  8. Adaption of a microwave plasma source for low temperature diamond deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulczynski, M.; Reinhard, D.K.; Asmussen, J.

    1996-12-31

    This report describes the adaption of a microwave plasma reactor for low temperature diamond deposition. The reactor is of a resonant cavity design. Three approaches have been taken to establish plasma conditions for diamond deposition on substrates which are in the range of 450 C to 550 C. In the first, the substrate is heated only by the plasma and the source is operated at pressures on the order of 10 torr, such that the volumetric power density is sufficiently low to achieve these temperatures. In the second, the plasma pressure and microwave input power were reduced and a substratemore » heater was used to maintain the desired deposition temperatures. In the third approach, the plasma pressure and microwave power were increased and a substrate cooler was used to keep the substrate temperature in the desired range. Reactor performance and deposition results will be described for the three configurations. For the plasma heated substrate assembly, substrate dimensions were up to 10 cm diameter. For the heated and cooled substrate assemblies, substrate dimensions were up to 7.5 cm diameter. Deposition results on a variety of substrates will be reported including low-temperature substrates such as borosilicate glass.« less

  9. Chemical vapor infiltration using microwave energy

    DOEpatents

    Devlin, David J.; Currier, Robert P.; Laia, Jr., Joseph R.; Barbero, Robert S.

    1993-01-01

    A method for producing reinforced ceramic composite articles by means of chemical vapor infiltration and deposition in which an inverted temperature gradient is utilized. Microwave energy is the source of heat for the process.

  10. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  11. Transport properties of plasmas in microwave electrothermal thrusters. Master's thesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haraburda, S.S.

    1990-01-01

    The microwave electrothermal thruster is a potential propulsion system for spacecraft applications such as platform station keeping. It is a thruster which allows no contact between the electrodes and the propellant. For this thruster, the electromagnetic energy is transferred to the electrons in the plasma region of the propellant using the TM011 and TM012 modes of a microwave cavity system. The collisional processes by the electrons with the propellant causes transfer of the energy. Work was done to study these processes using several diagnostic techniques - calorimetry, photography, and spectroscopy. Experimental results of these techniques for nitrogen and helium gasesmore » are included. These diagnostic techniques are important in understanding plasma phenomena and designing practical plasma rocket thrusters. In addition, a broad theoretical background is included to provide a fundamental description of the plasma phenomena.« less

  12. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    PubMed

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  13. Low content Ag-coated poly(acrylonitrile) microspheres and graphene for enhanced microwave absorption performance epoxy composites

    NASA Astrophysics Data System (ADS)

    Zhang, Bin; Wang, Jun; Chen, Xiaocheng; Su, Xiaogang; Zou, Yi; Huo, Siqi; Chen, Wei; Wang, Junpeng

    2018-04-01

    Silver nanoparticles was uniformly anchored on the surface of hollow poly(acrylonitrile) microspheres with a facile chemical method using hydrazine hydrate as reductant. Integrating these conducting hollow spheres (PANS@Ag) with chemical reduced graphene oxide (RGO) dispersed in epoxy resin, a lightweight microwave absorber was successfully prepared with enhanced microwave absorption performance. The chemical constitution and surface morphology of as-synthesized RGO and PANS@Ag powders were characterized by XRD, XPS, FE-SEM and SAED, while the electromagnetic properties of these different proportion PANS@Ag-RGO/EP samples were analyzed through vector network analyzer (VNA). The minimum reflection loss (RL) could reach up to ‑28.1 dB at 8.8 GHz with a layer thickness of 2 mm, and the corresponding effective absorption bandwidth (RL values less than ‑10 dB) was from 7.9 GHz to 9.8 GHz. However, the dosage of PANS@Ag and RGO was merely 3 wt% and 1 wt%, respectively. As the content of PANS@Ag powders decreased to 1 wt%, the PANS@Ag-RGO/EP samples still retained effective microwave absorption performance and the optimal RL was ‑14.7 dB. The density of as-prepared absorbers was in the range of 0.49 ∼ 0.87 g cm‑3. The low content, low density and enhanced microwave absorption performance endow the hybrid composites with competitive application prospect in stealth technology field.

  14. Synthesis of nanocrystalline diamonds by microwave plasma

    NASA Astrophysics Data System (ADS)

    Purohit, V. S.; Jain, Deepti; Sathe, V. G.; Ganesan, V.; Bhoraskar, S. V.

    2007-03-01

    Nanocrystalline diamonds, varying in size from 40 to 400 nm, with random faceting were grown without the help of initial nucleation sites on nickel substrates as seen by scanning electron micrographs. These carbonaceous films were deposited in a microwave plasma reactor using hexane/nitrogen based chemical vapour deposition. The substrate temperatures during deposition were varied from 400 to 600 °C. The morphological investigations obtained by scanning electron micrographs and atomic force microscopy revealed the presence of nanocrystallites with multifaceted structures. Micro Raman investigations were carried out on the deposited films, which conclusively inferred that the growth of nanodiamond crystallites seen in the scanning electron micrographs correlate with clear Raman peaks appearing at 1120 and 1140 cm-1. Nanoindentation analysis with atomic force microscopy has revealed that the carbonaceous deposition identified by the Raman line at ~1140 cm-1, in fact, is related to nanodiamond on account of its hardness which was ~30 GPa. X-ray diffraction data supported this fact.

  15. Bragg scattering of electromagnetic waves by microwave-produced plasma layers

    NASA Technical Reports Server (NTRS)

    Kuo, S. P.; Zhang, Y. S.

    1990-01-01

    A set of parallel plasma layers is generated by two intersecting microwave pulses in a chamber containing dry air at a pressure comparable to the upper atmosphere. The dependencies of breakdown conditions on the pressure and pulse length are examined. The results are shown to be consistent with the appearance of tail erosion of the microwave pulse caused by air breakdown. A Bragg scattering experiment, using the plasma layers as a Bragg reflector, is then performed. Both time domain and frequency domain measurements of wave scattering are conducted. The experimental results are found to agree very well with the theory.

  16. Microwave induced plasma for solid fuels and waste processing: A review on affecting factors and performance criteria.

    PubMed

    Ho, Guan Sem; Faizal, Hasan Mohd; Ani, Farid Nasir

    2017-11-01

    High temperature thermal plasma has a major drawback which consumes high energy. Therefore, non-thermal plasma which uses comparatively lower energy, for instance, microwave plasma is more attractive to be applied in gasification process. Microwave-induced plasma gasification also carries the advantages in terms of simplicity, compactness, lightweight, uniform heating and the ability to operate under atmospheric pressure that gains attention from researchers. The present paper synthesizes the current knowledge available for microwave plasma gasification on solid fuels and waste, specifically on affecting parameters and their performance. The review starts with a brief outline on microwave plasma setup in general, and followed by the effect of various operating parameters on resulting output. Operating parameters including fuel characteristics, fuel injection position, microwave power, addition of steam, oxygen/fuel ratio and plasma working gas flow rate are discussed along with several performance criteria such as resulting syngas composition, efficiency, carbon conversion, and hydrogen production rate. Based on the present review, fuel retention time is found to be the key parameter that influences the gasification performance. Therefore, emphasis on retention time is necessary in order to improve the performance of microwave plasma gasification of solid fuels and wastes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  17. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition.

    NASA Astrophysics Data System (ADS)

    Sharma, Uttam; Chauhan, Sachin S.; Sharma, Jayshree; Sanyasi, A. K.; Ghosh, J.; Choudhary, K. K.; Ghosh, S. K.

    2016-10-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS.

  18. ‘GREENER’ CHEMICAL SYNTHESES USING MICROWAVES

    EPA Science Inventory

    The diverse nature of chemical entities requires various green strategic pathways in our quest towards attaining sustainability. A solvent-free approach that involves microwave (MW) exposure of neat reactants (undiluted) catalyzed by the surfaces of less-expensive and recyclable ...

  19. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  20. Microwave Technology--Applications in Chemical Synthesis

    EPA Science Inventory

    Microwave heating, being specific and instantaneous, is unique and has found a place for expeditious chemical syntheses. Specifically, the solvent-free reactions are convenient to perform and have advantages over the conventional heating protocols as summarized in the previous se...

  1. Pre-launch simulation experiment of microwave-ionosphere nonlinear interaction rocket experiment in the space plasma chamber

    NASA Astrophysics Data System (ADS)

    Kaya, N.; Tsutsui, M.; Matsumoto, H.; Kimura, I.

    1980-09-01

    A pre-flight test experiment of a microwave-ionosphere nonlinear interaction rocket experiment (MINIX) has been carried out in a space plasma simulation chamber. Though the first rocket experiment ended up in failure because of a high voltage trouble, interesting results are observed in the pre-flight experiment. A significant microwave heating of plasma up to 300% temperature increase is observed. Strong excitations of plasma waves by the transmitted microwaves in the VLF and HF range are observed as well. These microwave effects may have to be taken into account in solar power satellite projects in the future.

  2. Microwave digestion preparation and ICP determination of boron in human plasma

    NASA Technical Reports Server (NTRS)

    Ferrando, A. A.; Green, N. R.; Barnes, K. W.; Woodward, B.

    1993-01-01

    A microwave digestion procedure, followed by Inductively Coupled Argon Plasma Spectroscopy, is described for the determination of boron (B) in human plasma. The National Institute of Standards and Technology (NIST) currently does not certify the concentration of B in any substance. The NIST citrus leaves 1572 (CL) Standard Reference Material (SRM) and wheat flour 1567a (WF) were chosen to determine the efficacy of digestion. CL and WF values compare favorably to those obtained from an open-vessel, wet digestion followed by ICP, and by neutron activation and mass spectrometric measurements. Plasma samples were oxidized by doubled-distilled ultrapure HNO3 in 120 mL PFA Teflon vessels. An MDS-81D microwave digestion procedure allows for rapid and relatively precise determination of B in human plasma, while limiting handling hazards and sources of contamination.

  3. Plasma flame for mass purification of contaminated air with chemical and biological warfare agents

    NASA Astrophysics Data System (ADS)

    Uhm, Han S.; Shin, Dong H.; Hong, Yong C.

    2006-09-01

    An elimination of airborne simulated chemical and biological warfare agents was carried out by making use of a plasma flame made of atmospheric plasma and a fuel-burning flame, which can purify the interior air of a large volume in isolated spaces such as buildings, public transportation systems, and military vehicles. The plasma flame generator consists of a microwave plasma torch connected in series to a fuel injector and a reaction chamber. For example, a reaction chamber, with the dimensions of a 22cm diameter and 30cm length, purifies an airflow rate of 5000lpm contaminated with toluene (the simulated chemical agent) and soot from a diesel engine (the simulated aerosol for biological agents). Large volumes of purification by the plasma flame will free mankind from the threat of airborne warfare agents. The plasma flame may also effectively purify air that is contaminated with volatile organic compounds, in addition to eliminating soot from diesel engines as an environmental application.

  4. Microwave plasma enabled synthesis of free standing carbon nanostructures at atmospheric pressure conditions.

    PubMed

    Bundaleska, N; Tsyganov, D; Dias, A; Felizardo, E; Henriques, J; Dias, F M; Abrashev, M; Kissovski, J; Tatarova, E

    2018-05-23

    An experimental and theoretical study on microwave (2.45 GHz) plasma enabled assembly of carbon nanostructures, such as multilayer graphene sheets and nanoparticles, was performed. The carbon nanostructures were fabricated at different Ar-CH4 gas mixture composition and flows at atmospheric pressure conditions. The synthesis method is based on decomposition of the carbon-containing precursor (CH4) in the "hot" microwave plasma environment into carbon atoms and molecules, which are further converted into solid carbon nuclei in the "colder" plasma zones. By tailoring of the plasma environment, a controlled synthesis of graphene sheets and diamond-like nanoparticles was achieved. Selective synthesis of graphene flakes was achieved at a microwave power of 1 kW, Ar and methane flow rates of 600 sccm and 2 sccm respectively, while the predominant synthesis of diamond-like nanoparticles was obtained at the same power, but with higher flow rates, i.e. 1000 and 7.5 sccm, respectively. Optical emission spectroscopy was applied to detect the plasma emission related to carbon species from the 'hot' plasma zone and to determine the main plasma parameters. Raman spectroscopy and scanning electron microscopy have been applied to characterize the synthesized nanostructures. A previously developed theoretical model was further updated and employed to understand the mechanism of CH4 decomposition and formation of the main building units, i.e. C and C2, of the carbon nanostructures. An insight into the physical chemistry of carbon nanostructure formation in a high energy density microwave plasma environment is presented.

  5. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  6. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    NASA Astrophysics Data System (ADS)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  7. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  8. Enhancement of anodic current attributed to oxygen evolution on α-Fe2O3 electrode by microwave oscillating electric field

    PubMed Central

    Kishimoto, Fuminao; Matsuhisa, Masayuki; Kawamura, Shinichiro; Fujii, Satoshi; Tsubaki, Shuntaro; Maitani, Masato M.; Suzuki, Eiichi; Wada, Yuji

    2016-01-01

    Various microwave effects on chemical reactions have been observed, reported and compared to those carried out under conventional heating. These effects are classified into thermal effects, which arise from the temperature rise caused by microwaves, and non-thermal effects, which are attributed to interactions between substances and the oscillating electromagnetic fields of microwaves. However, there have been no direct or intrinsic demonstrations of the non-thermal effects based on physical insights. Here we demonstrate the microwave enhancement of oxidation current of water to generate dioxygen with using an α-Fe2O3 electrode induced by pulsed microwave irradiation under constantly applied potential. The rectangular waves of current density under pulsed microwave irradiation were observed, in other words the oxidation current of water was increased instantaneously at the moment of the introduction of microwaves, and stayed stably at the plateau under continuous microwave irradiation. The microwave enhancement was observed only for the α-Fe2O3 electrode with the specific surface electronic structure evaluated by electrochemical impedance spectroscopy. This discovery provides a firm evidence of the microwave special non-thermal effect on the electron transfer reactions caused by interaction of oscillating microwaves and irradiated samples. PMID:27739529

  9. A novel high-efficiency stable atmospheric microwave plasma device for fluid processing based on ridged waveguide

    NASA Astrophysics Data System (ADS)

    Xiao, Wei; Huang, Kama; He, Jianbo; Wu, Ying

    2017-09-01

    The waveguide-based microwave plasma device is widely used to generate atmospheric plasma for some industrial applications. Nevertheless, the traditional tapered waveguide device has limited power efficiency and produces unstable plasma. A novel ridged waveguide with an oblique hole is proposed to produce microwave atmospheric plasma for fluid processing. By using the ridged waveguide, the microwave field can be well focused, which can sustain plasma at relatively low power. Besides, an oblique hole is used to decrease the power reflection and generate a stable plasma torch especially in the case of high flowing rates. Experiments have been performed with the air flowing rates ranging from 500 l h-1 to 1000 l h-1 and the microwave working frequency of 2.45 GHz. The results show that in comparison with the conventional tapered waveguide, this novel device can both sustain plasma at relative low power and increase the power transfer efficiency by 11% from microwave to plasma. Moreover, both devices are used to process the waste gas-CO and CH4. Significantly, the removal efficiency for CO and CH4 can be increased by 19.7% and 32% respectively in the ridged waveguide compared with the tapered waveguide. It demonstrates that the proposed device possesses a great potential in industrial applications because of its high efficiency and stable performance.

  10. Characterization of microwave discharge plasmas for surface processing

    NASA Astrophysics Data System (ADS)

    Nikolic, Milka

    We have developed several diagnostic techniques to characterize two types of microwave (MW) discharge plasmas: a supersonic flowing argon MW discharge maintained in a cylindrical quartz cavity at frequency ƒ = 2.45 GHz and a pulse repetitive MW discharge in air at ƒ = 9.5 GHz. Low temperature MW discharges have been proven to posses attractive properties for plasma cleaning and etching of niobium surfaces of superconductive radio frequency (SRF) cavities. Plasma based surface modification technologies offer a promising alternative for etching and cleaning of SRF cavities. These technologies are low cost, environmentally friendly and easily controllable, and present a possible alternative to currently used acid based wet technologies, such as buffered chemical polishing (BCP), or electrochemical polishing (EP). In fact, weakly ionized. non-equilibrium, and low temperature gas discharges represent a powerful tool for surface processing due to the strong chemical reactivity of plasma radicals. Therefore, characterizing these discharges by applying non-perturbing, in situ measurement techniques is of vital importance. Optical emission spectroscopy has been employed to analyze the molecular structure and evaluate rotational and vibrational temperatures in these discharges. The internal plasma structure was studied by applying a tomographic numerical method based on the two-dimensional Radon formula. An automated optical measurement system has been developed for reconstruction of local plasma parameters. It was found that excited argon states are concentrated near the tube walls, thus confirming the assumption that the post discharge plasma is dominantly sustained by a travelling surface wave. Employing a laser induced fluorescence technique in combination with the time synchronization device allowed us to obtain time-resolved population densities of some excited atomic levels in argon. We have developed a technique for absolute measurements of electron density based

  11. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition

    PubMed Central

    Zhan, Hualin; Garrett, David J.; Apollo, Nicholas V.; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-01

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm3, were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail. PMID:26805546

  12. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition.

    PubMed

    Zhan, Hualin; Garrett, David J; Apollo, Nicholas V; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-25

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm(3), were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail.

  13. System to continuously produce carbon fiber via microwave assisted plasma processing

    DOEpatents

    White, Terry L; Paulauskas, Felix L; Bigelow, Timothy S

    2014-03-25

    A method for continuously processing carbon fiber including establishing a microwave plasma in a selected atmosphere contained in an elongated chamber having a microwave power gradient along its length defined by a lower microwave power at one end and a higher microwave power at the opposite end of the elongated chamber. The elongated chamber having an opening in each of the ends of the chamber that are adapted to allow the passage of the fiber tow while limiting incidental gas flow into or out of said chamber. A continuous fiber tow is introduced into the end of the chamber having the lower microwave power. The fiber tow is withdrawn from the opposite end of the chamber having the higher microwave power. The fiber to is subjected to progressively higher microwave energy as the fiber is being traversed through the elongated chamber.

  14. Self-consistent evolution of plasma discharge and electromagnetic fields in a microwave pulse compressor

    NASA Astrophysics Data System (ADS)

    Shlapakovski, A. S.; Beilin, L.; Hadas, Y.; Schamiloglu, E.; Krasik, Ya. E.

    2015-07-01

    Nanosecond-scale evolution of plasma and RF electromagnetic fields during the release of energy from a microwave pulse compressor with a plasma interference switch was investigated numerically using the code MAGIC. The plasma was simulated in the scope of the gas conductivity model in MAGIC. The compressor embodied an S-band cavity and H-plane waveguide tee with a shorted side arm filled with pressurized gas. In a simplified approach, the gas discharge was initiated by setting an external ionization rate in a layer crossing the side arm waveguide in the location of the electric field antinode. It was found that with increasing ionization rate, the microwave energy absorbed by the plasma in the first few nanoseconds increases, but the absorption for the whole duration of energy release, on the contrary, decreases. In a hybrid approach modeling laser ignition of the discharge, seed electrons were set around the electric field antinode. In this case, the plasma extends along the field forming a filament and the plasma density increases up to the level at which the electric field within the plasma decreases due to the skin effect. Then, the avalanche rate decreases but the density still rises until the microwave energy release begins and the electric field becomes insufficient to support the avalanche process. The extraction of the microwave pulse limits its own power by terminating the rise of the plasma density and filament length. For efficient extraction, a sufficiently long filament of dense plasma must have sufficient time to be formed.

  15. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    PubMed

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  16. Microwave-assisted synthesis of sensitive silver substrate for surface-enhanced Raman scattering spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia Lixin; Wang Haibo; Wang Jian

    A sensitive silver substrate for surface-enhanced Raman scattering (SERS) spectroscopy is synthesized under multimode microwave irradiation. The microwave-assisted synthesis of the SERS-active substrate was carried out in a modified domestic microwave oven of 2450 MHz, and the reductive reaction was conducted in a polypropylene container under microwave irradiation with a power of 100 W for 5 min. Formaldehyde was employed as both the reductant and microwave absorber in the reductive process. The effects of different heating methods (microwave dielectric and conventional) on the properties of the SERS-active substrates were investigated. Samples obtained with 5 min of microwave irradiation at amore » power of 100 W have more well-defined edges, corners, and sharper surface features, while the samples synthesized with 1 h of conventional heating at 40 deg. C consist primarily of spheroidal nanoparticles. The SERS peak intensity of the {approx}1593 cm{sup -1} band of 4-mercaptobenzoic acid adsorbed on silver nanoparticles synthesized with 5 min of microwave irradiation at a power of 100 W is about 30 times greater than when it is adsorbed on samples synthesized with 1 h of conventional heating at 40 deg. C. The results of quantum chemical calculations are in good agreement with our experimental data. This method is expected to be utilized for the synthesis of other metal nanostructural materials.« less

  17. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process

    NASA Astrophysics Data System (ADS)

    Suzuki, Haruka; Nakano, Suguru; Itoh, Hitoshi; Sekine, Makoto; Hori, Masaru; Toyoda, Hirotaka

    2015-09-01

    Atmospheric pressure (AP) plasmas have been given much attention because of its high cost benefit and a variety of possibilities for industrial applications. In various kinds of plasma production technique, pulsed-microwave discharge plasma using slot antenna is attractive due to its ability of high-density and stable plasma production. In this plasma source, however, size of the plasma has been limited up to a few cm in length due to standing wave inside a waveguide. To solve this, we have proposed a newly-developed AP microwave plasma source that utilizes not standing wave but travelling wave. By using this plasma source, spatially-uniform AP line plasma with 40 cm in length was realized by pure helium discharge in 60 cm slot and with nitrogen gas additive of 1%. Furthermore, gas temperature as low as 400 K was realized in this device. In this study, as an example of low temperature processes, hydrophilic treatment of PET films was performed. Processing speed increased with pulse frequency and a water contact angle of ~20° was easily obtained within 5 s with no thermal damage to the substrate. To evaluate treatment-uniformity of long line length, PET films were treated by 90 cm slot-antenna plasma and uniform treatment performance was confirmed.

  18. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  19. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    PubMed

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  20. Influence of wall plasma on microwave frequency and power in relativistic backward wave oscillator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Jun; Cao, Yibing; Teng, Yan

    2015-07-15

    The RF breakdown of the slow wave structure (SWS), which will lead to the generation of the wall plasma, is an important cause for pulse shortening in relativistic backward wave oscillators. Although many researchers have performed profitable studies about this issue, the influence mechanism of this factor on the microwave generation still remains not-so-clear. This paper simplifies the wall plasma with an “effective” permittivity and researches its influence on the microwave frequency and power. The dispersion relation of the SWS demonstrates that the introduction of the wall plasma will move the dispersion curves upward to some extent, which is confirmedmore » by particle-in-cell (PIC) simulations and experiments. The plasma density and volume mainly affect the dispersion relation at the upper and lower frequency limits of each mode, respectively. Meanwhile, PIC simulations show that even though no direct power absorption exists since the wall plasma is assumed to be static, the introduction of the wall plasma may also lead to the decrease in microwave power by changing the electrodynamic property of the SWS.« less

  1. Plasma column and nano-powder generation from solid titanium by localized microwaves in air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popescu, Simona; Jerby, Eli, E-mail: jerby@eng.tau.ac.il; Meir, Yehuda

    2015-07-14

    This paper studies the effect of a plasma column ejected from solid titanium by localized microwaves in an ambient air atmosphere. Nanoparticles of titanium dioxide (titania) are found to be directly synthesized in this plasma column maintained by the microwave energy in the cavity. The process is initiated by a hotspot induced by localized microwaves, which melts the titanium substrate locally. The molten hotspot emits ionized titanium vapors continuously into the stable plasma column, which may last for more than a minute duration. The characterization of the dusty plasma obtained is performed in-situ by small-angle X-ray scattering (SAXS), optical spectroscopy,more » and microwave reflection analyses. The deposited titania nanoparticles are structurally and morphologically analyzed by ex-situ optical and scanning-electron microscope observations, and also by X-ray diffraction. Using the Boltzmann plot method combined with the SAXS results, the electron temperature and density in the dusty plasma are estimated as ∼0.4 eV and ∼10{sup 19 }m{sup −3}, respectively. The analysis of the plasma product reveals nanoparticles of titania in crystalline phases of anatase, brookite, and rutile. These are spatially arranged in various spherical, cubic, lamellar, and network forms. Several applications are considered for this process of titania nano-powder production.« less

  2. Propagation of Polarized Cosmic Microwave Background Radiation in an Anisotropic Magnetized Plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moskaliuk, S. S.

    2010-01-01

    The polarization plane of the cosmic microwave background radiation (CMBR) can be rotated either in a space-time with metric of anisotropic type and in a magnetized plasma or in the presence of a quintessential background with pseudoscalar coupling to electromagnetism. A unified treatment of these three phenomena is presented for cold anisotropic plasma at the pre-recombination epoch. It is argued that the generalized expressions derived in the present study may be relevant for direct searches of a possible rotation of the cosmic microwave background polarization.

  3. Studies on omnidirectional enhancement of giga-hertz radiation by sub-wavelength plasma modulation

    NASA Astrophysics Data System (ADS)

    Fanrong, KONG; Qiuyue, NIE; Shu, LIN; Zhibin, WANG; Bowen, LI; Shulei, ZHENG; Binhao, JIANG

    2018-01-01

    The technology of radio frequency (RF) radiation intensification for radio compact antennas based on modulation and enhancement effects of sub-wavelength plasma structures represents an innovative developing strategy. It exhibits important scientific significance and promising potential of broad applications in various areas of national strategic demands, such as electrical information network and microwave communication, detection and control technology. In this paper, laboratory experiments and corresponding analyses have been carried out to investigate the modulation and enhancement technology of sub-wavelength plasma structure on the RF electromagnetic radiation. An application focused sub-wavelength plasma-added intensification up to ∼7 dB higher than the free-space radiation is observed experimentally in giga-hertz (GHz) RF band. The effective radiation enhancement bandwidth covers from 0.85 to 1.17 GHz, while the enhanced electromagnetic signals transmitted by sub-wavelength plasma structures maintain good communication quality. Particularly, differing from the traditional RF electromagnetic radiation enhancement method characterized by focusing the radiation field of antenna in a specific direction, the sub-wavelength plasma-added intensification of the antenna radiation presents an omnidirectional enhancement, which is reported experimentally for the first time. Corresponding performance characteristics and enhancement mechanism analyses are also conducted in this paper. The results have demonstrated the feasibility and promising potential of sub-wavelength plasma modulation in application focused RF communication, and provided the scientific basis for further research and development of sub-wavelength plasma enhanced compact antennas with wide-range requests and good quality for communication.

  4. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  5. Helium microwave-induced plasmas for element specific detection in chromatography

    NASA Astrophysics Data System (ADS)

    Long, Gary L.; Ducatte, Gerald R.; Lancaster, Edwin D.

    1994-01-01

    This review addresses the development and use of the microwave-induced plasma (MIP) using optical emission spectrometry for the purpose of element specific detection in chromatography. The plasma source that is the focus of this article is the He-based MIP operated at atmospheric pressure. The forms of chromatography that are covered include gas chromatography, liquid chromatography, and supercritical fluid chromatography. Concepts in plasma cavity design and the chromatograph-plasma interface are discussed along with the application of these hybrid systems to analytical determinations.

  6. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  7. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  8. The interaction of intense, ultra-short microwave beams with the plasma generated by gas ionization

    NASA Astrophysics Data System (ADS)

    Shafir, G.; Cao, Y.; Bliokh, Y.; Leopold, J. G.; Levko, D.; Rostov, V.; Gad, R.; Fisher, A.; Bernshtam, V.; Krasik, Ya. E.

    2018-03-01

    Results of the non-linear interaction of an extremely short (0.6 ns) high power (˜500 MW) X-band focused microwave beam with the plasma generated by gas ionization are presented. Within certain gas pressure ranges, specific to the gas type, the plasma density is considerably lower around the microwave beam axis than at its periphery, thus forming guiding channel through which the beam self-focuses. Outside these pressure ranges, either diffuse or streamer-like plasma is observed. We also observe high energy electrons (˜15 keV), accelerated by the very high-power microwaves. A simplified analytical model of this complicated dynamical system and particle-in-cell numerical simulations confirm the experimental results.

  9. Membranes produced by plasma enhanced chemical vapor deposition technique for low temperature fuel cell applications

    NASA Astrophysics Data System (ADS)

    Ennajdaoui, Aboubakr; Roualdes, Stéphanie; Brault, Pascal; Durand, Jean

    A plasma polymerization process using a continuous glow discharge has been implemented for preparing proton conducting membranes from trifluoromethane sulfonic acid and styrene. The chemical and physical structure of plasma membranes has been investigated using FTIR and SEM. The films are homogeneous with a good adhesion on commercial gas diffusion layer (E-Tek ®). Their deposition rate can be increased with increasing flow rate and input power. The thermogravimetric analysis under air of plasma polymers has showed a thermal stability up to 140 °C. Compared to the pulsed glow discharge studied in a previous paper, the continuous glow discharge has enabled to enhance the proton conductivity of membranes by a factor 3 (up to 1.7 mS cm -1). Moreover, the low methanol permeability (methanol diffusion coefficient down to 5 × 10 -13 m 2 s -1) of membranes has been confirmed by this study. In an industrial context, a reactor prototype has been developed to manufacture by plasma processes all active layers of fuel cell cores to be integrated in original compact PEMFC or DMFC.

  10. Spectroscopic study of low pressure, low temperature H2-CH4-CO2 microwave plasmas used for large area deposition of nanocrystalline diamond films. Part II: on plasma chemical processes

    NASA Astrophysics Data System (ADS)

    Nave, A. S. C.; Baudrillart, B.; Hamann, S.; Bénédic, F.; Lombardi, G.; Gicquel, A.; van Helden, J. H.; Röpcke, J.

    2016-12-01

    In a distributed antenna array (DAA) reactor, microwave H2 plasmas with admixtures of 2.5% CH4 and 1% CO2 used for the deposition of nanocrystalline diamond films have been studied by infrared laser absorption and optical emission spectroscopy (OES) techniques. The experiments were carried out in order to analyze the dependence of plasma chemical phenomena on power and pressure at relatively low pressures, up to 0.55 mbar, and power values, up to 3 kW. The evolution of the concentration of the methyl radical, CH3, of five stable molecules, CH4, CO2, CO, C2H2 and C2H6, and of vibrationally excited CO in the first and second hot band was monitored in the plasma processes by in situ infrared laser absorption spectroscopy using tunable lead salt diode lasers (TDL) and an external-cavity quantum cascade laser (EC-QCL) as radiation sources. OES was applied simultaneously to obtain complementary information about the degree of dissociation of the H2 precursor and of its gas temperature. The experimental results are presented in two separate parts. In Part I, the first paper in a two-part series, the measurement of the gas (T gas), rotational (T rot) and vibrational (T vib) temperatures of the various species in the complex plasma was the main focus of interest. Depending on the different plasma zones the gas temperature was found to range between about 360 and 1000 K inside the DAA reactor (Nave et al 2016 Plasma Sources Sci. Technol. 25 065002). In Part II, the present paper, taking into account the temperatures determined in the first paper, the concentrations of the various species, which were found to be in a range between 1011 and 1015 cm-3, are the focus of interest. The influence of the discharge parameters power and pressure on the molecular concentrations has been studied. To achieve further insight into general plasma chemical aspects the dissociation of the carbon precursor gases including their fragmentation and conversion to the reaction products has been

  11. Microwave plasma monitoring system for the elemental composition analysis of high temperature process streams

    DOEpatents

    Woskov, Paul P.; Cohn, Daniel R.; Titus, Charles H.; Surma, Jeffrey E.

    1997-01-01

    Microwave-induced plasma for continuous, real time trace element monitoring under harsh and variable conditions. The sensor includes a source of high power microwave energy and a shorted waveguide made of a microwave conductive, high temperature capability refractory material communicating with the source of the microwave energy to generate a plasma. The high power waveguide is constructed to be robust in a hot, hostile environment. It includes an aperture for the passage of gases to be analyzed and a spectrometer is connected to receive light from the plasma. Provision is made for real time in situ calibration. The spectrometer disperses the light, which is then analyzed by a computer. The sensor is capable of making continuous, real time quantitative measurements of desired elements, such as the heavy metals lead and mercury. The invention may be incorporated into a high temperature process device and implemented in situ for example, such as with a DC graphite electrode plasma arc furnace. The invention further provides a system for the elemental analysis of process streams by removing particulate and/or droplet samples therefrom and entraining such samples in the gas flow which passes through the plasma flame. Introduction of and entraining samples in the gas flow may be facilitated by a suction pump, regulating gas flow, gravity or combinations thereof.

  12. CHEMICAL SYNTHESES IN AQUEOUS MEDIA USING MICROWAVES

    EPA Science Inventory

    The development of efficient, selective and eco-friendly synthetic methods has remained a major focus of our research group. Microwave (MW) irradiation as alternative energy source in conjunction with water as reaction media has proven to be a successful 'greener' chemical appro...

  13. Experimental and numerical investigations of microwave return loss of aircraft inlets with low-pressure plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Yachun; He, Xiang; Chen, Jianping; Chen, Hongqing; Chen, Li; Zhang, Hongchao; Ni, Xiaowu; Lu, Jian; Shen, Zhonghua

    2018-03-01

    The relationships between return losses of the cylindrical inlet and plasma discharge parameters are investigated experimentally and numerically. The return losses are measured using a high dynamic range measurement system and simulated by COMSOL Multiphysics when the frequency band of the microwaves is in the range 1-4 GHz. The profiles of the plasma density are estimated using Epstein and Bessel functions. Results show that the incident microwaves can be absorbed by plasma efficaciously. The maximal return loss can reach -13.84 dB when the microwave frequency is 2.3 GHz. The increase of applied power implies augmentation of the return loss, which behaves conversely for gas pressure. The experimental and numerical results display reasonable agreement on return loss, suggesting that the use of plasma is effective in the radar cross section reduction of aircraft inlets.

  14. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    DOEpatents

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  15. System to continuously produce carbon fiber via microwave assisted plasma processing

    DOEpatents

    White, Terry L [Knoxville, TN; Paulauskas, Felix L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2010-11-02

    A system to continuously produce fully carbonized or graphitized carbon fibers using microwave-assisted plasma (MAP) processing comprises an elongated chamber in which a microwave plasma is excited in a selected gas atmosphere. Fiber is drawn continuously through the chamber, entering and exiting through openings designed to minimize in-leakage of air. There is a gradient of microwave power within the chamber with generally higher power near where the fiber exits and lower power near where the fiber enters. Polyacrylonitrile (PAN), pitch, or any other suitable organic/polymeric precursor fibers can be used as a feedstock for the inventive system. Oxidized or partially oxidized PAN or pitch or other polymeric fiber precursors are run continuously through a MAP reactor in an inert, non-oxidizing atmosphere to heat the fibers, drive off the unwanted elements such as oxygen, nitrogen, and hydrogen, and produce carbon or graphite fibers faster than conventionally produced carbon fibers.

  16. Effects of Mass Flow Rate on the Thermal-Flow Characteristics of Microwave CO2 Plasma.

    PubMed

    Hong, Chang-Ki; Na, Young-Ho; Uhm, Han-Sup; Kim, Youn-Jea

    2015-03-01

    In this study, the thermal-flow characteristics of atmospheric pressure microwave CO2 plasma were numerically investigated by simulation. The electric and gas flow fields in the reaction chamber with a microwave axial injection torch operated at 2.45 GHz were simulated. The microwave launcher had the standard rectangular waveguide WR340 geometry. The simulation was performed by using the COMSOL Multiphysics plasma model with various mass flow rates of CO2. The electric fields, temperature profiles and the density of electrons were graphically depicted for different CO2 inlet mass flow rates.

  17. Epithelial cell morphology and adhesion on diamond films deposited and chemically modified by plasma processes.

    PubMed

    Rezek, Bohuslav; Ukraintsev, Egor; Krátká, Marie; Taylor, Andrew; Fendrych, Frantisek; Mandys, Vaclav

    2014-09-01

    The authors show that nanocrystalline diamond (NCD) thin films prepared by microwave plasma enhanced chemical vapor deposition apparatus with a linear antenna delivery system are well compatible with epithelial cells (5637 human bladder carcinoma) and significantly improve the cell adhesion compared to reference glass substrates. This is attributed to better adhesion of adsorbed layers to diamond as observed by atomic force microscopy (AFM) beneath the cells. Moreover, the cell morphology can be adjusted by appropriate surface treatment of diamond by using hydrogen and oxygen plasma. Cell bodies, cytoplasmic rims, and filopodia were characterized by Peakforce AFM. Oxidized NCD films perform better than other substrates under all conditions (96% of cells adhered well). A thin adsorbed layer formed from culture medium and supplemented with fetal bovine serum (FBS) covered the diamond surface and played an important role in the cell adhesion. Nevertheless, 50-100 nm large aggregates formed from the RPMI medium without FBS facilitated cell adhesion also on hydrophobic hydrogenated NCD (increase from 23% to 61%). The authors discuss applicability for biomedical uses.

  18. Microwave Enhancement of Autocatalytic Growth of Nanometals.

    PubMed

    Ashley, Bridgett; Vakil, Parth N; Lynch, Brian B; Dyer, Christopher M; Tracy, Joseph B; Owens, Jeffery; Strouse, Geoffrey F

    2017-10-24

    The desire for designing efficient synthetic methods that lead to industrially important nanomaterials has led a desire to more fully understand the mechanism of growth and how modern synthetic techniques can be employed. Microwave (MW) synthesis is one such technique that has attracted attention as a green, sustainable method. The reports of enhancement of formation rates and improved quality for MW driven reactions are intriguing, but the lack of understanding of the reaction mechanism and how coupling to the MW field leads to these observations is concerning. In this manuscript, the growth of a metal nanoparticles (NPs) in a microwave cavity is spectroscopically analyzed and compared with the classical autocatalytic method of NP growth to elucidate the underpinnings for the observed enhanced growth behavior for metal NPs prepared in a MW field. The study illustrates that microwave synthesis of nickel and gold NPs below saturation conditions follows the Finke-Watzky mechanism of nucleation and growth. The enhancement of the reaction arises from the size-dependent increase in MW absorption cross section for the metal NPs. For Ni, the presence of oxides is considered via theoretical computations and compared to dielectric measurements of isolated nickel NPs. The study definitively shows that MW growth can be modeled by an autocatalytic mechanism that directly leads to the observed enhanced rates and improved quality widely reported in the nanomaterial community when MW irradiation is employed.

  19. Study of the optimisation of puffing characteristics of potato cubes by spouted bed drying enhanced with microwave.

    PubMed

    Yan, Wei-qiang; Zhang, Min; Huang, Lue-lue; Tang, Juming; Mujumdar, Arun S; Sun, Jin-cai

    2010-06-01

    In commercial deep-fat frying of potato chips, the oil content of the final products ranges from 35 to 45 g 100 g(-1) (wet basis). High-temperature frying may cause the formation of acrylamide, making the products unhealthy to the consumer. The aim of this research was to explore a new method, spouted bed microwave drying, to produce healthier puffed snack potato cubes as possible alternatives to oil-fried potato chips. The influence of drying conditions of the spouted bed microwave drying on puffing characteristics of potato cubes were studied and compared with the direct microwave and hot air drying method. Tandem combination drying of microwave-enhanced spouted bed drying (MWSB) could achieve a good expansion ratio, breaking force and rehydration ratio. The puffing characteristics of potato cubes were significantly affected (P < 0.05) by moisture content before starting microwave power in spouted bed microwave drying, by microwave (MW) power, and by the original size of potato cubes. The optimum processing parameters were the moisture content at the start of microwave power (60%), the size of potato cubes (10-12 mm), and microwave power (2-2.5 W g(-1)) Copyright (c) 2010 Society of Chemical Industry.

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Microwave plasma CVD of NANO structured tin/carbon composites

    DOEpatents

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  2. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Avshish; Parveen, Shama; Husain, Samina

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current densitymore » of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.« less

  3. Surface roughness of polyvinyl siloxane impression materials following chemical disinfection, autoclave and microwave sterilization.

    PubMed

    Al Kheraif, Abdulaziz Abdullah

    2013-05-01

    Autoclave sterilization and microwave sterilization has been suggested as the effective methods for the disinfection of elastomeric impressions, but subjecting elastomeric impressions to extreme temperature may have adverse effects on critical properties of the elastomers. To evaluate the effect of chemical disinfection as well as autoclave and microwave sterilization on the surface roughness of elastomeric impression materials. The surface roughness of five commercially available polyvinyl siloxane impression materials (Coltene President, Affinis Perfect impression, Aquasil, 3M ESPE Express and GC Exafast) were evaluated after subjecting them to chemical disinfection, autoclaving and microwave sterilization using a Talysurf Intra 50 instrument. Twenty specimens from each material were fabricated and divided into four equal groups, three experimental and one control (n=25). The differences in the mean surface roughness between the treatment groups were recorded and statistically analyzed. No statistically significant increase in the surface roughness was observed when the specimens were subjected to chemical disinfection and autoclave sterilization, increase in roughness and discoloration was observed in all the materials when specimens were subjected to microwave sterilization. Chemical disinfection did not have a significant effect but, since it is less effective, autoclave sterilization can be considered effective and autoclaving did not show any specimen discoloration as in microwave sterilization. Microwave sterilization may be considered when impressions are used to make diagnostic casts. A significant increase in surface roughness may produce rougher casts, resulting in rougher tissue surfaces for denture and cast restorations. Autoclave sterilization of vinyl polysiloxane elastomeric impressions for 5 minutes at 134°C at 20 psi may be considered an effective method over chemical disinfection and microwave sterilization, because chemical disinfection does

  4. ADVANCES IN GREEN CHEMISTRY: CHEMICAL SYNTHESES USING MICROWAVE IRRADIATION, ISBN 81-901238-5-8

    EPA Science Inventory

    16. Abstract Advances in Green Chemistry: Chemical Syntheses Using Microwave Irradiation
    Microwave-accelerated chemical syntheses in solvents as well as under solvent-free conditions have witnessed an explosive growth. The technique has found widespread application predomi...

  5. A new type of power energy for accelerating chemical reactions: the nature of a microwave-driving force for accelerating chemical reactions.

    PubMed

    Zhou, Jicheng; Xu, Wentao; You, Zhimin; Wang, Zhe; Luo, Yushang; Gao, Lingfei; Yin, Cheng; Peng, Renjie; Lan, Lixin

    2016-04-27

    The use of microwave (MW) irradiation to increase the rate of chemical reactions has attracted much attention recently in nearly all fields of chemistry due to substantial enhancements in reaction rates. However, the intrinsic nature of the effects of MW irradiation on chemical reactions remains unclear. Herein, the highly effective conversion of NO and decomposition of H2S via MW catalysis were investigated. The temperature was decreased by several hundred degrees centigrade. Moreover, the apparent activation energy (Ea') decreased substantially under MW irradiation. Importantly, for the first time, a model of the interactions between microwave electromagnetic waves and molecules is proposed to elucidate the intrinsic reason for the reduction in the Ea' under MW irradiation, and a formula for the quantitative estimation of the decrease in the Ea' was determined. MW irradiation energy was partially transformed to reduce the Ea', and MW irradiation is a new type of power energy for speeding up chemical reactions. The effect of MW irradiation on chemical reactions was determined. Our findings challenge both the classical view of MW irradiation as only a heating method and the controversial MW non-thermal effect and open a promising avenue for the development of novel MW catalytic reaction technology.

  6. A new type of power energy for accelerating chemical reactions: the nature of a microwave-driving force for accelerating chemical reactions

    PubMed Central

    Zhou, Jicheng; Xu, Wentao; You, Zhimin; Wang, Zhe; Luo, Yushang; Gao, Lingfei; Yin, Cheng; Peng, Renjie; Lan, Lixin

    2016-01-01

    The use of microwave (MW) irradiation to increase the rate of chemical reactions has attracted much attention recently in nearly all fields of chemistry due to substantial enhancements in reaction rates. However, the intrinsic nature of the effects of MW irradiation on chemical reactions remains unclear. Herein, the highly effective conversion of NO and decomposition of H2S via MW catalysis were investigated. The temperature was decreased by several hundred degrees centigrade. Moreover, the apparent activation energy (Ea’) decreased substantially under MW irradiation. Importantly, for the first time, a model of the interactions between microwave electromagnetic waves and molecules is proposed to elucidate the intrinsic reason for the reduction in the Ea’ under MW irradiation, and a formula for the quantitative estimation of the decrease in the Ea’ was determined. MW irradiation energy was partially transformed to reduce the Ea’, and MW irradiation is a new type of power energy for speeding up chemical reactions. The effect of MW irradiation on chemical reactions was determined. Our findings challenge both the classical view of MW irradiation as only a heating method and the controversial MW non-thermal effect and open a promising avenue for the development of novel MW catalytic reaction technology. PMID:27118640

  7. Experimental evidence on microwave induced electron losses from ECRIS plasma

    NASA Astrophysics Data System (ADS)

    Sakildien, M.; Tarvainen, O.; Kronholm, R.; Izotov, I.; Skalyga, V.; Kalvas, T.; Jones, P.; Koivisto, H.

    2018-06-01

    The balance between warm and hot (>1 keV) electron density and their losses from the magnetic confinement system of an Electron Cyclotron Resonance Ion Source (ECRIS) plasma is considered to be one of the main factors determining the rate of the high charge state ion production. One of the key loss channels for heated electrons is thought to be induced by the injected microwaves. While this loss mechanism, referred to as rf-induced pitch angle scattering, has been studied theoretically and with computational tools, direct experimental evidence of its significance in minimum-B ECRIS plasmas remains limited. In this work, experimental evidence of microwave induced electron losses in the axial direction is presented in both continuous wave (CW) and pulsed operation of a 14 GHz ECRIS. In the CW mode, the experiment was carried out by comparing the characteristic X-ray emission from the plasma volume and from the surface of the biased disc located in the flux of the escaping electron at the axial magnetic mirror. Parametric sweeps of magnetic field, neutral gas pressure, and microwave power were conducted to determine their effect on electron losses. In the pulsed mode, the experiment was conducted by measuring the flux of escaping electrons through aluminum foils of different thicknesses providing some energy resolution. Both diagnostics support the view that rf-induced losses account for up to 70% of total hot electron losses and their importance depends on the source parameters, especially power and neutral gas pressure.

  8. A Microwave Thruster for Spacecraft Propulsion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiravalle, Vincent P

    This presentation describes how a microwave thruster can be used for spacecraft propulsion. A microwave thruster is part of a larger class of electric propulsion devices that have higher specific impulse and lower thrust than conventional chemical rocket engines. Examples of electric propulsion devices are given in this presentation and it is shown how these devices have been used to accomplish two recent space missions. The microwave thruster is then described and it is explained how the thrust and specific impulse of the thruster can be measured. Calculations of the gas temperature and plasma properties in the microwave thruster aremore » discussed. In addition a potential mission for the microwave thruster involving the orbit raising of a space station is explored.« less

  9. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    NASA Technical Reports Server (NTRS)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  10. Growth of carbon nanotubes (CNTs) on metallic underlayers by diffusion plasma-enhanced chemical vapour deposition (DPECVD)

    NASA Astrophysics Data System (ADS)

    Kim, S. M.; Gangloff, L.

    2009-10-01

    Here, we demonstrate the low-temperature (480-612 °C) synthesis of carbon nanotubes (CNTs) on different metallic underlayers (i.e., NiV, Ir, Ag, Pt, W, and Ta) using diffusion (dc) plasma-enhanced (~20 W, -600 V) chemical vapour deposition (DPECVD). The catalyst used is bi-layered Fe/Al and the feedstock used is a mixture of C 2H 2 and NH 3 (1:4). The crucial component is the diffusion of radical ions and hydrogen generated such as H 2/H +/H 2+/NH 3+/CH 2+/C 2H 2+ (which are confirmed by in-situ mass spectroscopy) from the nozzle, where it is inserted for most effective plasma diffusion between a substrate and a gas distributor.

  11. Microwave plasma generation of hydrogen atoms for rocket propulsion

    NASA Technical Reports Server (NTRS)

    Chapman, R.; Filpus, J.; Morin, T.; Snellenberger, R.; Asmussen, J.; Hawley, M.; Kerber, R.

    1981-01-01

    A flow microwave plasma reaction system is used to study the conversion of hydrogen to hydrogen atoms as a function of pressure, power density, cavity tuning, cavity mode, and time in the plasma zone. Hydrogen atom concentration is measured down-stream from the plasma by NOCl titration. Extensive modeling of the plasma and recombination zones is performed with the plasma zone treated as a backmix reaction system and the recombination zone treated as a plug flow. The thermodynamics and kinetics of the recombination process are examined in detail to provide an understanding of the conversion of recombination energy to gas kinetic energy. It is found that cavity tuning, discharge stability, and optimum power coupling are critically dependent on the system pressure, but nearly independent of the flow rate.

  12. Hydrogen production from alcohol reforming in a microwave ‘tornado’-type plasma

    NASA Astrophysics Data System (ADS)

    Tatarova, E.; Bundaleska, N.; Dias, F. M.; Tsyganov, D.; Saavedra, R.; Ferreira, C. M.

    2013-12-01

    In this work, an experimental investigation of microwave plasma-assisted reforming of different alcohols is presented. A microwave (2.45 GHz) ‘tornado’-type plasma with a high-speed tangential gas injection (swirl) at atmospheric pressure is applied to decompose alcohol molecules, namely methanol, ethanol and propanol, and to produce hydrogen-rich gas. The reforming efficiency is investigated both in Ar and Ar+ water vapor plasma environments. The hydrogen yield dependence on the partial alcohol flux is analyzed. Mass spectrometry and Fourier transform infrared spectroscopy are used to detect the outlet gas products from the decomposition process. Hydrogen, carbon monoxide, carbon dioxide and solid carbon are the main decomposition by-products. A significant increase in the hydrogen production rate is observed with the addition of a small amount of water. Furthermore, optical emission spectroscopy is applied to detect the radiation emitted by the plasma and to estimate the gas temperature and electron density.

  13. Synthesis of graphene by cobalt-catalyzed decomposition of methane in plasma-enhanced CVD: Optimization of experimental parameters with Taguchi method

    NASA Astrophysics Data System (ADS)

    Mehedi, H.-A.; Baudrillart, B.; Alloyeau, D.; Mouhoub, O.; Ricolleau, C.; Pham, V. D.; Chacon, C.; Gicquel, A.; Lagoute, J.; Farhat, S.

    2016-08-01

    This article describes the significant roles of process parameters in the deposition of graphene films via cobalt-catalyzed decomposition of methane diluted in hydrogen using plasma-enhanced chemical vapor deposition (PECVD). The influence of growth temperature (700-850 °C), molar concentration of methane (2%-20%), growth time (30-90 s), and microwave power (300-400 W) on graphene thickness and defect density is investigated using Taguchi method which enables reaching the optimal parameter settings by performing reduced number of experiments. Growth temperature is found to be the most influential parameter in minimizing the number of graphene layers, whereas microwave power has the second largest effect on crystalline quality and minor role on thickness of graphene films. The structural properties of PECVD graphene obtained with optimized synthesis conditions are investigated with Raman spectroscopy and corroborated with atomic-scale characterization performed by high-resolution transmission electron microscopy and scanning tunneling microscopy, which reveals formation of continuous film consisting of 2-7 high quality graphene layers.

  14. Direct synthesis of graphene on silicon oxide by low temperature plasma enhanced chemical vapor deposition.

    PubMed

    Muñoz, Roberto; Martínez, Lidia; López-Elvira, Elena; Munuera, Carmen; Huttel, Yves; García-Hernández, Mar

    2018-06-27

    Direct graphene growth on silicon with a native oxide using plasma enhanced chemical vapour deposition at low temperatures [550 °C-650 °C] is demonstrated for the first time. It is shown that the fine-tuning of a two-step synthesis with gas mixtures C2H2/H2 yields monolayer and few layer graphene films with a controllable domain size from 50 nm to more than 300 nm and the sheet resistance ranging from 8 kΩ sq-1 to less than 1.8 kΩ sq-1. Differences are understood in terms of the interaction of the plasma species - chiefly atomic H - with the deposited graphene and the native oxide layer. The proposed low temperature direct synthesis on an insulating substrate does not require any transfer processes and improves the compatibility with the current industrial processes.

  15. Three-dimensional simulation of microwave-induced helium plasma under atmospheric pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, G. L.; Hua, W., E-mail: huaw@scu.edu.cn; Guo, S. Y.

    2016-07-15

    A three-dimensional model is presented to investigate helium plasma generated by microwave under atmospheric pressure in this paper, which includes the physical processes of electromagnetic wave propagation, electron and heavy species transport, gas flow, and heat transfer. The model is based on the fluid approximation calculation and local thermodynamic equilibrium assumption. The simulation results demonstrate that the maxima of the electron density and gas temperature are 4.79 × 10{sup 17 }m{sup −3} and 1667 K, respectively, for the operating conditions with microwave power of 500 W, gas flow rate of 20 l/min, and initial gas temperature of 500 K. The electromagnetic field distribution in the plasma sourcemore » is obtained by solving Helmholtz equation. Electric field strength of 2.97 × 10{sup 4 }V/m is obtained. There is a broad variation on microwave power, gas flow rate, and initial gas temperature to obtain deeper information about the changes of the electron density and gas temperature.« less

  16. Synthetic Aperture Microwave Imaging (SAMI) of the plasma edge on NSTX-U

    NASA Astrophysics Data System (ADS)

    Vann, Roddy; Taylor, Gary; Brunner, Jakob; Ellis, Bob; Thomas, David

    2016-10-01

    The Synthetic Aperture Microwave Imaging (SAMI) system is a unique phased-array microwave camera with a +/-40° field of view in both directions. It can image cut-off surfaces corresponding to frequencies in the range 10-34.5GHz; these surfaces are typically in the plasma edge. SAMI operates in two modes: either imaging thermal emission from the plasma (often modified by its interaction with the plasma edge e.g. via BXO mode conversion) or ``active probing'' i.e. injecting a broad beam at the plasma surface and imaging the reflected/back-scattered signal. SAMI was successfully pioneered on the Mega-Amp Spherical Tokamak (MAST) at Culham Centre for Fusion Energy. SAMI has now been installed and commissioned on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton Plasma Physics Laboratory. The firmware has been upgraded to include real-time digital filtering, which enables continuous acquisition of the Doppler back-scattered active probing data. In this poster we shall present SAMI's analysis of the plasma edge on NSTX-U including measurements of the edge pitch angle on NSTX-U using SAMI's unique 2-D Doppler-backscattering capability.

  17. The Relationship between C_60 Mass Spectrum Intensity and C2 Vibrational Temperature in Microwave Helium Plasmas

    NASA Astrophysics Data System (ADS)

    Ueda, Kengo; Kuwahara, Kiyoshi; Fujiyama, Hiroshi

    1998-10-01

    The soot containing C_60 and C_70 was synthesized in helium plasmas generated in a quartz tube by microwave discharge. We used reticulated vitreous carbon (RVC) that was heated by electric field of TE_10 mode microwave and the plasma. During soot deposition, optical emission of plasmas was observed with a monochromator. The soot deposited on the quartz tube was analyzed by the laser desorption time-of-flight mass-spectroscopy (LD-TOF-MS). Up to the present, the most intense C_60 mass spectrum intensity was obtained for the condition of absorbed microwave power 200W and pressure 100Torr, where C2 vibrational temperature was about 5500K.

  18. A system to investigate the remediation of organic vapors using microwave-induced plasma with fluidized carbon granules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawson, Elizabeth A.; Parkes, Gareth M. B.; Bond, Gary

    This article describes a system to investigate the parameters for the remediation of organic vapors using microwave-induced plasma on fluidized carbon granules. The system is based on a single mode microwave apparatus with a variable power (2.45 GHz) generator. Carbon granules are fluidized in a silica tube situated in the sample section of a waveguide incorporating two additional ports to allow plasma intensity monitoring using a light sensor and imaging with a digital camera. A fluoroptic probe is used for in situ measurement of the carbon granule temperature, while the effluent gas temperature is measured with a thermocouple situated inmore » the silica tube outside the cavity. Data acquisition and control software allow experiments using a variety of microwave power regimes while simultaneously recording the light intensity of any plasma generated within the carbon bed, together with its temperature. Evaluation using two different granular activated carbons and ethyl acetate, introduced as a vapor into the fluidizing air stream at a concentration of 1 ppm, yielded results which indicated that significant destruction of ethyl acetate, as monitored using a mass spectrometer, was achieved only with the carbon granules showing high plasma activity under pulsed microwave conditions. The system is therefore suitable for comparison of the relative microwave activities of various activated carbon granules and their performance in microwave remediation and regeneration.« less

  19. Microwave enhanced oxidation treatment of organic fertilizers.

    PubMed

    More, Abhilasha; Srinivasan, Asha; Liao, Ping Huang; Lo, Kwang Victor

    2017-08-01

    Liquid organic fertilizers (LOFs) are relatively easier to degrade than those of solid organic fertilizers, and the nutrients are readily available for plant uptake. Microwave enhanced advanced oxidation treatment (MW/H 2 O 2 -AOP) was used to convert solid organic fertilizers (insoluble blood meal, bone meal, feather meal, sunflower ash and a mixture) into LOF. After the MW/H 2 O 2 -AOP treatment, high soluble nitrogen (11-29%), soluble phosphorus (64%) and potassium (92%), as well as low total suspended solids content could be obtained. The resulting LOF would make the nutrients more bioavailable, and would provide some of them for the plant uptake immediately. Temperature and hydrogen peroxide dosage were found to be significant factors affecting nitrogen release from blood meal and feather meal, while temperature and pH were found to be significant factors for solubilizing phosphorus and potassium from bone meal and ash, respectively. The MW/H 2 O 2 -AOP reduced suspended solids, and released nutrients into solution; therefore, it was an effective treatment method to make LOFs. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  20. Large-Volume Resonant Microwave Discharge for Plasma Cleaning of a CEBAF 5-Cell SRF Cavity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    J. Mammosser, S. Ahmed, K. Macha, J. Upadhyay, M. Nikoli, S. Popovi, L. Vuakovi

    2012-07-01

    We report the preliminary results on plasma generation in a 5-cell CEBAF superconducting radio-frequency (SRF) cavity for the application of cavity interior surface cleaning. CEBAF currently has {approx}300 of these five cell cavities installed in the Jefferson Lab accelerator which are mostly limited by cavity surface contamination. The development of an in-situ cavity surface cleaning method utilizing a resonant microwave discharge could lead to significant CEBAF accelerator performance improvement. This microwave discharge is currently being used for the development of a set of plasma cleaning procedures targeted to the removal of various organic, metal and metal oxide impurities. These contaminantsmore » are responsible for the increase of surface resistance and the reduction of RF performance in installed cavities. The CEBAF five cell cavity volume is {approx} 0.5 m2, which places the discharge in the category of large-volume plasmas. CEBAF cavity has a cylindrical symmetry, but its elliptical shape and transversal power coupling makes it an unusual plasma application, which requires special consideration of microwave breakdown. Our preliminary study includes microwave breakdown and optical spectroscopy, which was used to define the operating pressure range and the rate of removal of organic impurities.« less

  1. Improved microwave shielding behavior of carbon nanotube-coated PET fabric using plasma technology

    NASA Astrophysics Data System (ADS)

    Haji, Aminoddin; Semnani Rahbar, Ruhollah; Mousavi Shoushtari, Ahmad

    2014-08-01

    Four different procedures were conducted to load amine functionalized multiwall carbon nanotube (NH2-MWCNT) onto poly (ethylene terephthalate) (PET) fabric surface to obtain a microwave shielding sample. Plasma treated fabric which was subsequently coated with NH2-MWCNT in the presence of acrylic acid was chosen as the best sample. Surface changes in the PET fabrics were investigated by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Wide-angle X-ray diffraction was used to study the crystalline structure of the PET fabric. The microwave shielding performance of the PET fabrics in term of reflection loss was determined using a network analyzer at X-band (8.2-12.4 GHz). The XPS results revealed that the carbon atomic percentage decreased while the oxygen atomic percentage increased when the fabric was plasma treated and coated with NH2-MWCNT. The SEM images showed that the NH2-MWCNTs were homogenously dispersed and individually separated in the surface of fabric. Moreover, the structural studies showed that the crystalline region of the fabrics was not affected by NH2-MWCNT and plasma treatment. The best microwave absorbing properties were obtained from the plasma treated fabric which was then coated with 10% NH2-MWCNT in the presence of acrylic acid. It showed a minimum reflection loss of ∼-18.2 dB about 11 GHz. Proper attachments of NH2-MWCNT on the PET fabric surface was explained in the suggested mechanism in which hydrogen bonding and amide linkage are responsible for the achievement of microwave shielding properties with high durability.

  2. Densification kinetics of nanocrystalline zirconia powder using microwave and spark plasma sintering--a comparative study.

    PubMed

    Vasylkiv, Oleg; Demirskyi, Dmytro; Sakka, Yoshio; Ragulya, Andrey; Borodianska, Hanna

    2012-06-01

    Two-stage densification process of nanosized 3 mol% yttria-stabilized zirconia (3Y-SZ) polycrystalline compacts during consolidation via microwave and spark-plasma sintering have been observed. The values of activation energies obtained for microwave and spark-plasma sintering 260-275 kJ x mol(-1) are quite similar to that of conventional sintering of zirconia, suggesting that densification during initial stage is controlled by the grain-boundary diffusion mechanism. The sintering behavior during microwave sintering was significantly affected by preliminary pressing conditions, as the surface diffusion mechanism (230 kJ x mol(-1)) is active in case of cold-isostatic pressing procedure was applied.

  3. Method And Apparatus For Launching Microwave Energy Into A Plasma Processing Chamber

    DOEpatents

    DOUGHTY, FRANK C.; [et al

    2001-05-01

    A method and apparatus for launching microwave energy to a plasma processing chamber in which the required magnetic field is generated by a permanent magnet structure and the permanent magnet material effectively comprises one or more surfaces of the waveguide structure. The waveguide structure functions as an impedance matching device and controls the field pattern of the launched microwave field to create a uniform plasma. The waveguide launcher may comprise a rectangular waveguide, a circular waveguide, or a coaxial waveguide with permanent magnet material forming the sidewalls of the guide and a magnetization pattern which produces the required microwave electron cyclotron resonance magnetic field, a uniform field absorption pattern, and a rapid decay of the fields away from the resonance zone. In addition, the incorporation of permanent magnet material as a portion of the waveguide structure places the magnetic material in close proximity to the vacuum chamber, allowing for a precisely controlled magnetic field configuration, and a reduction of the amount of permanent magnet material required.

  4. EFFICIENT AND GREENER CHEMICAL SYNTHESES USING MICROWAVE IRRADIATION

    EPA Science Inventory

    The diverse nature of chemical entities requires various ‘green’ strategic pathways in our quest towards attaining sustainability. A solvent-free approach involving microwave (MW) exposure of neat reactants (undiluted) catalyzed by the surfaces of less-expensive and rec...

  5. Carbon dioxide dissociation in non-thermal radiofrequency and microwave plasma

    NASA Astrophysics Data System (ADS)

    Huang, Qiang; Zhang, Diyu; Wang, Dongping; Liu, Kezhao; Kleyn, Aart W.

    2017-07-01

    We have studied carbon dioxide dissociation in inductively coupled radiofrequency plasma and microwave plasma at low gas pressure. Both systems exhibit features of non-thermal plasma. The highest energy efficiency observed is 59.3% (2.13 mmol kJ-1), exceeding the maximum value of about 45% in case of thermodynamic equilibrium, and a maximum conversion of 80.6% is achieved. Different discharge conditions, such as the source frequency, discharge gas pressure and the addition of argon, will affect the plasma parameters, especially the electron energy distribution. This plays a great role in the energy transfer from non-thermal plasma to the molecular dissociation reaction channel by enabling the ladder climbing of the carbon dioxide molecular vibration. The results indicate the importance of ladder climbing.

  6. Profiles of ion beams and plasma parameters on a multi-frequencies microwaves large bore electron cyclotron resonance ion source with permanent magnets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kato, Yushi; Sakamoto, Naoki; Kiriyama, Ryutaro

    2012-02-15

    In order to contribute to various applications of plasma and beams based on an electron cyclotron resonance, a new concept on magnetic field with all magnets on plasma production and confinement has been proposed with enhanced efficiency for broad and dense ion beam. The magnetic field configuration consists of a pair of comb-shaped magnet surrounding plasma chamber cylindrically. Resonance zones corresponding for 2.45 GHz and 11-13 GHz frequencies are positioned at spatially different positions. We launch simultaneously multiplex frequencies microwaves operated individually, try to control profiles of the plasma parameters and the extracted ion beams, and to measure them inmore » detail.« less

  7. Microwave-assisted Chemical Transformations

    EPA Science Inventory

    In recent years, there has been a considerable interest in developing sustainable chemistries utilizing green chemistry principles. Since the first published report in 1986 by Gedye and Giguere on microwave assisted synthesis in household microwave ovens, the use of microwaves as...

  8. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  9. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  10. Alignment mechanism of carbon nanofibers produced by plasma-enhanced chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-10-01

    We report experimental evidence showing a direct correlation between the alignment of carbon nanofibers (CNFs) prepared by plasma-enhanced chemical-vapor deposition and the location of the catalyst particle during CNF growth. In particular, we find that CNFs that have a catalyst particle at the tip (i.e., growth proceeds from the tip) align along the electric-field lines, whereas CNFs with the particle at the base (i.e., growth proceeds from the base) grow in random orientations. We propose a model that explains the alignment process as a result of a feedback mechanism associated with a nonuniform stress (part tensile, part compressive) that is created across the interface of the catalyst particle with the CNF due to electrostatic forces. Furthermore, we propose that the alignment seen recently in some dense CNF films is due to a crowding effect and is not directly the result of electrostatic forces.

  11. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    PubMed Central

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  12. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  13. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    NASA Astrophysics Data System (ADS)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  14. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  15. Physico-chemical characteristics of microwave-dried wheat distillers grain with solubles.

    PubMed

    Mosqueda, Maria Rosario P; Tabil, Lope G; Meda, Venkatesh

    2013-01-01

    Laboratory-prepared samples of wheat distillers grain with solubles with varying condensed distillers solubles (CDS) content were dried under varying microwave power, and microwave convection settings using a domestic microwave oven to examine their effect on the chemical, structural, color, flow, compression, thermal, and frictional properties of the product, which is dried distillers grain with solubles (DDGS). As CDS level increased, protein and ash content increased, while fat and fiber content decreased in wheat-based DDGS. Fat content was also markedly effected by the microwave oven drying conditions. While CDS level, microwave power or microwave convection setting, and/or their interactions significantly effected a number of physical properties; results indicated that CDS level had a stronger influence compared to the other factors. DDGS samples with high CDS levels were significantly denser, finer but more differentiated in size, less flowable, and less dispersible. These also produced denser and stronger pellets.

  16. Sensitive elemental detection using microwave-assisted laser-induced breakdown imaging

    NASA Astrophysics Data System (ADS)

    Iqbal, Adeel; Sun, Zhiwei; Wall, Matthew; Alwahabi, Zeyad T.

    2017-10-01

    This study reports a sensitive spectroscopic method for quantitative elemental detection by manipulating the temporal and spatial parameters of laser-induced plasma. The method was tested for indium detection in solid samples, in which laser ablation was used to generate a tiny plasma. The lifetime of the laser-induced plasma can be extended to hundreds of microseconds using microwave injection to remobilize the electrons. In this novel method, temporal integrated signal of indium emission was significantly enhanced. Meanwhile, the projected detectable area of the excited indium atoms was also significantly improved using an interference-, instead of diffraction-, based technique, achieved by directly imaging microwave-enhanced plasma through a novel narrow-bandpass filter, exactly centered at the indium emission line. Quantitative laser-induce breakdown spectroscopy was also recorded simultaneously with the new imaging method. The intensities recorded from both methods exhibit very good mutual linear relationship. The detection intensity was improved to 14-folds because of the combined improvements in the plasma lifetime and the area of detection.

  17. Disintegration of Carbon Dioxide Molecules in a Microwave Plasma Torch.

    PubMed

    Kwak, Hyoung S; Uhm, Han S; Hong, Yong C; Choi, Eun H

    2015-12-17

    A pure carbon dioxide torch is generated by making use of 2.45 GHz microwave. Carbon dioxide gas becomes the working gas and produces a stable carbon dioxide torch. The torch volume is almost linearly proportional to the microwave power. Temperature of the torch flame is measured by making use of optical spectroscopy and thermocouple. Two distinctive regions are exhibited, a bright, whitish region of high-temperature zone and a bluish, dimmer region of relatively low-temperature zone. Study of carbon dioxide disintegration and gas temperature effects on the molecular fraction characteristics in the carbon dioxide plasma of a microwave plasma torch under atmospheric pressure is carried out. An analytical investigation of carbon dioxide disintegration indicates that substantial fraction of carbon dioxide molecules disintegrate and form other compounds in the torch. For example, the normalized particle densities at center of plasma are given by nCO2/nN = 6.12 × 10(-3), nCO/nN = 0.13, nC/nN = 0.24, nO/nN = 0.61, nC2/nN = 8.32 × 10(-7), nO2/nN = 5.39 × 10(-5), where nCO2, nCO, nC, nO, nC2, and nO2 are carbon dioxide, carbon monoxide, carbon and oxygen atom, carbon and oxygen molecule densities, respectively. nN is the neutral particle density. Emission profiles of the oxygen and carbon atom radicals and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch.

  18. Disintegration of Carbon Dioxide Molecules in a Microwave Plasma Torch

    PubMed Central

    Kwak, Hyoung S.; Uhm, Han S.; Hong, Yong C.; Choi, Eun H.

    2015-01-01

    A pure carbon dioxide torch is generated by making use of 2.45 GHz microwave. Carbon dioxide gas becomes the working gas and produces a stable carbon dioxide torch. The torch volume is almost linearly proportional to the microwave power. Temperature of the torch flame is measured by making use of optical spectroscopy and thermocouple. Two distinctive regions are exhibited, a bright, whitish region of high-temperature zone and a bluish, dimmer region of relatively low-temperature zone. Study of carbon dioxide disintegration and gas temperature effects on the molecular fraction characteristics in the carbon dioxide plasma of a microwave plasma torch under atmospheric pressure is carried out. An analytical investigation of carbon dioxide disintegration indicates that substantial fraction of carbon dioxide molecules disintegrate and form other compounds in the torch. For example, the normalized particle densities at center of plasma are given by nCO2/nN = 6.12 × 10−3, nCO/nN = 0.13, nC/nN = 0.24, nO/nN = 0.61, nC2/nN = 8.32 × 10−7, nO2/nN = 5.39 × 10−5, where nCO2, nCO, nC, nO, nC2, and nO2 are carbon dioxide, carbon monoxide, carbon and oxygen atom, carbon and oxygen molecule densities, respectively. nN is the neutral particle density. Emission profiles of the oxygen and carbon atom radicals and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. PMID:26674957

  19. Strong enhancement of dispersion forces from microwave radiation

    NASA Astrophysics Data System (ADS)

    Sernelius, B. E.

    2002-11-01

    We have studied non-thermal effects of microwave radiation on the forces between objects. This is the first step in a study of possible effects of microwave radiation from cellular phones on biological tissue. We have used a simplified model for human blood cells in blood. We find for the normal radiation level of cellular phones an enhancement of the attractive force with ten orders of magnitude as compared to the corresponding effect at thermal radiation.

  20. Slit shaped microwave induced atmospheric pressure plasma based on a parallel plate transmission line resonator

    NASA Astrophysics Data System (ADS)

    Kang, S. K.; Seo, Y. S.; Lee, H. Wk; Aman-ur-Rehman; Kim, G. C.; Lee, J. K.

    2011-11-01

    A new type of microwave-excited atmospheric pressure plasma source, based on the principle of parallel plate transmission line resonator, is developed for the treatment of large areas in biomedical applications such as skin treatment and wound healing. A stable plasma of 20 mm width is sustained by a small microwave power source operated at a frequency of 700 MHz and a gas flow rate of 0.9 slm. Plasma impedance and plasma density of this plasma source are estimated by fitting the calculated reflection coefficient to the measured one. The estimated plasma impedance shows a decreasing trend while estimated plasma density shows an increasing trend with the increase in the input power. Plasma uniformity is confirmed by temperature and optical emission distribution measurements. Plasma temperature is sustained at less than 40 °C and abundant amounts of reactive species, which are important agents for bacteria inactivation, are detected over the entire plasma region. Large area treatment ability of this newly developed device is verified through bacteria inactivation experiment using E. coli. Sterilization experiment shows a large bacterial killing mark of 25 mm for a plasma treatment time of 10 s.

  1. Microstructure and antibacterial properties of microwave plasma nitrided layers on biomedical stainless steels

    NASA Astrophysics Data System (ADS)

    Lin, Li-Hsiang; Chen, Shih-Chung; Wu, Ching-Zong; Hung, Jing-Ming; Ou, Keng-Liang

    2011-06-01

    Nitriding of AISI 303 austenitic stainless steel using microwave plasma system at various temperatures was conducted in the present study. The nitrided layers were characterized via scanning electron microscopy, glancing angle X-ray diffraction, transmission electron microscopy and Vickers microhardness tester. The antibacterial properties of this nitrided layer were evaluated. During nitriding treatment between 350 °C and 550 °C, the phase transformation sequence on the nitrided layers of the alloys was found to be γ → (γ + γ N) → (γ + α + CrN). The analytical results revealed that the surface hardness of AISI 303 stainless steel could be enhanced with the formation of γ N phase in nitriding process. Antibacterial test also demonstrated the nitrided layer processed the excellent antibacterial properties. The enhanced surface hardness and antibacterial properties make the nitrided AISI 303 austenitic stainless steel to be one of the essential materials in the biomedical applications.

  2. Abatement of fluorinated compounds using a 2.45GHz microwave plasma torch with a reverse vortex plasma reactor.

    PubMed

    Kim, J H; Cho, C H; Shin, D H; Hong, Y C; Shin, Y W

    2015-08-30

    Abatement of fluorinated compounds (FCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. We have developed a 2.45GHz microwave plasma torch with reverse vortex reactor (RVR). In order to design a reverse vortex plasma reactor, we calculated a volume fraction and temperature distribution of discharge gas and waste gas in RVR by ANSYS CFX of computational fluid dynamics (CFD) simulation code. Abatement experiments have been performed with respect to SF6, NF3 by varying plasma power and N2 flow rates, and FCs concentration. Detailed experiments were conducted on the abatement of NF3 and SF6 in terms of destruction and removal efficiency (DRE) using Fourier transform infrared (FTIR). The DRE of 99.9% for NF3 was achieved without an additive gas at the N2 flow rate of 150 liter per minute (L/min) by applying a microwave power of 6kW with RVR. Also, a DRE of SF6 was 99.99% at the N2 flow rate of 60 L/min using an applied microwave power of 6kW. The performance of reverse vortex reactor increased about 43% of NF3 and 29% of SF6 abatements results definition by decomposition energy per liter more than conventional vortex reactor. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    NASA Astrophysics Data System (ADS)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  4. Microwave generated solid dispersions containing Ibuprofen.

    PubMed

    Moneghini, Mariarosa; Bellich, Barbara; Baxa, Pietro; Princivalle, Francesco

    2008-09-01

    The purpose of this study was to apply the attractive technique of the microwaves irradiation (MW) for the preparation of solvent-free solid dispersions (SD). In particular, the microwave technology has been considered in order to prepare an enhanced release dosage form for the poorly soluble drug Ibuprofen (IBU), employing PVP/VA 60/40 (PVP/VA 64) and hydroxypropyl-beta-cyclodextrin (HP-beta-CD) as hydrophilic carriers. Their physico-chemical characteristics and dissolution properties were compared to the corresponding physical mixtures and the drug alone. The results of physico-chemical characterization attested a correspondence of the solid state of the drug before and after irradiation treatment and that an amorphous form of the drug was obtained. This result, together with the presence of the hydrophilic polymers determined a remarkable enhancement of the in vitro dissolution rate of the drug suggesting that the microwave technique could be considered as a new and interesting method to prepare drug-polymer systems.

  5. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    NASA Astrophysics Data System (ADS)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  6. CONTINUOUS MICROWAVE REACTORS FOR ORGANIC SYNTHESIS: HYDRODECHLORINATION AND HYDROLYSIS

    EPA Science Inventory

    Microwave heating has been sought as a convenient way of enhancing chemical processes. The advantages of microwave heating, such as selective direct heating of materials of a catalytic site, minimized fouling on hot surfaces, process simplicity, rapid startup, as well as the poss...

  7. Synthesis of graphene by cobalt-catalyzed decomposition of methane in plasma-enhanced CVD: Optimization of experimental parameters with Taguchi method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mehedi, H.-A.; Baudrillart, B.; Gicquel, A.

    2016-08-14

    This article describes the significant roles of process parameters in the deposition of graphene films via cobalt-catalyzed decomposition of methane diluted in hydrogen using plasma-enhanced chemical vapor deposition (PECVD). The influence of growth temperature (700–850 °C), molar concentration of methane (2%–20%), growth time (30–90 s), and microwave power (300–400 W) on graphene thickness and defect density is investigated using Taguchi method which enables reaching the optimal parameter settings by performing reduced number of experiments. Growth temperature is found to be the most influential parameter in minimizing the number of graphene layers, whereas microwave power has the second largest effect on crystalline qualitymore » and minor role on thickness of graphene films. The structural properties of PECVD graphene obtained with optimized synthesis conditions are investigated with Raman spectroscopy and corroborated with atomic-scale characterization performed by high-resolution transmission electron microscopy and scanning tunneling microscopy, which reveals formation of continuous film consisting of 2–7 high quality graphene layers.« less

  8. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  9. Enhanced microwave absorption properties of Fe3O4-modified flaky FeSiAl

    NASA Astrophysics Data System (ADS)

    He, Jun; Deng, Lianwen; Liu, Sheng; Yan, Shuoqing; Luo, Heng; Li, Yuhan; He, Longhui; Huang, Shengxiang

    2017-12-01

    The magnetic insulator Fe3O4-modified flaky Fe85Si9.5Al5.5 (FeSiAl) powders with significantly enhanced electromagnetic wave absorption properties in the frequency range of 2-8 GHz were prepared by chemical co-precipitation. X-ray diffraction (XRD) and scanning electron microscopy (SEM) have confirmed the formation of nanoparticles Fe3O4 precipitated on the flake-shaped FeSiAl. The electromagnetic measurements of the modified flakes presents a nearly invariable complex permeability and decreased complex permittivity in the 2-8 GHz, as well as improved impedance matching performance. More importantly, an excellent microwave absorbing performance with the bandwidth (RL <-10 dB) of 5.36 GHz is achieved in modified sample with the thickness of 1.5 mm, which is a promising microwave absorbing material in 2-8 GHz.

  10. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  11. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  12. CONTINUOUS FLOW MICROWAVE REACTORS FOR ORGANIC SYNTHESIS: HYDRODECHLORINATION, HETROCYCLIZATION, ISOMERIZATION

    EPA Science Inventory

    Microwave heating has been sought as a convenient way of enhancing chemical processes. The advantages of microwave heating, such as selective direct heating of materials of a catalytic site, minimized fouling on hot surfaces, process simplicity, rapid startup, as well as the pos...

  13. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  14. Dual-mode microwave system to enhance early detection of cancer

    NASA Technical Reports Server (NTRS)

    Carr, K. L.; El-Mahdi, A. M.; Shaeffer, J.

    1981-01-01

    A dual-mode microwave system has been developed that will permit early detection of cancer. The system combines the use of the passive microwave radiometer with an active transmitter. The active transmitter will provide localized heating to enhance early detection by taking advantage of the differential heating (i.e., tumor temperature with respect to surrounding tissue) associated with the thermal characteristics of tumors.

  15. Effect of microwave argon plasma on the glycosidic and hydrogen bonding system of cotton cellulose.

    PubMed

    Prabhu, S; Vaideki, K; Anitha, S

    2017-01-20

    Cotton fabric was processed with microwave (Ar) plasma to alter its hydrophilicity. The process parameters namely microwave power, process gas pressure and processing time were optimized using Box-Behnken method available in the Design Expert software. It was observed that certain combinations of process parameters improved existing hydrophilicity while the other combinations decreased it. ATR-FTIR spectral analysis was used to identify the strain induced in inter chain, intra chain, and inter sheet hydrogen bond and glycosidic covalent bond due to plasma treatment. X-ray diffraction (XRD) studies was used to analyze the effect of plasma on unit cell parameters and degree of crystallinity. Fabric surface etching was identified using FESEM analysis. Thus, it can be concluded that the increase/decrease in the hydrophilicity of the plasma treated fabric was due to these structural and physical changes. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. A microwave interferometer for small and tenuous plasma density measurements.

    PubMed

    Tudisco, O; Lucca Fabris, A; Falcetta, C; Accatino, L; De Angelis, R; Manente, M; Ferri, F; Florean, M; Neri, C; Mazzotta, C; Pavarin, D; Pollastrone, F; Rocchi, G; Selmo, A; Tasinato, L; Trezzolani, F; Tuccillo, A A

    2013-03-01

    The non-intrusive density measurement of the thin plasma produced by a mini-helicon space thruster (HPH.com project) is a challenge, due to the broad density range (between 10(16) m(-3) and 10(19) m(-3)) and the small size of the plasma source (2 cm of diameter). A microwave interferometer has been developed for this purpose. Due to the small size of plasma, the probing beam wavelength must be small (λ = 4 mm), thus a very high sensitivity interferometer is required in order to observe the lower density values. A low noise digital phase detector with a phase noise of 0.02° has been used, corresponding to a density of 0.5 × 10(16) m(-3).

  17. Microbial decontamination of onion powder using microwave-powered cold plasma treatments.

    PubMed

    Kim, Jung Eun; Oh, Yeong Ji; Won, Mee Yeon; Lee, Kwang-Sik; Min, Sea C

    2017-04-01

    The effects of microwave-integrated cold plasma (CP) treatments against spores of Bacillus cereus and Aspergillus brasiliensis and Escherichia coli O157:H7 on onion powder were investigated. The growth of B. cereus, A. brasiliensis, and E. coli O157:H7 in the treated onion powder was assessed during storage at 4 and 25 °C, along with the physicochemical and sensory properties of the powder. Onion powder inoculated with B. cereus was treated with CP using helium as a plasma-forming gas, with simultaneous exposure to low microwave density at 170 mW m -2 or high microwave density at 250 mW m -2 . High microwave density-CP treatment (HMCPT) was more effective than low microwave density-CP treatment (LMCPT) in inhibiting B. cereus spores, but induced the changes in the volatile profile of powder. Increase in treatment time in HMCPT yielded greater inhibition of B. cereus spores. Vacuum drying led to greater inhibition of spores of B. cereus and A. brasiliensis than hot-air drying. HMCPT at 400 W for 40 min, determined as the optimum conditions for B. cereus spore inhibition, initially reduced the numbers of B. cereus, A. brasiliensis, and E. coli O157:H7 by 2.1 log spores/cm 2 , 1.6 log spores/cm 2 , and 1.9 CFU/cm 2 , respectively. The reduced number of B. cereus spores remained constant, while the number of A. brasiliensis spores in the treated powder increased gradually during storage at 4 and 25 °C and was not different from the number of spores in untreated samples by the end of storage at 4 °C. The E. coli counts in the treated powder fell below the level of detection after day 21 at both temperatures. HMCPT did not affect the color, antioxidant activity, or quercetin concentration of the powder during storage at both temperatures. The microwave-integrated CPTs showed potential for nonthermal decontamination of onion powder. Copyright © 2016 Elsevier Ltd. All rights reserved.

  18. Plasma heating and current drive using intense, pulsed microwaves

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cohen, B.I.; Cohen, R.H.; Nevins, W.M.

    1988-01-01

    The use of powerful new microwave sources, e.g., free-electron lasers and relativistic gyrotrons, provide unique opportunities for novel heating and current-drive schemes in the electron-cyclotron and lower-hybrid ranges of frequencies. These high-power, pulsed sources have a number of technical advantages over conventional, low-intensity sources; and their use can lead to improved current-drive efficiencies and better penetration into a reactor-grade plasma in specific cases. The Microwave Tokamak Experiment at Lawrence Livermore National Laboratory will provide a test for some of these new heating and current-drive schemes. This paper reports theoretical progress both in modeling absorption and current drive for intense pulsesmore » and in analyzing some of the possible complications that may arise, e.g., parametric instabilities and nonlinear self-focusing. 22 refs., 9 figs., 1 tab.« less

  19. Morphological Transition in Diamond Thin-Films Induced by Boron in a Microwave Plasma Deposition Process.

    PubMed

    Baker, Paul A; Goodloe, David R; Vohra, Yogesh K

    2017-11-14

    The purpose of this study is to understand the basic mechanisms responsible for the synthesis of nanostructured diamond films in a microwave plasma chemical vapor deposition (MPCVD) process and to identify plasma chemistry suitable for controlling the morphology and electrical properties of deposited films. The nanostructured diamond films were synthesized by MPCVD on Ti-6Al-4V alloy substrates using H₂/CH₄/N₂ precursor gases and the plasma chemistry was monitored by the optical emission spectroscopy (OES). The synthesized thin-films were characterized by x -ray diffraction and scanning electron microscopy. The addition of B₂H₆ to the feedgas during MPCVD of diamond thin-films changes the crystal grain size from nanometer to micron scale. Nanostructured diamond films grown with H₂/CH₄/N₂ gases demonstrate a broad (111) Bragg x -ray diffraction peak (Full-Width at Half-Maximum (FWHM) = 0.93° 2θ), indicating a small grain size, whereas scans show a definite sharpening of the diamond (111) peak (FWHM = 0.30° 2θ) with the addition of boron. OES showed a decrease in CN (carbon-nitrogen) radical in the plasma with B₂H₆ addition to the gas mixture. Our study indicates that CN radical plays a critical role in the synthesis of nanostructured diamond films and suppression of CN radical by boron-addition in the plasma causes a morphological transition to microcrystalline diamond.

  20. Practical silicon deposition rules derived from silane monitoring during plasma-enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartlome, Richard, E-mail: richard.bartlome@alumni.ethz.ch; De Wolf, Stefaan; Demaurex, Bénédicte

    2015-05-28

    We clarify the difference between the SiH{sub 4} consumption efficiency η and the SiH{sub 4} depletion fraction D, as measured in the pumping line and the actual reactor of an industrial plasma-enhanced chemical vapor deposition system. In the absence of significant polysilane and powder formation, η is proportional to the film growth rate. Above a certain powder formation threshold, any additional amount of SiH{sub 4} consumed translates into increased powder formation rather than into a faster growing Si film. In order to discuss a zero-dimensional analytical model and a two-dimensional numerical model, we measure η as a function of themore » radio frequency (RF) power density coupled into the plasma, the total gas flow rate, the input SiH{sub 4} concentration, and the reactor pressure. The adjunction of a small trimethylboron flow rate increases η and reduces the formation of powder, while the adjunction of a small disilane flow rate decreases η and favors the formation of powder. Unlike η, D is a location-dependent quantity. It is related to the SiH{sub 4} concentration in the plasma c{sub p}, and to the phase of the growing Si film, whether the substrate is glass or a c-Si wafer. In order to investigate transient effects due to the RF matching, the precoating of reactor walls, or the introduction of a purifier in the gas line, we measure the gas residence time and acquire time-resolved SiH{sub 4} density measurements throughout the ignition and the termination of a plasma.« less

  1. A Kinetic Study of Microwave Start-up of Tokamak Plasmas

    NASA Astrophysics Data System (ADS)

    du Toit, E. J.; O'Brien, M. R.; Vann, R. G. L.

    2017-07-01

    A kinetic model for studying the time evolution of the distribution function for microwave startup is presented. The model for the distribution function is two dimensional in momentum space, but, for simplicity and rapid calculations, has no spatial dependence. Experiments on the Mega Amp Spherical Tokamak have shown that the plasma current is carried mainly by electrons with energies greater than 70 keV, and effects thought to be important in these experiments are included, i.e. particle sources, orbital losses, the loop voltage and microwave heating, with suitable volume averaging where necessary to give terms independent of spatial dimensions. The model predicts current carried by electrons with the same energies as inferred from the experiments, though the current drive efficiency is smaller.

  2. Relationship between Intensity of Fullerene-Mass Spectrum and Carbon Vibrational Temperature in Microwave-Helium Plasmas

    NASA Astrophysics Data System (ADS)

    Ueda, Kengo; Kuwahara, Kiyoshi; Fujiyama, Hiroshi

    1999-07-01

    Soot containing fullerenes, such as C60 and C70, was synthesized with He plasmas generated in a quartz tube by microwave-glow discharge. A reticulated vitreous carbon (RVC) heated by the microwave He plasmas with an electric field of TE10 mode was used as the carbon source. Swan bands of C2 molecules were observed during the synthesis by optical emission spectroscopy (OES) in order to investigate the effect of the vibrational temperature of C2 molecules on the formation of the fullerenes. The soot deposited on the quartz tube was analyzed by laser desorption time-of-flight mass-spectroscopy (LD-TOF-MS). The intensities of the mass spectra of fullerenes were confirmed to be maximum for the conditions as follows: the absorbed microwave power Pab=200 W and the He gas pressure P=100 Torr, while the C2 vibrational temperature was approximately 7000 K.

  3. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  4. Characterization of doped hydrogenated nanocrystalline silicon films prepared by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Wang, Jin-Liang; Wu, Er-Xing

    2007-03-01

    The B- and P-doped hydrogenated nanocrystalline silicon films (nc-Si:H) are prepared by plasma-enhanced chemical vapour deposition (PECVD). The microstructures of doped nc-Si:H films are carefully and systematically characterized by using high resolution electron microscopy (HREM), Raman scattering, x-ray diffraction (XRD), Auger electron spectroscopy (AES), and resonant nucleus reaction (RNR). The results show that as the doping concentration of PH3 increases, the average grain size (d) tends to decrease and the crystalline volume percentage (Xc) increases simultaneously. For the B-doped samples, as the doping concentration of B2H6 increases, no obvious change in the value of d is observed, but the value of Xc is found to decrease. This is especially apparent in the case of heavy B2H6 doped samples, where the films change from nanocrystalline to amorphous.

  5. Millimeter wave generation by relativistic electron beams and microwave-plasma interaction

    NASA Astrophysics Data System (ADS)

    Kuo, Spencer

    1990-12-01

    The design and operation of a compact, high power, millimeter wave source (cusptron) has been completed and proven successful. Extensive theoretical analysis of cusptron beam and rf dynamics has been carried out and published. Theory agrees beautifully with experiment. Microwave Bragg scattering due to been achieved by using expanding plasmas to upshift rf signal frequencies.

  6. Production of nitric oxide using a microwave plasma torch and its application to fungal cell differentiation

    NASA Astrophysics Data System (ADS)

    Na, Young Ho; Kumar, Naresh; Kang, Min-Ho; Cho, Guang Sup; Choi, Eun Ha; Park, Gyungsoon; Uhm, Han Sup

    2015-03-01

    The generation of nitric oxide by a microwave plasma torch is proposed for its application to cell differentiation. A microwave plasma torch was developed based on basic kinetic theory. The analytical theory indicates that nitric oxide density is nearly proportional to oxygen molecular density and that the high-temperature flame is an effective means of generating nitric oxide. Experimental data pertaining to nitric oxide production are presented in terms of the oxygen input in units of cubic centimeters per minute. The apparent length of the torch flame increases as the oxygen input increases. The various levels of nitric oxide are observed depending on the flow rate of nitrogen gas, the mole fraction of oxygen gas, and the microwave power. In order to evaluate the potential of nitric oxide as an activator of cell differentiation, we applied nitric oxide generated from the microwave plasma torch to a model microbial cell (Neurospora crassa: non-pathogenic fungus). Germination and hyphal differentiation of fungal cells were not dramatically changed but there was a significant increase in spore formation after treatment with nitric oxide. In addition, the expression level of a sporulation related gene acon-3 was significantly elevated after 24 h upon nitric oxide treatment. Increase in the level of nitric oxide, nitrite and nitrate in water after nitric oxide treatment seems to be responsible for activation of fungal sporulation. Our results suggest that nitric oxide generated by plasma can be used as a possible activator of cell differentiation and development.

  7. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    NASA Astrophysics Data System (ADS)

    Hamaguchi, Satoshi

    2013-07-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  8. Investigation of some process parameters using microwave plasma technology for the treatment of radioactive waste

    NASA Astrophysics Data System (ADS)

    Trnovcevic, J.; Schneider, F.; Scherer, U. W.

    2017-02-01

    The production of nuclear energy and the application of other nuclear technologies produce large volumes of low- and intermediate-level radioactive wastes. To investigate a novel means of treating such wastes, plasma is investigated for its efficacy. Plasma treatment promises to simultaneously treat all waste types without any previous sorting or pre-treatment. Microwave-driven plasma torches have the advantage of high-energy efficiency and low-electrode wear. In small-scale experiments, several design variations of an open plasma oven were assembled in order to investigate constraints caused by the materials and oven geometry. The experimental set-up was modified several times in order to test the design characteristics and the variation of plasma-specific proprieties related to the radioactive waste treatment and in order to find a suitable solution with the minimum complexity that allows a representative reproducibility of the results obtained. A plasma torch controlled by a 2.45 GHz microwave signal of up to 200 W was used, employing air as the primary plasma gas with a flow rate of ∼2 L/min. Different organic and inorganic materials in different shapes and sizes were treated besides a standardized mixture resembling mixed wastes from nuclear plants. The results prove that the chosen microwave plasma torch is suitable for a combined combustion and melting of organic and in-organic materials. Investigation of the specimen size to be treated is influential in this process: the power is still too low to melt larger samples, but the temperature is sufficient to treat all kinds of material. When glass particles are added, materials melt together to form an amorphous substance, proving the possibility to vitrify material with this plasma torch. By optimization of the oven configuration, the time needed to combust 25 g of standard sample was reduced by ∼50%. Typical energy efficiencies were found in the range of 8-20% for melting of metal chipping, and ∼90% for

  9. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    NASA Astrophysics Data System (ADS)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  10. Microwave assisted alkaline pretreatment to enhance enzymatic saccharification of catalpa sawdust.

    PubMed

    Jin, Shuguang; Zhang, Guangming; Zhang, Panyue; Li, Fan; Wang, Siqi; Fan, Shiyang; Zhou, Shuqiong

    2016-12-01

    Catalpa sawdust, a promising biofuel production biomass, was pretreated by microwave-water, -NaOH, and -Ca(OH) 2 to enhance enzymatic digestibility. After 48h enzymatic hydrolysis, microwave-Ca(OH) 2 pretreated sample showed the highest reducing sugar yield. The content of hemicellulose and lignin in catalpa sawdust decreased after microwave-alkali pretreatment. SEM observation showed that the catalpa sawdust surface with microwave-Ca(OH) 2 pretreatment suffered the most serious erosion. Crystallinity index of catalpa sawdust increased after all three kinds of pretreatment. The optimum conditions of microwave-Ca(OH) 2 pretreatment were particle size of 40mesh, Ca(OH) 2 dosage of 2.25% (w/v), microwave power of 400W, pretreatment time of 6min, enzyme loading of 175FPU/g, and hydrolysis time of 96h, and the reducing sugar yield of microwave-Ca(OH) 2 pretreated catalpa sawdust reached 402.73mg/g, which increased by 682.15% compared with that of raw catalpa sawdust. The catalpa sawdust with microwave-Ca(OH) 2 pretreatment is promising for biofuel production with great potential. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Electron cyclotron resonance plasma production by using pulse mode microwaves and dependences of ion beam current and plasma parameters on the pulse condition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kiriyama, Ryutaro; Takenaka, Tomoya; Kurisu, Yousuke

    2012-02-15

    We measure the ion beam current and the plasma parameters by using the pulse mode microwave operation in the first stage of a tandem type ECRIS. The time averaged extracted ion beam current in the pulse mode operation is larger than that of the cw mode operation with the same averaged microwave power. The electron density n{sub e} in the pulse mode is higher and the electron temperature T{sub e} is lower than those of the cw mode operation. These plasma parameters are considered to cause in the increase of the ion beam current and are suitable to produce molecularmore » or cluster ions.« less

  12. CERA-V: Microwave plasma stream source with variable ion energy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balmashnov, A.A.

    1996-01-01

    A microwave plasma stream source with variable ion energy operated under low magnetic field electron cyclotron resonance conditions has been developed. A two mode resonant cavity (TE{sub 111}, {ital E}{sub 010}) was used. It was established that overdense plasma creation (TE{sub 111}) and high energy in-phase space localized electron plasma oscillations ({ital E}{sub 010}) in a decreased magnetic field lead to the potential for ion energy variation from 10 to 300 eV (up to 1 A of ion current, and a plasma cross section of 75 cm{sup 2}, hydrogen) by varying the TE{sub 111}, {ital E}{sub 010} power, the valuemore » of the magnetic field, and pressure. The threshold level of {ital E}{sub 010}-mode power was also determined. An application of this CERA-V source to hydrogenation of semiconductor devices without deterioration of surface layers by ions and fast atoms is under investigation. {copyright} {ital 1996 American Vacuum Society}« less

  13. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  14. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torrisi, Giuseppe; University Mediterranea of Reggio Calabria, Reggio Calabria; Mascali, David

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chambermore » radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.« less

  15. Carbon dioxide elimination and regeneration of resources in a microwave plasma torch.

    PubMed

    Uhm, Han S; Kwak, Hyoung S; Hong, Yong C

    2016-04-01

    Carbon dioxide gas as a working gas produces a stable plasma-torch by making use of 2.45 GHz microwaves. The temperature of the torch flame is measured by making use of optical spectroscopy and a thermocouple device. Two distinctive regions are exhibited, a bright, whitish region of a high-temperature zone and a bluish, dimmer region of a relatively low-temperature zone. The bright, whitish region is a typical torch based on plasma species where an analytical investigation indicates dissociation of a substantial fraction of carbon dioxide molecules, forming carbon monoxides and oxygen atoms. The emission profiles of the oxygen atoms and the carbon monoxide molecules confirm the theoretical predictions of carbon dioxide disintegration in the torch. Various hydrocarbon materials may be introduced into the carbon dioxide torch, regenerating new resources and reducing carbon dioxide concentration in the torch. As an example, coal powders in the carbon dioxide torch are converted into carbon monoxide according to the reaction of CO2 + C → 2CO, reducing a substantial amount of carbon dioxide concentration in the torch. In this regards, the microwave plasma torch may be one of the best ways of converting the carbon dioxides into useful new materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. A parametric study of the microwave plasma-assisted combustion of premixed ethylene/air mixtures

    NASA Astrophysics Data System (ADS)

    Fuh, Che A.; Wu, Wei; Wang, Chuji

    2017-11-01

    A parametric study of microwave argon plasma assisted combustion (PAC) of premixed ethylene/air mixtures was carried out using visual imaging, optical emission spectroscopy and cavity ringdown spectroscopy as diagnostic tools. The parameters investigated included the plasma feed gas flow rate, the plasma power, the fuel equivalence ratio and the total flow rate of the fuel/air mixture. The combustion enhancement effects were characterized by the minimum ignition power, the flame length and the fuel efficiency of the combustor. It was found that: (1) increasing the plasma feed gas flow rate resulted in a decrease in the flame length, an increase in the minimum ignition power for near stoichiometric fuel equivalence ratios and a corresponding decrease in the minimum ignition power for ultra-lean and rich fuel equivalence ratios; (2) at a constant plasma power, increasing the total flow rate of the ethylene/air mixture from 1.0 slm to 1.5 slm resulted in an increase in the flame length and a reduction in the fuel efficiency; (3) increasing the plasma power resulted in a slight increase in flame length as well as improved fuel efficiency with fewer C2(d) and CH(A) radicals present downstream of the flame; (4) increasing the fuel equivalence ratio caused an increase in flame length but at a reduced fuel efficiency when plasma power was kept constant; and (5) the ground state OH(X) number density was on the order of 1015 molecules/cm3 and was observed to drop downstream along the propagation axis of the flame at all parameters investigated. Results suggest that each of the parameters independently influences the PAC processes.

  17. Electromagnetic optimisation of a 2.45 GHz microwave plasma source operated at atmospheric pressure and designed for hydrogen production

    NASA Astrophysics Data System (ADS)

    Miotk, R.; Jasiński, M.; Mizeraczyk, J.

    2018-03-01

    This paper presents the partial electromagnetic optimisation of a 2.45 GHz cylindrical-type microwave plasma source (MPS) operated at atmospheric pressure. The presented device is designed for hydrogen production from liquid fuels, e.g. hydrocarbons and alcohols. Due to industrial requirements regarding low costs for hydrogen produced in this way, previous testing indicated that improvements were required to the electromagnetic performance of the MPS. The MPS has a duct discontinuity region, which is a result of the cylindrical structure located within the device. The microwave plasma is generated in this discontinuity region. Rigorous analysis of the region requires solving a set of Maxwell equations, which is burdensome for complicated structures. Furthermore, the presence of the microwave plasma increases the complexity of this task. To avoid calculating the complex Maxwell equations, we suggest the use of the equivalent circuit method. This work is based upon the idea of using a Weissfloch circuit to characterize the area of the duct discontinuity and the plasma. The resulting MPS equivalent circuit allowed the calculation of a capacitive metallic diaphragm, through which an improvement in the electromagnetic performance of the plasma source was obtained.

  18. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    NASA Astrophysics Data System (ADS)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  19. Microwave enhanced recovery of nickel-copper ore: communition and floatability aspects.

    PubMed

    Henda, R; Hermas, A; Gedye, R; Islam, M R

    2005-01-01

    A study describing the effect of microwave radiation, at a frequency of 2450 MHz, on the processes of communication and flotation of a complex sulphide nickel-copper ore is presented. Ore communication has been investigated under standard radiation-free conditions and after ore treatment in a radiated environment as a function of ore size, exposure time to radiation, and microwave power. The findings show that communication is tremendously improved by microwave radiation with values of the relative work index as low as 23% at a microwave power of 1.406 kW and after 10 s of exposure time. Communication is affected by exposure time and microwave power in a nontrivial manner. In terms of ore floatability, the experimental tests have been carried out on a sample of 75 microm in size under different exposure times. The results show that both ore concentrate recoveries and grades of nickel and copper are significantly enhanced after microwave treatment of the ore with relative increases in recovered concentrate, grade of nickel, and grade of copper of 26 wt%, 15 wt%, and 27%, respectively, at a microwave power of 1330 kW and after 30 s of exposure time.

  20. Beam and Plasma Physics Research

    DTIC Science & Technology

    1990-06-01

    La di~raDy in high power microwave computations and thi-ory and high energy plasma computations and theory. The HPM computations concentrated on...2.1 REPORT INDEX 7 2.2 TASK AREA 2: HIGH-POWER RF EMISSION AND CHARGED- PARTICLE BEAM PHYSICS COMPUTATION , MODELING AND THEORY 10 2.2.1 Subtask 02-01...Vulnerability of Space Assets 22 2.2.6 Subtask 02-06, Microwave Computer Program Enhancements 22 2.2.7 Subtask 02-07, High-Power Microwave Transvertron Design 23

  1. Ionospheric chemical releases

    NASA Technical Reports Server (NTRS)

    Bernhardt, Paul A.; Scales, W. A.

    1990-01-01

    Ionospheric plasma density irregularities can be produced by chemical releases into the upper atmosphere. F-region plasma modification occurs by: (1) chemically enhancing the electron number density; (2) chemically reducing the electron population; or (3) physically convecting the plasma from one region to another. The three processes (production, loss, and transport) determine the effectiveness of ionospheric chemical releases in subtle and surprising ways. Initially, a chemical release produces a localized change in plasma density. Subsequent processes, however, can lead to enhanced transport in chemically modified regions. Ionospheric modifications by chemical releases excites artificial enhancements in airglow intensities by exothermic chemical reactions between the newly created plasma species. Numerical models were developed to describe the creation and evolution of large scale density irregularities and airglow clouds generated by artificial means. Experimental data compares favorably with theses models. It was found that chemical releases produce transient, large amplitude perturbations in electron density which can evolve into fine scale irregularities via nonlinear transport properties.

  2. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  3. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  4. Hydrogen Chemical Configuration and Thermal Stability in Tungsten Disulfide Nanoparticles Exposed to Hydrogen Plasma

    PubMed Central

    Laikhtman, Alex; Makrinich, Gennady; Sezen, Meltem; Yildizhan, Melike Mercan; Martinez, Jose I.; Dinescu, Doru; Prodana, Mariana; Enachescu, Marius; Alonso, Julio A.; Zak, Alla

    2017-01-01

    The chemical configuration and interaction mechanism of hydrogen adsorbed in inorganic nanoparticles of WS2 are investigated. Our recent approaches of using hydrogen activated by either microwave or radiofrequency plasma dramatically increased the efficiency of its adsorption on the nanoparticles surface. In the current work we make an emphasis on elucidation of the chemical configuration of the adsorbed hydrogen. This configuration is of primary importance as it affects its adsorption stability and possibility of release. To get insight on the chemical configuration, we combined the experimental analysis methods with theoretical modeling based on the density functional theory (DFT). Micro-Raman spectroscopy was used as a primary tool to elucidate chemical bonding of hydrogen and to distinguish between chemi- and physisorption. Hydrogen adsorbed in molecular form (H2) was clearly identified in all the plasma-hydrogenated WS2 nanoparticles samples. It was shown that the adsorbed hydrogen is generally stable under high vacuum conditions at room temperature, which implies its stability at the ambient atmosphere. A DFT model was developed to simulate the adsorption of hydrogen in the WS2 nanoparticles. This model considers various adsorption sites and identifies the preferential locations of the adsorbed hydrogen in several WS2 structures, demonstrating good concordance between theory and experiment and providing tools for optimizing of hydrogen exposure conditions and the type of substrate materials. PMID:28596812

  5. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    PubMed

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  6. Modeling of electron behaviors under microwave electric field in methane and air pre-mixture gas plasma assisted combustion

    NASA Astrophysics Data System (ADS)

    Akashi, Haruaki; Sasaki, K.; Yoshinaga, T.

    2011-10-01

    Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found that the simulated emission from 2nd PBS agrees with the experimental result. Recently, plasma-assisted combustion has been focused on for achieving more efficient combustion way of fossil fuels, reducing pollutants and so on. Shinohara et al has reported that the flame length of methane and air premixed burner shortened by irradiating microwave power without increase of gas temperature. This suggests that electrons heated by microwave electric field assist the combustion. They also measured emission from 2nd Positive Band System (2nd PBS) of nitrogen during the irradiation. To clarify this mechanism, electron behavior under microwave power should be examined. To obtain electron transport parameters, electron Monte Carlo simulations in methane and air mixture gas have been done. A simple model has been developed to simulate inside the flame. To make this model simple, some assumptions are made. The electrons diffuse from the combustion plasma region. And the electrons quickly reach their equilibrium state. And it is found

  7. Ignition methods and apparatus using microwave energy

    DOEpatents

    DeFreitas, Dennis Michael; Migliori, Albert

    1997-01-01

    An ignition apparatus for a combustor includes a microwave energy source that emits microwave energy into the combustor at a frequency within a resonant response of the combustor, the combustor functioning as a resonant cavity for the microwave energy so that a plasma is produced that ignites a combustible mixture therein. The plasma preferably is a non-contact plasma produced in free space within the resonant cavity spaced away from with the cavity wall structure and spaced from the microwave emitter.

  8. Modeling of plasma chemical processes in the artificial ionized layer in the upper atmosphere by the nanosecond corona discharge

    NASA Astrophysics Data System (ADS)

    Vikharev, A. L.; Gorbachev, A. M.; Ivanov, O. A.; Kolisko, A. L.; Litvak, A. G.

    1993-08-01

    The plasma chemical processes in the corona discharge formed in air by a series of high voltage pulses of nanosecond duration are investigated experimentally. The experimental conditions (reduced electric field, duration and repetition frequency of the pulses, gas pressure in the chamber) modeled the regime of creation of the artificial ionized layer (AIL) in the upper atmosphere by a nanosecond microwave discharge. It was found that in a nanosecond microwave discharge predominantly generation of ozone occurs, and that the production of nitrogen dioxide is not large. The energy expenditures for the generation of one O 3 molecule were about 15 eV. On the basis of the experimental results the prognosis of the efficiency of ozone generation in AIL was made.

  9. Transmission characteristics of microwave in a glow-discharge dusty plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Jieshu; Yuan, Chengxun, E-mail: yuancx@hit.edu.cn; Gao, Ruilin

    2016-07-15

    In this study, the propagation characteristics of electromagnetic wave in a glow discharge plasma with dust particles are experimentally investigated. A helium alternating current glow discharge plasmas have been successfully generated. Measurements of the plasma parameters using Langmuir probes, in the absence of dust particles, provide plasma densities (n{sub e}) of 10{sup 17 }m{sup −3} and electron temperatures (T{sub e}) ranging from 2 to 4 eV. Dusty plasmas are made by adding 30 nm radius aluminum oxide (Al{sub 2}O{sub 3}) particles into the helium plasma. The density of the dust particle (n{sub d}) in the device is about 10{sup 11}–10{sup 12 }m{sup −3}. Themore » propagation characteristics of electromagnetic waves are determined by a vector network analyzer with 4–6 GHz antennas. An apparent attenuation by the dust is observed, and the measured attenuation data are approximately in accordance with the theoretical calculations. The effects of gas pressure and input power on the propagation are also investigated. Results show that the transmission attenuation increases with the gas pressure and input power, the charged dust particles play a significant role in the microwave attenuation.« less

  10. Diagnostic studies of H2 Ar N2 microwave plasmas containing methane or methanol using tunable infrared diode laser absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Hempel, F.; Davies, P. B.; Loffhagen, D.; Mechold, L.; Röpcke, J.

    2003-11-01

    Tunable infrared diode laser absorption spectroscopy has been used to detect the methyl radical and nine stable molecules, CH4, CH3OH, C2H2, C2H4, C2H6, NH3, HCN, CH2O and C2N2, in H2-Ar-N2 microwave plasmas containing up to 7% of methane or methanol, under both flowing and static conditions. The degree of dissociation of the hydrocarbon precursor molecules varied between 20% and 97%. The methyl radical concentration was found to be in the range 1012-1013 molecules cm-3. By analysing the temporal development of the molecular concentrations under static conditions it was found that HCN and NH3 are the final products of plasma chemical conversion. The fragmentation rates of methane and methanol (RF(CH4) = (2-7) × 1015 molecules J-1, RF(CH3OH) = (6-9) × 1015 molecules J-1) and the respective conversion rates to methane, hydrogen cyanide and ammonia (RCmax(CH4) = 1.2 × 1015 molecules J-1, RCmax(HCN) = 1.3 × 1015 molecules J-1, RCmax(NH3) = 1 × 1014 molecules J-1) have been determined for different hydrogen to nitrogen concentration ratios. An extensive model of the chemical reactions involved in the H2-N2-Ar-CH4 plasma has been developed. Model calculations were performed by including 22 species, 145 chemical reactions and appropriate electron impact dissociation rate coefficients. The results of the model calculations showed satisfactory agreement between calculated and measured concentrations. The most likely main chemical pathways involved in these plasmas are discussed and an appropriate reaction scheme is proposed.

  11. Decontamination of biological warfare agents by a microwave plasma torch

    NASA Astrophysics Data System (ADS)

    Lai, Wilson; Lai, Henry; Kuo, Spencer P.; Tarasenko, Olga; Levon, Kalle

    2005-02-01

    A portable arc-seeded microwave plasma torch running stably with airflow is described and applied for the decontamination of biological warfare agents. Emission spectroscopy of the plasma torch indicated that this torch produced an abundance of reactive atomic oxygen that could effectively oxidize biological agents. Bacillus cereus was chosen as a simulant of Bacillus anthracis spores for biological agent in the decontamination experiments. Decontamination was performed with the airflow rate of 0.393l/s, corresponding to a maximum concentration of atomic oxygen produced by the torch. The experimental results showed that all spores were killed in less than 8 s at 3 cm distance, 12 s at 4 cm distance, and 16 s at 5 cm distance away from the nozzle of the torch.

  12. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  13. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  14. Novel edible oil sources: Microwave heating and chemical properties.

    PubMed

    Hashemi, Seyed Mohammad Bagher; Mousavi Khaneghah, Amin; Koubaa, Mohamed; Lopez-Cervantes, Jaime; Yousefabad, Seyed Hossein Asadi; Hosseini, Seyedeh Fatemeh; Karimi, Masoumeh; Motazedian, Azam; Asadifard, Samira

    2017-02-01

    The aim of this work was to investigate the effect of various microwave heating times (1, 3, 5, 10, and 15min) on the chemical properties of novel edible oil sources, including Mashhadi melon (Cucumis melo var. Iranians cv. Mashhadi), Iranian watermelon (Citrullus lanatus cv. Fire Fon), pumpkin (Cucurbita pepo subsp. pepo var. Styriaca), and yellow apple (Malus domestica cv. Golden Delicious) seed oils. The evaluated parameters were peroxide value (PV), conjugated diene (CD) and triene (CT) values, carbonyl value (CV), p-anisidine value (AnV), oil stability index (OSI), radical scavenging activity (RSA), total tocopherols, total phenolics, as well as chlorophyll and carotenoid contents. Results showed that extended microwave heating involves decreased quality of the seed oils, mainly due to the formation of primary and secondary oxidation products. Microwave heating time also affects the total contents of chlorophylls, carotenoids, phenolics and tocopherols, which clearly decrease by increasing the exposure time. The order of oxidative stability of the analyzed edible oils was pumpkin>Mashhadi melon>Iranian watermelon>yellow apple. The obtained results demonstrated the promising potential of these novel edible oils for different food applications. Copyright © 2016 Elsevier Ltd. All rights reserved.

  15. Microwave exposure as a fast and cost-effective alternative of oxygen plasma treatment of indium-tin oxide electrode for application in organic solar cells

    NASA Astrophysics Data System (ADS)

    Soultati, Anastasia; Kostis, Ioannis; Papadimitropoulos, Giorgos; Zeniou, Angelos; Gogolides, Evangelos; Alexandropoulos, Dimitris; Vainos, Nikos; Davazoglou, Dimitris; Speliotis, Thanassis; Stathopoulos, Nikolaos A.; Argitis, Panagiotis; Vasilopoulou, Maria

    2017-12-01

    Pre-treatment methods are commonly employed to clean as well as to modify electrode surfaces. Many previous reports suggest that modifying the surface properties of indium tin oxide (ITO) by oxygen plasma treatment is a crucial step for the fabrication of high performance organic solar cells. In this work, we propose a fast and cost-effective microwave exposure step for the modification of the surface properties of ITO anode electrodes used in organic solar cells. It is demonstrated that a short microwave exposure improves the hydrophilicity and reduces the roughness of the ITO surface, as revealed by contact angle and atomic force microscopy (AFM) measurements, respectively, leading to a better quality of the PEDOT:PSS film coated on top of it. Similar results were obtained with the commonly used oxygen plasma treatment of ITO suggesting that microwave exposure is an effective process for modifying the surface properties of ITO with the benefits of low-cost, easy and fast processing. In addition, the influence of the microwave exposure of ITO anode electrode on the performance of an organic solar cell based on the poly(3-hexylthiophene):[6,6]-phenyl C70 butyric acid methyl ester (P3HT:PC70BM) blend is investigated. The 71% efficiency enhancement obtained in the microwave annealed-ITO based device as compared to the device with the as-received ITO was mainly attributed to the improvement in the short circuit current (J sc) and decreased leakage current caused by the reduced series and the increased shunt resistances and also by the higher charge generation efficiency, and the reduced recombination losses.

  16. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    NASA Astrophysics Data System (ADS)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  17. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  18. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    NASA Astrophysics Data System (ADS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H2, N2, and O2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H2 in H2/N2 and H2/O2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNHx surface groups in N2 and H2/N2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O2 plasma, whereas the N2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N2 plasma when the substrate temperature was above 1103 K.

  19. Experimental Demonstration of Microwave Signal/Electric Thruster Plasma Interaction Effects

    NASA Technical Reports Server (NTRS)

    Zaman, Afroz J.; Lambert, Kevin M.; Curran, Frank M.

    1995-01-01

    An experiment was designed and conducted in the Electric Propulsion Laboratory of NASA Lewis Research Center to assess the impact of ion thruster exhaust plasma plume on electromagnetic signal propagation. A microwave transmission experiment was set up inside the propulsion test bed using a pair of broadband horn antennas and a 30 cm 2.3 kW ion thruster. Frequency of signal propagation covered from 6.5 to 18 GHz range. The stainless steel test bed when enclosed can be depressurized to simulate a near vacuum environment. A pulsed CW system with gating hardware was utilized to eliminate multiple chamber reflections from the test signal. Microwave signal was transmitted and received between the two hours when the thruster was operating at a given power level in such a way that the signal propagation path crossed directly through the plume volume. Signal attenuation and phase shift due to the plume was measured for the entire frequency band. Results for this worst case configuration simulation indicate that the effects of the ion thruster plume on microwave signals is a negligible attenuation (within 0.15 dB) and a small phase shift (within 8 deg.). This paper describes the detailed experiment and presents some of the results.

  20. An accurate automated technique for quasi-optics measurement of the microwave diagnostics for fusion plasma

    NASA Astrophysics Data System (ADS)

    Hu, Jianqiang; Liu, Ahdi; Zhou, Chu; Zhang, Xiaohui; Wang, Mingyuan; Zhang, Jin; Feng, Xi; Li, Hong; Xie, Jinlin; Liu, Wandong; Yu, Changxuan

    2017-08-01

    A new integrated technique for fast and accurate measurement of the quasi-optics, especially for the microwave/millimeter wave diagnostic systems of fusion plasma, has been developed. Using the LabVIEW-based comprehensive scanning system, we can realize not only automatic but also fast and accurate measurement, which will help to eliminate the effects of temperature drift and standing wave/multi-reflection. With the Matlab-based asymmetric two-dimensional Gaussian fitting method, all the desired parameters of the microwave beam can be obtained. This technique can be used in the design and testing of microwave diagnostic systems such as reflectometers and the electron cyclotron emission imaging diagnostic systems of the Experimental Advanced Superconducting Tokamak.

  1. Effects of low-temperature pretreatment on enhancing properties of refuse-derived fuel via microwave irradiation.

    PubMed

    Liu, Zhen; Wang, Han-Qing; Zhou, Yue-Yun; Zhang, Xiao-Dong; Liu, Jian-Wen

    2017-07-01

    The present study focuses on pretreatment of enhancing the properties of refuse-derived fuel (RDF) via low-temperature microwave irradiation. These improved properties include lower chlorine content, a more porous surface structure and better combustion characteristics. In this study, low-temperature microwave irradiation was carried out in a modified microwave apparatus and the range of temperature was set to be 220-300℃. We found that the microwave absorbability of RDF was enhanced after being partly carbonized. Moreover, with the increasing of the final temperature, the organochlorine removal ratio was greatly increased to 80% and the content of chlorine was dramatically decreased to an extremely low level. It was also interesting to find that the chlorine of RDF was mainly released as HCl rather than organic chloride volatiles. The finding is just the same as the polyvinyl chloride pyrolysis process. In addition, pores and channels emerged during the modifying operation and the modified RDF has better combustibility and combustion stability than traditional RDF. This work revealed that low-temperature modification of RDF via microwave irradiation is significant for enhancing the quality of RDF and avoiding HCl erosion of equipment substantially.

  2. Generation and confinement of microwave gas-plasma in photonic dielectric microstructure.

    PubMed

    Debord, B; Jamier, R; Gérôme, F; Leroy, O; Boisse-Laporte, C; Leprince, P; Alves, L L; Benabid, F

    2013-10-21

    We report on a self-guided microwave surface-wave induced generation of ~60 μm diameter and 6 cm-long column of argon-plasma confined in the core of a hollow-core photonic crystal fiber. At gas pressure of 1 mbar, the micro-confined plasma exhibits a stable transverse profile with a maximum gas-temperature as high as 1300 ± 200 K, and a wall-temperature as low as 500 K, and an electron density level of 10¹⁴ cm⁻³. The fiber guided fluorescence emission presents strong Ar⁺ spectral lines in the visible and near UV. Theory shows that the observed combination of relatively low wall-temperature and high ionisation rate in this strongly confined configuration is due to an unprecedentedly wide electrostatic space-charge field and the subsequent ion acceleration dominance in the plasma-to-gas power transfer.

  3. Isolation and characterization of cellulose nanofibers from bamboo using microwave liquefaction combined with chemical treatment and ultrasonication.

    PubMed

    Xie, Jiulong; Hse, Chung-Yun; De Hoop, Cornelis F; Hu, Tingxing; Qi, Jinqiu; Shupe, Todd F

    2016-10-20

    Cellulose nanofibers were successfully isolated from bamboo using microwave liquefaction combined with chemical treatment and ultrasonic nanofibrillation processes. The microwave liquefaction could eliminate almost all the lignin in bamboo, resulting in high cellulose content residues within 7min, and the cellulose enriched residues could be readily purified by subsequent chemical treatments with lower chemical charging and quickly. The results of wet chemistry analyses, SEM images, and FTIR and X-ray spectra indicated the combination of microwave liquefaction and chemical treatment was significantly efficient in removing non-cellulosic compounds. Ultrasonication was used to separate the nanofibrils from the purified residues to extract nanofibers. The TEM images confirmed the presence of elementary fibrils, nano-sized fibril bundles, and aggregated fibril bundles. As evidenced by the TGA analysis, cellulose nanofibers isolated by this novel technique had high thermal stability indicating that the isolated nanofibers could possibly be applied as reinforcing elements in biomaterials. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. Microwave experiments on Prairie View Rotamak

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, R. J.; Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031; Xu, M.

    2015-05-15

    A 6 kW/2.45 GHz microwave system has been added on Prairie View Rotamak, and a series of experiments with microwave heating in both O-mode and X-mode configurations have been performed. Effective ionization of hydrogen in the two configurations is observed when filling pressure of the hydrogen gas is under p{sub f}=0.1 Pa. Clear oscillations in plasma current I{sub p} and magnetic field B{sub R} are excited when microwaves are injected into plasma in the X-mode configuration. The higher the injected microwave power, the sooner the emergence of the magnetic oscillations in B{sub R}, which implies the microwave may have decreased the elongationmore » of the plasma. In the experiments, the efficiency of the current drive mechanism due to the injected microwave is about 0.2 kA/kW.« less

  5. Radio frequency and microwave plasma for optical thin-film deposition

    NASA Astrophysics Data System (ADS)

    Otto, Juergen; Paquet, Volker; Kersten, Ralf T.; Etzkorn, Heinz-Werner; Brusasco, Raymond M.; Britten, Jerald A.; Campbell, Jack H.; Thorsness, J. B.

    1990-12-01

    For the next generation of fusion lasers reflecting mirrors with laser damage thresholds of at least 40 J/cm2 for 1 0 ns laser pulses at 1 .064 pm are needed. Up to now, no deposition technique has been developed to produce such mirrors. Best R&D-values realized today are around 30 J/cm2 for e-beam evaporated mirrors. R&D on conventional e-beam coating processes over the last 1 0 years has come up with marginal improvements in laser damage thresholds only. However, new technologies, like PICVD (Plasma-Impulse CVD) developed for the fabrication of ultra-low loss fiber preforms, seem to offer the potential to solve this problem. First results have been reported already [1-3]. It is well known that fused silica produced by CVD processes can have laser damage thresholds as high as 80 J/cm2. However, the thickness of a single deposited film is in the pm-range for most of the CVD-processes used for preform manufacturing; since interference optics need films in the ; /4n range (where n is the refractive index of the dielectric material) the use of preform-fabrication processes for the purpose of interference mirror fabrication is limited to a few plasma based CVD technologies, namely PCVD (Plasma-CVD, Philips [4]; PICVD, SCHOTT [5]). Especially PICVD is a very powerful technology to fabricate thin film multilayers for interference mirrors, because this technique is able to produce films down to monolayer thickness with nearly perfect stoichiometry and morphology. In first and preliminary experiments the usual deposition in a circular tube at high temperatures has been used for simplicity. However, to produce large area high quality laser mirrors this principle know-how has to be transfered from circular to planar geometry. Experiments showed, that there may be some limitations with respect to the homogeneity of a planar deposition using microwave excitation for the plasma. Therefore experiments have been performed in parallel with both RF and microwave excitation for

  6. Investigation of the AC Plasma Torch Working Conditions for the Plasma Chemical Applications

    NASA Astrophysics Data System (ADS)

    Safronov, A. A.; Vasilieva, O. B.; Dudnik, J. D.; E Kuznetsov, V.; Shiryaev, V. N.; Subbotin, D. I.; Pavlov, A. V.

    2017-04-01

    The presented design and parameters of a three-phase AC plasma torch with the power up to 500 kW, flow rate of air 30-50 g/s (temperature up to 5000 K) could be used in different plasma chemical processes. Range of measured plasma temperature is 3500-5000 K. The paper presents investigations of the plasma torch operation modes for its application in plasma chemical technologies. Plasma chemical technologies for various purposes (processing, destruction of various wastes, including technological and hazardous waste, conversion or production of chemicals to obtain nanoscale materials, etc.) are very promising in terms of the process efficiency. Their industrial use is difficult due to the lack of inexpensive and reliable plasma torches providing the desired level of temperature, enthalpy of the working gas and other necessary conditions for the process. This problem can be solved using a considered design of a three-phase alternating current plasma torch with power of 150-500 kW with working gas flow rate of 30-50 g/s with mass average temperature up to 5000K on the basis of which an industrial plasma chemical plant can be created. The basis of the plasma torch operation is a railgun effect that is the principle of arc movement in the field of its own current field. Thanks to single supply of power to the arc, arcs forming in the discharge chamber of the plasma torch move along the electrodes under the action of electrodynamic forces resulting from the interaction of the arc current with its own magnetic field. Under the condition of the three-phase supply voltage, arc transits from the electrode to the electrode with change in the anodic and cathodic phases with frequency of 300 Hz. A special feature of this design is the ability to organize the movement of the arc attachment along the electrode, thus ensuring an even distribution of the thermal load and thus achieve long time of continuous operation of the plasma torch. The parameters of the plasma jet of the

  7. Organo- and nano-catalyst in greener reaction medium: Microwave-assisted expedient synthesis of fine chemicals

    EPA Science Inventory

    The use of emerging microwave (MW) -assisted chemistry techniques is dramatically reducing chemical waste and reaction times in several organic syntheses and chemical transformations. A brief account of our experiences in developing MW-assisted organic transformations, which invo...

  8. Spectral variation of high power microwave pulse propagating in a self-generated plasma

    NASA Technical Reports Server (NTRS)

    Ren, A.; Kuo, S. P.; Kossey, Paul

    1995-01-01

    A systematic study to understand the spectral variation of a high power microwave pulse propagating in a self-generated plasma is carried out. It includes the theoretical formulation, experimental demonstration, and computer simulations and computer experiments. The experiment of pulse propagation is conducted in a vacuum chamber filled with dry air (approximately 0.2 torr); the chamber is made of a 2 ft. cube of Plexiglas. A rectangular microwave pulse (1 microsec pulse width and 3.27 GHz carrier frequency) is fed into the cube through an S band microwave horn placed at one side of the chamber. A second S-band horn placed at the opposite side of the chamber is used to receive the transmitted pulse. The spectra of the incident pulse and transmitted pulse are then compared. As the power of the incident pulse is only slightly (less than 15%) above the breakdown threshold power of the background air, the peak of the spectrum of the transmitted pulse is upshifted from the carrier frequency 3.27 GHz of the incident pulse. However, as the power of the incident pulse exceeds the breakdown threshold power of the background air by 30%, a different phenomenon appears. The spectrum of the transmitted pulse begins to have two peaks. One is upshifted and the other one downshifted from the single peak location of the incident pulse. The amount of frequency downshift is comparable to that of the upshifted frequency. A theoretical model describing the experiment of pulse propagation in a self-generated plasma is developed. There are excellent agreements between the experimental results and computer simulations based on this theoretical model, which is also used to further carry out computer experiments identifying the role of plasma introduced wave loss on the result of frequency downshift phenomenon.

  9. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Imamura, Masato; Asano, Atsuki; Ishikawa, Kenji; Takeda, Keigo; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2017-08-01

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306 nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors.

  10. Postgrowth Microwave Treatment to Align Carbon Nanotubes

    DTIC Science & Technology

    2013-03-01

    interface material, microwave processing , metal substrate, alignment, contact area, thermal chemical vapor deposition Introduction Since their discovery, CNTs...short forests. The entangled “canopy” of a CNT forest can be removed with additional processing after growth, e.g., plasma etching, to create more...strates for CNT growth at increased manufacturing scale [34]. Studies have shown that CNT forests grown on both sides of metal foils can produce thermal

  11. Effects of the Physical Characteristics of Cerium Oxide on Plasma-Enhanced Tetraethylorthosiliate Removal Rate of Chemical Mechanical Polishing for Shallow Trench Isolation

    NASA Astrophysics Data System (ADS)

    Kim, Sang-Kyun; Paik, Ungyu; Oh, Seong-Geun; Park, Yong-Kook; Katoh, Takeo; Park, Jea-Gun

    2003-03-01

    Ceria powders were synthesized by two different methods, solid-state displacement reaction and wet chemical precipitation, and the influence of the physical characteristics of cerium oxide on the removal rate of plasma-enhanced tetraethylorthosilicate (PETEOS) and chemical vapor deposition (CVD) nitride films in chemical mechanical planarization (CMP) was investigated. The fundamental physicochemical property and electrokinetic behavior of ceria particles in aqueous suspending media were investigated to identify the correlation between the colloidal property of ceria and the CMP performance. The surface potentials of two different ceria particles are found to have different isoelectric point (pHiep) values and differences in physical properties of ceria particles such as porosity and density were found to be the key parameters in CMP of PETEOS films. Ceria powders synthesized by the solid-state displacement reaction method yielded a higher removal rate of PETEOS and higher selectivity than powders synthesized by the wet chemical precipitation method.

  12. Mechanism for microwave heating of 1-(4'-cyanophenyl)-4-propylcyclohexane characterized by in situ microwave irradiation NMR spectroscopy.

    PubMed

    Tasei, Yugo; Yamakami, Takuya; Kawamura, Izuru; Fujito, Teruaki; Ushida, Kiminori; Sato, Motoyasu; Naito, Akira

    2015-05-01

    Microwave heating is widely used to accelerate organic reactions and enhance the activity of enzymes. However, the detailed molecular mechanism for the effect of microwave on chemical reactions is not yet fully understood. To investigate the effects of microwave heating on organic compounds, we have developed an in situ microwave irradiation NMR spectroscopy. (1)H NMR spectra of 1-(4'-cyanophenyl)-4-propylcyclohexane (PCH3) in the liquid crystalline and isotropic phases were observed under microwave irradiation. When the temperature was regulated at slightly higher than the phase transition temperature (Tc=45 °C) under a gas flow temperature control system, liquid crystalline phase mostly changed to the isotropic phase. Under microwave irradiation and with the gas flow temperature maintained at 20 °C, which is 25 °C below the Tc, the isotropic phase appeared stationary as an approximately 2% fraction in the liquid crystalline phase. The temperature of the liquid crystalline state was estimated to be 38 °C according to the line width, which is at least 7 °C lower than the Tc. The temperature of this isotropic phase should be higher than 45 °C, which is considered to be a non-equilibrium local heating state induced by microwave irradiation. Microwaves at a power of 195 W were irradiated to the isotropic phase of PCH3 at 50 °C and after 2 min, the temperature reached 220 °C. The temperature of PCH3 under microwave irradiation was estimated by measurement of the chemical shift changes of individual protons in the molecule. These results demonstrate that microwave heating generates very high temperature within a short time using an in situ microwave irradiation NMR spectrometer. Copyright © 2015 Elsevier Inc. All rights reserved.

  13. Chromospheric Signatures of the Subdued Cycle 23/24 Solar Minimum in Microwaves

    NASA Technical Reports Server (NTRS)

    Yashiro, S.; Makela, P.; Shibasaki, K.; Hathaway, D.

    2011-01-01

    Coronal holes appear brighter than the quiet Sun in microwave images, with a brightness enhancement of 500 to 2000 K. The brightness enhancement corresponds to the upper chromosphere, where the plasma temperature is about 10000 K. We constructed a microwave butterfly diagram using the synoptic images obtained by the Nobeyama radio-heliograph (NoRH) showing the evolution of the polar and low latitude brightness temperature. While the polar brightness reveals the chromospheric conditions, the low latitude brightness is attributed to active regions in the corona. When we compared the microwave butterfly diagram with the magnetic butterfly diagram, we found a good correlation between the microwave brightness enhancement and the polar field strength. The microwave butterfly diagram covers part of solar cycle 22, whole of cycle 23, and part of cycle 24, thus enabling comparison between the cycle 23/24 and cycle 22/23 minima. The microwave brightness during the cycle 23/24 minimum was found to be lower than that during the cycle 22/23 minimum by approx.250 K. The reduced brightness temperature is consistent with the reduced polar field strength during the cycle 23/24 minimum seen in the magnetic butterfly diagram. We suggest that the microwave brightness at the solar poles is a good indicator of the speed of the solar wind sampled by Ulysses at high latitudes.

  14. Particle energy distributions and metastable atoms in transient low pressure interpulse microwave plasma

    NASA Astrophysics Data System (ADS)

    Pandey, Shail; Nath Patel, Dudh; Ram Baitha, Anuj; Bhattacharjee, Sudeep

    2015-12-01

    The electron energies and its distribution function are measured in non-equilibrium transient pulsed microwave plasmas in the interpulse regime using a retarding field electron energy analyzer. The plasmas are driven to different initial conditions by varying the electromagnetic (EM) wave pulse duration, peak power, or the wave frequency. Two cases of wave excitation are investigated: (i) short-pulse (pulse duration, t w ~ 1 μs), high-power (~60 kW) waves of 9.45 GHz and (ii) medium-pulse (t w ~ 20 μs), and moderate power waves of ~3 kW at 2.45 GHz. It is found that high-power, short-duration pulses lead to a significantly different electron energy probability function (EEPF) in the interpulse phase—a Maxwellian with a bump on the tail, although the average energy per pulse (~60 mJ) is maintained the same in the two modes of wave excitation. Electrons with energies  >250 eV are found to exist in the discharge in the both cases. Another subset of experiments is performed to delineate the effect of the wave frequency and the peak power on EEPF. A traveling wave tube (TWT) amplifier based microwave source for generating pulsed plasma (t w  =  230 μs) in a wide frequency range (6-18 GHz) is employed for this purpose. Further experiments on measurements of metastable density using optical emission spectroscopy and ion energy analyzer have been carried out. By tailoring the EEPF of the transient plasma and metastable densities, new applications in plasma processing, chemistry and biology can be realized in the interpulse phase of the discharge.

  15. Spatially enhanced passive microwave derived soil moisture: capabilities and opportunities

    USDA-ARS?s Scientific Manuscript database

    Low frequency passive microwave remote sensing is a proven technique for soil moisture retrieval, but its coarse resolution restricts the range of applications. Downscaling, otherwise known as disaggregation, has been proposed as the solution to spatially enhance these coarse resolution soil moistur...

  16. Microwave-induced formation of oligomeric amyloid aggregates.

    PubMed

    Lee, Wonseok; Choi, Yeseong; Lee, Sang Won; Kim, Insu; Lee, Dongtak; Hong, Yoochan; Lee, Gyudo; Yoon, Dae Sung

    2018-08-24

    Amyloid aggregates have emerged as a significant hallmark of neurodegenerative diseases such as Alzheimer's and Parkinson's diseases. Although it has been recently reported that microwave heating induces amyloid aggregation compared with conventional heating methods, the mechanism of amyloid aggregate induction has remained unclear. In this study, we investigated the formation of oligomeric amyloid aggregates (OAAs) by microwave irradiation at microscale volumes of solution. Microwave irradiation of protein monomer solution triggered rapid formation of OAAs within 7 min. We characterized the formation of OAAs using atomic force microscopy, thioflavin T fluorescent assay and circular dichroism. In the microwave system, we also investigated the inhibitory effect on the formation of amyloid aggregates by L-ascorbic acid as well as enhanced amyloid aggregation by silver nanomaterials such as nanoparticles and nanowires. We believe that microwave technology has the potential to facilitate the study of amyloid aggregation in the presence of chemical agents or nanomaterials.

  17. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

    NASA Astrophysics Data System (ADS)

    Grill, A.; Patel, V.; Rodbell, K. P.; Huang, E.; Baklanov, M. R.; Mogilnikov, K. P.; Toney, M.; Kim, H.-C.

    2003-09-01

    The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7-2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%-39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.

  18. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Imai, Shun; Kondo, Hiroki; Cho, Hyungjun; Kano, Hiroyuki; Ishikawa, Kenji; Sekine, Makoto; Hiramatsu, Mineo; Ito, Masafumi; Hori, Masaru

    2017-10-01

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (>1012 cm-2) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

  19. Isolation and characterization of cellulose nanofibers from bamboo using microwave liquefaction combined with chemical treatment and ultrasonication

    Treesearch

    Jiulong Xie; Chung Hse; Cornelis F. De Hoop; Tingxing Hu; Jinqiu Qi; Todd F. Shupe

    2016-01-01

    Cellulose nanofibers were successfully isolated from bamboo using microwave liquefaction combinedwith chemical treatment and ultrasonic nanofibrillation processes. The microwave liquefaction couldeliminate almost all the lignin in bamboo, resulting in high cellulose content residues within 7 min, andthe cellulose enriched residues could be readily purified by...

  20. Effect of microwave-enhanced superconductivity in YBa2Cu3O7 Bi-crystalline grain bounda ry weak-links

    NASA Technical Reports Server (NTRS)

    Fu, C. M.; Chen, C. M.; Lin, H. C.; Wu, K. H.; Juang, J. Y.; Uen, T. M.; Gou, Y. S.

    1995-01-01

    We have studied systematically the effect of microwave irradiation on the temperature dependent resistivity (R(I) and the current-voltage (I-V) characteristics of YBa2Gu3O(7 - x) (YBCO) bicrystalline grain boundary weak-links (GBWL's), with grain boundary of three different tilt angles. The superconducting transition temperature, T(sub c), has significant enhancement upon microwave irradiation. The microwave enhanced T(sub c) is increased as a function of incident microwave power, but limited to an optimum power level. The GBWL's of 45 deg tilt boundary has shown to be most sensitive to the microwave irradiation power, and the GBWL's of 36.8 deg tilt boundary has displayed a moderate response. In contrast, no enhancement of T(sub c) was observed in the GBWL's of 24 deg tilt boundary, as well as in the uniform films. Under the microwave irradiation, the R(T) dependent is hystertic as the transition taken from superconducting state to normal state and vice versa. Mechanisms associated with the redistribution of nonequilibrium quasiparticles under microwave irradiation are discussed.

  1. Microwave assisted total synthesis of a benzothiophene-based new chemical entity (NCE)

    EPA Science Inventory

    Pharmaceutical scientists are required to generate diverse arrays of complex targets in short span of time, which can now be achieved by microwave-assisted organic synthesis. New chemical entities (NCE) can be built in a fraction of the time using this technique. However, there a...

  2. Cylindrical waveguide filled with radially inhomogeneous magnetized plasma as a microwave accelerating structure

    NASA Astrophysics Data System (ADS)

    Hedayatian, F.; Salem, M. K.; Saviz, S.

    2018-01-01

    In this study, microwave radiation is used to excite hybrid modes in a radially inhomogeneous cold plasma-filled cylindrical waveguide in the presence of external static magnetic field applied along the waveguide axis. The analytical expressions for EH0l field components, which accelerate an injected electron in the waveguide, are calculated. To study the effects of radial inhomogeneity on the electron dynamics and its acceleration, a model based on the Bessel-Fourier expansion is used while considering hybrid modes E H0 l(l =1 ,2 ,3 ,4 ) inside the waveguide, and the results are compared with the homogeneous plasma waveguide. The numerical results show that the field components related to the coupled EH0l modes are amplified due to radial inhomogeneity, which leads to an increase in the electron's energy gain. It is found that, if the waveguide is filled with radially inhomogeneous plasma, the electron acquires a higher energy gain while covering a shorter distance along the waveguide length (60 MeV energy gain in 1.1 cm distance along the waveguide length), so, a waveguide with a lesser length and a higher energy gain can be designed. The effects of radial inhomogeneity are studied on the deflection angle, the radial position, and the trajectory of an electron in the waveguide. The effects of the initial phase of the wave, injection point of the electron, and microwave power density are also investigated on the electron's energy gain. It is shown that the present model is applicable to both homogeneous and radially inhomogeneous plasma waveguides.

  3. Kinetics of the formation of ozone and nitrogen oxides due to a pulsed microwave discharge in air

    NASA Astrophysics Data System (ADS)

    Larin, V. F.; Rumiantsev, S. A.

    1989-03-01

    The paper presents results of a numerical simulation of the kinetics of plasma-chemical processes induced by a single microwave pulse in the stratosphere. It is shown that the gas temperature is one of the main factors influencing the concentration ratio of ozone and nitrogen oxides formed under the effect of a microwave pulse. Long pulses, producing considerable gas heating, favor the formation of nitrogen oxides.

  4. Microwave Discharges

    NASA Astrophysics Data System (ADS)

    Marec, J.; Bloyet, E.; Chaker, M.; Leprince, P.; Nghiem, P.

    Microwave discharges first appeared as unwanted and disturbing effects. However, beginning about the end of World War II, Professors Allis and Brown at the Massachusetts Institute of Technology started to investigate the physics of these discharges. During the next few years, many experimental and theoretical studies were undertaken. However, in the early 60's and for about 15 years, there were few studies of such discharges because of the theoretical difficulties encountered. Effectively, the impossibility of modeling microwave discharges prevented a good understanding of their behavior, and their future use did not appear promising. Recently there has been new interest in these discharges. The plasmas produced by microwave discharges find applications in areas such as: 1) spectroscopy (because of their low contamination), and 2) plasma chemistry. Another advantage of these discharges as compared to d.c. discharges is their ease of operation.

  5. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    NASA Astrophysics Data System (ADS)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  6. Some Results from Studies of Microwave Discharges in Liquid Heavy Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Averin, K. A.; Lebedev, Yu. A.; Shakhatov, V. A.

    2018-01-01

    Some results from studies of microwave discharges in heavy hydrocarbons are presented. Microwave energy was introduced into liquid hydrocarbon via a coaxial line. The pressure above the liquid surface was equal to the atmospheric pressure. The discharge was ignited in a mixture of argon and hydrocarbon vapor. Argon was supplied through a channel in the central conductor of the coaxial line. The emission spectra of discharges in different liquid hydrocarbons were studied. It is shown that the emission spectra mainly consist of sequences of Swan bands, while radiation of other plasma components is on the noise level. Spectra of plasma emission are presented for discharges in liquid n-heptane, nefras, and C-9 oil used to produce chemical fibers. The rotational (gas) and vibrational temperatures are determined by processing the observed spectra.

  7. The quenching effect of hydrogen on the nitrogen in metastable state in atmospheric-pressure N{sub 2}-H{sub 2} microwave plasma torch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shou-Zhe, E-mail: lisz@dlut.edu.cn; Zhang, Xin; Chen, Chuan-Jie

    2014-07-15

    The atmospheric-pressure microwave N{sub 2}-H{sub 2} plasma torch is generated and diagnosed by optical emission spectroscopy. It is found that a large amount of N atoms and NH radicals are generated in the plasma torch and the emission intensity of N{sub 2}{sup +} first negative band is the strongest over the spectra. The mixture of hydrogen in nitrogen plasma torch causes the morphology of the plasma discharge to change with appearance that the afterglow shrinks greatly and the emission intensity of N{sub 2}{sup +} first negative band decreases with more hydrogen mixed into nitrogen plasma. In atmospheric-pressure microwave-induced plasma torch,more » the hydrogen imposes a great influence on the characteristics of nitrogen plasma through the quenching effect of the hydrogen on the metastable state of N{sub 2}.« less

  8. Negatively Charged Hydrogen Production in a Multicusp Microwave Plasma

    NASA Astrophysics Data System (ADS)

    Trow, John Robert

    1985-06-01

    High energy neutral beams are necessary for the continued development of magnetically confined fusion plasma devices. Neutral beams based on positive ions are not efficient at beam energies of 100 keV or above, however negative ion based neutral beam systems are efficient, even at high beam energies. Volume production of H('-) has many advantages over the other methods, chiefly: simplicity of design and operation, and no need for alkalai metals. Since volume production requires a low electron temperature ((TURN)1 eV) but also requires molecular intermediates only formed by more energetic electrons (>20 eV), double plasma devices with a separate hot electron region are desirable. Therefore an experiment was undertaken to examine H('-) production by volume processes in a multicusp microwave discharge, part of the cusp field being enhanced to produce an ECR (electron cyclotron resonance), that would also isolate the hotter plasma formed there. This arrangement is analogous to the "magnetic filters" used in some other negative ion sources. This work describes the experiment set up and the results obtained, which are a survey of the behavior of this type of device. Also included is a discussion of the volume processes associated with H('-) production including numerical estimates, based on the experimental measurements, which indicate H('-) production is by dissociative attachment of cold electrons to vibrationally excited hydrogen molecules, and loss is by mutual neutralization with positive ions. The experimental observations are consistent with this model. These are also the same mechanisms used in the models of Bacal and Hiskes. Since magnetic fields generated by samarium cobalt permanent magnets were an important part of this experiment a set of field calculations was undertaken and is included here as a separate chapter. This device is shown to be a viable scheme of H('-) (or D('-)) produc- tion and is worthy of further development. There are several more

  9. Localized spiraling plasma ejection contributing the ion-flux broadening in the detached linear plasma

    NASA Astrophysics Data System (ADS)

    Tanaka, H.; Takeyama, K.; Yoshikawa, M.; Kajita, S.; Ohno, N.; Hayashi, Y.

    2018-07-01

    We have performed multipoint measurements with segmented electrodes and a microwave interferometer in the linear plasma device NAGDIS-II, in order to reveal cross-field motion and axial localization of the enhanced radial transport in the detached plasma. By changing the neutral pressure successively and applying several statistical analysis techniques, it was clarified that there is axially localized ion flux broadening accompanying an enhanced plasma ejection from the center with radially elongated spiraling structure. The spiraling plasma ejection accompanies the m = 0 mode drop near the center with the similar time scale. Further, such behavior composed of f > 1 kHz fluctuations is modulated by several-hundred-hertz fluctuation with m = 0. This cross-field transport causes non-negligible effect for the reduction of the ion flux peak in the detached plasma.

  10. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    PubMed

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  11. Influence of gas and treatment time on the surface modification of EPDM rubber treated at afterglow microwave plasmas

    NASA Astrophysics Data System (ADS)

    da Maia, J. V.; Pereira, F. P.; Dutra, J. C. N.; Mello, S. A. C.; Becerra, E. A. O.; Massi, M.; Sobrinho, A. S. da Silva

    2013-11-01

    The ethylene propylene diene monomer (EPDM) rubber possesses excellent physical/chemical bulk properties, is cost-effective, and has been used in the mechanical and aerospace industry. However, it has an inert surface and needs a surface treatment in order to improve its adhesion properties. Plasma modification is the most accepted technique for surface modification of polymers without affecting the properties of the bulk. In this study, an afterglow microwave plasma reactor was used to generate the plasma species responsible for the EPDM surface modification. The plasma modified surfaces were analyzed by means of contact angle measurement, adhesion tests, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy and scanning electron microscopy. Two experimental variables were analyzed: type of the plasma gases and exposure time were considered. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed and the best conditions tested there was an increase of the rupture strength of about 27%, that can be associated mainly with the creation of oxygen containing functional groups on the rubber surface (CO, COC and CO) identified by spectroscopic methods. The predominant failure mode was adhesive, for long treatment times a mixture of adhesive and cohesive failure can be observed. In various conditions tested the contact angles easily decreased more than 500%. What can be concluded that high wettability is a necessary condition to obtain good adhesion, but this is not a sufficient condition.

  12. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tomatsu, Masakazu; Hiramatsu, Mineo; Foord, John S.; Kondo, Hiroki; Ishikawa, Kenji; Sekine, Makoto; Takeda, Keigo; Hori, Masaru

    2017-06-01

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O2) detection was demonstrated. H2O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

  13. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiO{sub x} layers for application in solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klingsporn, M.; Costina, I.; Kirner, S.

    2016-06-14

    Nanocrystalline silicon suboxides (nc-SiO{sub x}) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO{sub 0.8}:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressuremore » from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.« less

  14. Separation of mixtures of chemical elements in plasma

    NASA Astrophysics Data System (ADS)

    Dolgolenko, D. A.; Muromkin, Yu A.

    2017-10-01

    This paper reviews proposals on the plasma processing of radioactive waste (RW) and spent nuclear fuel (SNF). The chemical processing of SNF based on the extraction of its components from water solutions is rather expensive and produces new waste. The paper considers experimental research on plasma separation of mixtures of chemical elements and isotopes, whose results can help evaluate the plasma methods of RW and SNF reprocessing. The analysis identifies the difference between ionization levels of RW and SNF components at their transition to the plasma phase as a reason why all plasma methods are difficult to apply.

  15. Nitrogen-Doped Carbon Fiber Paper by Active Screen Plasma Nitriding and Its Microwave Heating Properties.

    PubMed

    Zhu, Naishu; Ma, Shining; Sun, Xiaofeng

    2016-12-28

    In this paper, active screen plasma nitriding (ASPN) treatment was performed on polyacrylonitrile carbon fiber papers. Electric resistivity and microwave loss factor of carbon fiber were described to establish the relationship between processing parameters and fiber's ability to absorb microwaves. The surface processing effect of carbon fiber could be characterized by dynamic thermal mechanical analyzer testing on composites made of carbon fiber. When the process temperature was at 175 °C, it was conducive to obtaining good performance of dynamical mechanical properties. The treatment provided a way to change microwave heating properties of carbon fiber paper by performing different treatment conditions, such as temperature and time parameters. Atomic force microscope, scanning electron microscope, and X-ray photoelectron spectroscopy analysis showed that, during the course of ASPN treatment on carbon fiber paper, nitrogen group was introduced and silicon group was removed. The treatment of nitrogen-doped carbon fiber paper represented an alternative promising candidate for microwave curing materials used in repairing and heating technology, furthermore, an efficient dielectric layer material for radar-absorbing structure composite in metamaterial technology.

  16. Removal of caffeine from green tea by microwave-enhanced vacuum ice water extraction.

    PubMed

    Lou, Zaixiang; Er, Chaojuan; Li, Jing; Wang, Hongxin; Zhu, Song; Sun, Juntao

    2012-02-24

    In order to selectively remove caffeine from green tea, a microwave-enhanced vacuum ice water extraction (MVIE) method was proposed. The effects of MVIE variables including extraction time, microwave power, and solvent to solid radio on the removal yield of caffeine and the loss of total phenolics (TP) from green tea were investigated. The optimized conditions were as follows: solvent (mL) to solid (g) ratio was 10:1, microwave extraction time was 6 min, microwave power was 350 W and 2.5 h of vacuum ice water extraction. The removal yield of caffeine by MVIE was 87.6%, which was significantly higher than that by hot water extraction, indicating a significant improvement of removal efficiency. Moreover, the loss of TP of green tea in the proposed method was much lower than that in the hot water extraction. After decaffeination by MVIE, the removal yield of TP tea was 36.2%, and the content of TP in green tea was still higher than 170 mg g(-1). Therefore, the proposed microwave-enhanced vacuum ice water extraction was selective, more efficient for the removal of caffeine. The main phenolic compounds of green tea were also determined, and the results indicated that the contents of several catechins were almost not changed in MVIE. This study suggests that MVIE is a new and good alternative for the removal of caffeine from green tea, with a great potential for industrial application. Copyright © 2011 Elsevier B.V. All rights reserved.

  17. Sustainable synthesis of chemical entities by microwave heating with nano-catalysis in water

    EPA Science Inventory

    •Sustainable synthesis of chemical entities by microwave heating with nano-catalysis in water •CRADA’s with the private companies, CEM corporation and VeruTEK Technologies •Green Chemistry principles are accommodated via multi-faceted approach. Learning from nature- using na...

  18. Synthesis of large scale graphene oxide using plasma enhanced chemical vapor deposition method and its application in humidity sensing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yang; Chen, Yuming, E-mail: yumingchen@fudan.edu.cn; Engineering Research Center of Advanced Lighting Technology, Ministry of Education, 220 Handan Road, Shanghai 00433

    2016-03-14

    Large scale graphene oxide (GO) is directly synthesized on copper (Cu) foil by plasma enhanced chemical vapor deposition method under 500 °C and even lower temperature. Compared to the modified Hummer's method, the obtained GO sheet in this article is large, and it is scalable according to the Cu foil size. The oxygen-contained groups in the GO are introduced through the residual gas of methane (99.9% purity). To prevent the Cu surface from the bombardment of the ions in the plasma, we use low intensity discharge. Our experiment reveals that growth temperature has important influence on the carbon to oxygen ratiomore » (C/O ratio) in the GO; and it also affects the amount of π-π* bonds between carbon atoms. Preliminary experiments on a 6 mm × 12 mm GO based humidity sensor prove that the synthesized GO reacts well to the humidity change. Our GO synthesis method may provide another channel for obtaining large scale GO in gas sensing or other applications.« less

  19. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    PubMed Central

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  20. Plasma characteristics of direct current enhanced cylindrical inductively coupled plasma source

    NASA Astrophysics Data System (ADS)

    Yue, HUA; Jian, SONG; Zeyu, HAO; Chunsheng, REN

    2018-06-01

    Experimental results of a direct current enhanced inductively coupled plasma (DCE-ICP) source which consists of a typical cylindrical ICP source and a plate-to-grid DC electrode are reported. With the use of this new source, the plasma characteristic parameters, namely, electron density, electron temperature and plasma uniformity, are measured by Langmuir floating double probe. It is found that DC discharge enhances the electron density and decreases the electron temperature, dramatically. Moreover, the plasma uniformity is obviously improved with the operation of DC and radio frequency (RF) hybrid discharge. Furthermore, the nonlinear enhancement effect of electron density with DC + RF hybrid discharge is confirmed. The presented observation indicates that the DCE-ICP source provides an effective method to obtain high-density uniform plasma, which is desirable for practical industrial applications.

  1. Modeling and experimental study on the growth of silicon germanium film by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Lai

    Hydrogenated microcrystalline silicon germanium µc-SiGe:H deposited by plasma enhanced chemical vapor deposition (PECVD) is of great interest to photovoltaic (PV) applications due to its low process temperature and good uniformity over large area. The nature of high optical absorption and low optical bandgap makes it promising as the bottom cell absorbing layer for tandem junction solar cells. However, the addition of germane (GeH4) gas changes deposited film properties and makes it rather complicated for the established silane (SiH4) based discharge process with hydrogen (H2) dilution. Despite existing experimental studies for SiH 4/GeH4/H2 3-gas mixture discharge and comprehensive numerical simulations for SiH4/H2 or SiH4/Ar plasma, to the author's best knowledge, a numerical model for both SiH 4 and GeH4 in a high pressure regime is yet to be developed. The plasma discharge, the film growth and their effects on film properties and the solar device performance need deep understanding. In this dissertation, the growth of the µc-SiGe:H film by radio frequency (RF) PECVD is studied through modeling simulation as well as experiments. The first numerical model for the glow discharge of SiH4/GeH 4/H2 3-gas mixture in a high pressure regime is developed based on one dimensional fluid model. Transports of electrons, molecules, radicals and ions in the RF excitation are described by diffusion equations that are coupled with the Poisson's equation. The deposition is integrated as the boundary conditions for discharge equations through the sticking coefficient model. Neutral ionizations, radical dissociations and chemical reactions in the gas phase and surface kinetics such as the diffusive motion, chemical reactions and the hydrogen etching are included with interaction rate constants. Solved with an explicit central-difference discretization scheme, the model simulates mathematical features that reflect the plasma physics such as the plasma sheath and gas species

  2. Microwave modification of surface hydroxyl density for g-C3N4 with enhanced photocatalytic activity

    NASA Astrophysics Data System (ADS)

    An, Na; Zhao, Yang; Mao, Zhiyong; Agrawal, Dinesh Kumar; Wang, Dajian

    2018-03-01

    Microwave modification was performed on graphitic carbon nitride (g-C3N4) photocatalysts to tail the surface hydroxyl content for enhanced photocatalytic activity in this work. The influence of microwave heating on the surface hydroxyl density was investigated by a suite of characterization methods. The microwave treated g-C3N4 (MT-g-C3N4) delivered a higher photocatalytic activity in degradation of Rhodamine B (RhB) under visible light irradiation than pristine g-C3N4 due to its improved separation efficiency of photogenerated charge carries and promoted absorption capacity of RhB reactants on surface, which resulted from the increased surface hydroxyl density induced by microwave treatment. This study provides a simple and convenient method to modify g-C3N4 materials with enhanced photocatalytic activity for the potential application in photocatalytic elimination of environmental pollutants.

  3. Five isomers of C 60 generated in microwave plasma of chloroform

    NASA Astrophysics Data System (ADS)

    Xie, Su-Yuan; Deng, Shun-Liu; Huang, Rong-Bin; Yu, La-Jia; Zheng, Lan-Sun

    2001-08-01

    In addition to Ih symmetry buckminsterfullerene, four other isomers of C 60 were generated in a microwave plasma of chloroform. The newly observed isomers, separated and identified by a high performance liquid chromatography coupled with mass spectrometry (HPLC-MS), were found to be stable at room temperature but transform to buckminsterfullerene when heated. With regards to the generation of various fully chlorinated carbon clusters as well as the C 60 isomers, which may be attributed to the rapidly cooling of the synthetic reaction, a modified Pentagon Road scheme is suggested for fullerene formation.

  4. Direct determination of trace phthalate esters in alcoholic spirits by spray-inlet microwave plasma torch ionization tandem mass spectrometry.

    PubMed

    Miao, Meng; Zhao, Gaosheng; Xu, Li; Dong, Junguo; Cheng, Ping

    2018-03-01

    A direct analytical method based on spray-inlet microwave plasma torch tandem mass spectrometry was applied to simultaneously determine 4 phthalate esters (PAEs), namely, benzyl butyl phthalate, diethyl phthalate, dipentyl phthalate, and dodecyl phthalate with extremely high sensitivity in spirits without sample treatment. Among the 4 brands of spirit products, 3 kinds of PAE compounds were directly determined at very low concentrations from 1.30 to 114 ng·g -1 . Compared with other online and off-line methods, the spray-inlet microwave plasma torch tandem mass spectrometry technique is extremely simple, rapid, sensitive, and high efficient, providing an ideal screening tool for PAEs in spirits. Copyright © 2017 John Wiley & Sons, Ltd.

  5. Measurements of energy distribution and thrust for microwave plasma coupling of electrical energy to hydrogen for propulsion

    NASA Technical Reports Server (NTRS)

    Morin, T.; Chapman, R.; Filpus, J.; Hawley, M.; Kerber, R.; Asmussen, J.; Nakanishi, S.

    1982-01-01

    A microwave plasma system for transfer of electrical energy to hydrogen flowing through the system has potential application for coupling energy to a flowing gas in the electrothermal propulsion concept. Experimental systems have been designed and built for determination of the energy inputs and outputs and thrust for the microwave coupling of energy to hydrogen. Results for experiments with pressure in the range 100 microns-6 torr, hydrogen flow rate up to 1000 micronmoles/s, and total absorbed power to 700 w are presented.

  6. Plasma - enhanced dispersion of metal and ceramic nanoparticles in polymer nanocomposite films

    NASA Astrophysics Data System (ADS)

    Maguire, Paul; Liu, Yazi; Askari, Sadegh; Patel, Jenish; Macia-Montero, Manuel; Mitra, Somak; Zhang, Richao; Sun, Dan; Mariotti, Davide

    2015-09-01

    In this work we demonstrate a facile method to synthesize a nanoparticle/PEDOT:PSS hybrid nanocomposite material in aqueous solution through atmospheric pressure direct current (DC) plasma processing at room temperature. Both metal (Au) and ceramic (TiO2) nanoparticle composite films have been fabricated. Nanoparticle dispersion is enhanced considerable and remains stable. TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased nanoparticle/PEDOT:PSS nanocomposite electrical conductivity has been observed. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma processed Au or TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are thought to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer bonding. This is expected to have a significant benefit in materials processing with inorganic nanoparticles for applications in energy storage, photocatalysis and biomedical sensors. Engineering and Physical Sciences Research Council (EPSRC: EP/K006088/1, EP/K006142, Nos. EP/K022237/1).

  7. Microwave Lightcraft concept

    NASA Technical Reports Server (NTRS)

    2004-01-01

    Looking like an alien space ship or a flying saucer the Microwave Lightcraft is an unconventional launch vehicle approach for delivering payload to orbit using power transmitted via microwaves. Microwaves re beamed from either a ground station or an orbiting solar power satellite to the lightcraft. The energy received breaks air molecules into a plasma and a magnetohydrodynamic fanjet provides the lifting force. Only a small amount of propellant is required for circulation, attitude control and deorbit.

  8. Observation of frequency up-conversion in the propagation of a high-power microwave pulse in a self-generated plasma

    NASA Technical Reports Server (NTRS)

    Kuo, S. P.; Zhang, Y. S.; Ren, A.

    1990-01-01

    A chamber experiment is conducted to study the propagation of a high-power microwave pulse. The results show that the pulse is experiencing frequency up-shift while ionizing the background air if the initial carrier frequency of the pulse is higher than the electron plasma frequency at the incident boundary. Such a frequency autoconversion process may lead to reflectionless propagation of a high-power microwave pulse through the atmosphere.

  9. Plasma-Treated Microplates with Enhanced Protein Recoveries and Minimized Extractables

    PubMed Central

    Weikart, Christopher M.; Klibanov, Alexander M.; Breeland, Adam P.; Taha, Ahmad H.; Maurer, Brian R.; Martin, Steven P.

    2016-01-01

    SiO2 Medical Products, Inc. (SiO) has developed a proprietary technology that greatly enhances protein recoveries and reduces extractables from commercial microplates used for bioanalytical assays and storage of biologics. SiO technology is based on plasma treatment that chemically modifies the surface of polypropylene with predominantly hydrogen-bond-acceptor uncharged polar groups. The resultant surface resists nonspecific protein adsorption over a wide range of protein concentrations, thereby eliminating the need to passivate (and hence potentially contaminate) the microplates with blocking proteins. High shelf-life stability and cleanliness of the plasma-treated microplates have been demonstrated using five different proteins for two common microplate formats. The protein recovery performance of plasma-treated microplates is found to be higher compared with commercial low-protein-binding microplates. PMID:27651466

  10. Development of plasma chemical vaporization machining

    NASA Astrophysics Data System (ADS)

    Mori, Yuzo; Yamauchi, Kazuto; Yamamura, Kazuya; Sano, Yasuhisa

    2000-12-01

    Conventional machining processes, such as turning, grinding, or lapping are still applied for many materials including functional ones. But those processes are accompanied with the formation of a deformed layer, so that machined surfaces cannot perform their original functions. In order to avoid such points, plasma chemical vaporization machining (CVM) has been developed. Plasma CVM is a chemical machining method using neutral radicals, which are generated by the atmospheric pressure plasma. By using a rotary electrode for generation of plasma, a high density of neutral radicals was formed, and we succeeded in obtaining high removal rate of several microns to several hundred microns per minute for various functional materials such as fused silica, single crystal silicon, molybdenum, tungsten, silicon carbide, and diamond. Especially, a high removal rate equal to lapping in the mechanical machining of fused silica and silicon was realized. 1.4 nm (p-v) was obtained as a surface roughness in the case of machining a silicon wafer. The defect density of a silicon wafer surface polished by various machining method was evaluated by the surface photo voltage spectroscopy. As a result, the defect density of the surface machined by plasma CVM was under 1/100 in comparison with the surface machined by mechanical polishing and argon ion sputtering, and very low defect density which was equivalent to the chemical etched surface was realized. A numerically controlled CVM machine for x-ray mirror fabrication is detailed in the accompanying article in this issue.

  11. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Channeling of microwave radiation in a double line containing a plasma filament produced by intense femtosecond laser pulses in air

    NASA Astrophysics Data System (ADS)

    Bogatov, N. A.; Kuznetsov, A. I.; Smirnov, A. I.; Stepanov, A. N.

    2009-10-01

    The channeling of microwave radiation is demonstrated experimentally in a double line in which a plasma filament produced in air by intense femtosecond laser pulses serves as one of the conductors. It is shown that during the propagation of microwave radiation in this line, ultrashort pulses are formed, their duration monotonically decreasing with increasing the propagation length (down to the value comparable with the microwave field period). These effects can be used for diagnostics of plasma in a filament.

  12. Effect of microwave-enhanced superconductivity in YBa{sub 2}Cu{sub 3}O{sub 7} bi-crystalline grain boundary weak-links

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fu, C.M.; Chen, C.M.; Lin, H.C.

    1994-12-31

    We have studied systematically the effect of microwave irradiation on the temperature dependent resistivity R(T) and the current-voltage (I-V) characteristics of YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) bicrystalline grain boundary weak-links (GBWLs), with grain boundary of three different tilt angles. The superconducting transition temperature, T{sub c}, has significant enhancement upon microwave irradiation. The microwave enhanced T{sub c} is increased as a function of incidence microwave power, but limited to an optimum power level. The GBWLs of 45{degrees} tilt boundary has shown to be most sensitive to the microwave irradiation power, and the GBWLs of 36.8{degrees} tilt boundary has displayed a moderatemore » response. In contrast, no enhancement of T{sub c} was observed in the GBWLs of 24{degrees} tilt boundary, as well as in the uniform films. Under the microwave irradiation, the R(T) dependence is hysteretic as the transition taken from superconducting state to normal state and vice versa. Mechanisms associated with the redistribution of nonequilibrium quasiparticles under microwave irradiation are discussed.« less

  13. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    DOEpatents

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  14. Plasma-based determination of inorganic contaminants in waste of electric and electronic equipment after microwave-induced combustion

    NASA Astrophysics Data System (ADS)

    Mello, Paola A.; Diehl, Lisarb O.; Oliveira, Jussiane S. S.; Muller, Edson I.; Mesko, Marcia F.; Flores, Erico M. M.

    2015-03-01

    A systematic study was performed for the determination of inorganic contaminants in polymeric waste from electrical and electronic equipment (EEE) for achieving an efficient digestion to minimize interferences in determination using plasma-based techniques. The determination of As, Br, Cd, Co, Cr, Cu, Ni, Pb, Sb, and Zn by inductively coupled plasma mass spectrometry (ICP-MS) and also by inductively coupled plasma optical emission spectrometry (ICP OES) was carried out after digestion using microwave-induced combustion (MIC). Arsenic and Hg were determined by flow-injection chemical vapor generation inductively coupled plasma mass spectrometry (FI-CVG-ICP-MS). Dynamic reaction cell inductively coupled plasma mass spectrometry (DRC-ICP-MS) with ammonia was also used for Cr determination. The suitability of MIC for digestion of sample masses up to 400 mg was demonstrated using microcrystalline cellulose as aid for combustion of polymers from waste of EEEs that usually contain flame retardants that impair the combustion. The composition and concentration of acid solutions (HNO3 or HNO3 plus HCl) were evaluated for metals and metalloids and NH4OH solutions were investigated for Br absorption. Accuracy was evaluated by comparison of results with those obtained using high pressure microwave-assisted wet digestion (HP-MAWD) and also by the analysis of certified reference material (CRM) of polymer (EC680k-low-density polyethylene). Bromine determination was only feasible using digestion by MIC once losses were observed when HP-MAWD was used. Lower limits of detection were obtained for all analytes using MIC (from 0.005 μg g- 1 for Co by ICP-MS up to 3.120 μg g-1 for Sb by ICP OES) in comparison to HP-MAWD due to the higher sample mass that can be digested (400 mg) and the use of diluted absorbing solutions. The combination of HNO3 and HCl for digestion showed to be crucial for quantitative recovery of some elements, as Cr and Sb. In addition, suitable agreement of Cr to

  15. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    NASA Astrophysics Data System (ADS)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  16. Measurement of electron temperature and density of the edge plasma of JET by ECE and microwave reflectometry

    NASA Astrophysics Data System (ADS)

    Bartlett, D. V.; Costley, A. E.; Porte, L.; Prentice, R.; Salmon, N. A.; Sips, G.

    1990-12-01

    The potential of electron cyclotron emission and microwave reflectometry as techniques for measuring the electron temperature and density in the edge region of tokamak plasmas is investigated. Experiments to realize this potential on JET are described and some illustrative results presented.

  17. Influence of microwave frequency electromagnetic radiation on terpene emission and content in aromatic plants.

    PubMed

    Soran, Maria-Loredana; Stan, Manuela; Niinemets, Ülo; Copolovici, Lucian

    2014-09-15

    Influence of environmental stress factors on both crop and wild plants of nutritional value is an important research topic. The past research has focused on rising temperatures, drought, soil salinity and toxicity, but the potential effects of increased environmental contamination by human-generated electromagnetic radiation on plants have little been studied. Here we studied the influence of microwave irradiation at bands corresponding to wireless router (WLAN) and mobile devices (GSM) on leaf anatomy, essential oil content and volatile emissions in Petroselinum crispum, Apium graveolens and Anethum graveolens. Microwave irradiation resulted in thinner cell walls, smaller chloroplasts and mitochondria, and enhanced emissions of volatile compounds, in particular, monoterpenes and green leaf volatiles (GLV). These effects were stronger for WLAN-frequency microwaves. Essential oil content was enhanced by GSM-frequency microwaves, but the effect of WLAN-frequency microwaves was inhibitory. There was a direct relationship between microwave-induced structural and chemical modifications of the three plant species studied. These data collectively demonstrate that human-generated microwave pollution can potentially constitute a stress to the plants. Copyright © 2014 Elsevier GmbH. All rights reserved.

  18. Influence of microwave frequency electromagnetic radiation on terpene emission and content in aromatic plants

    PubMed Central

    Soran, Maria-Loredana; Stan, Manuela; Niinemets, Ülo; Copolovici, Lucian

    2015-01-01

    Influence of environmental stress factors on both crop and wild plants of nutritional value is an important research topic. The past research has focused on rising temperatures, drought, soil salinity and toxicity, but the potential effects of increased environmental contamination by human-generated electromagnetic radiation on plants have little been studied. Here we studied the influence of microwave irradiation at bands corresponding to wireless router (WLAN) and mobile devices (GSM) on leaf anatomy, essential oil content and volatile emissions in Petroselinum crispum, Apium graveolens and Anethum graveolens. Microwave irradiation resulted in thinner cell walls, smaller chloroplasts and mitochondria, and enhanced emissions of volatile compounds, in particular, monoterpenes and green leaf volatiles. These effects were stronger for WLAN-frequency microwaves. Essential oil content was enhanced by GSM-frequency microwaves, but the effect of WLAN-frequency microwaves was inhibitory. There was a direct relationship between microwave-induced structural and chemical modifications of the three plant species studied. These data collectively demonstrate that human-generated microwave pollution can potentially constitute a stress to the plants. PMID:25050479

  19. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  20. Some Rare Earth Elements Analysis by Microwave Plasma Torch Coupled with the Linear Ion Trap Mass Spectrometry

    PubMed Central

    Xiong, Xiaohong; Jiang, Tao; Qi, Wenhao; Zuo, Jun; Yang, Meiling; Fei, Qiang; Xiao, Saijin; Yu, Aimin; Zhu, Zhiqiang; Chen, Huanwen

    2015-01-01

    A sensitive mass spectrometric analysis method based on the microwave plasma technique is developed for the fast detection of trace rare earth elements (REEs) in aqueous solution. The plasma was produced from a microwave plasma torch (MPT) under atmospheric pressure and was used as ambient ion source of a linear ion trap mass spectrometer (LTQ). Water samples were directly pneumatically nebulized to flow into the plasma through the central tube of MPT. For some REEs, the generated composite ions were detected in both positive and negative ion modes and further characterized in tandem mass spectrometry. Under the optimized conditions, the limit of detection (LOD) was at the level 0.1 ng/mL using MS2 procedure in negative mode. A single REE analysis can be completed within 2~3 minutes with the relative standard deviation ranging between 2.4% and 21.2% (six repeated measurements) for the 5 experimental runs. Moreover, the recovery rates of these REEs are between the range of 97.6%–122.1%. Two real samples have also been analyzed, including well and orange juice. These experimental data demonstrated that this method is a useful tool for the field analysis of REEs in water and can be used as an alternative supplement of ICP-MS. PMID:26421013

  1. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  2. Effect of Microwave Non thermal Plasma Irradiation on the Adsorptive Properties of Active Carbon Preliminarily Impregnated with Poly(vinyl alcohol)

    NASA Astrophysics Data System (ADS)

    Ueshima, Masato; Toda, Eriko; Nakajima, Yuki; Sugiyama, Kazuo

    2010-08-01

    Microwave non thermal plasma irradiation was conducted on active carbon (AC) preliminarily impregnated with poly(vinyl alcohol) (PVA) in order to modify the adsorption properties of active carbon, particularly to increase hydrophobicity. The plasma was produced by applying microwave power on the PVA-impregnated active carbon (PVA/AC) placed in a low vacuum chamber (<10 Torr). The surface of the plasma-treated PVA/AC was imaged using scanning electron microscopy and atomic force microscopy (SEM and AFM, respectively), and analyzed using X-ray photoelectron spectroscopy (XPS). Hydrophobicity of the plasma-treated PVA/AC was compared to that of untreated PVA/AC and AC by a sinking test in water/methanol mixed solutions. The hydrophobicity drastically increased for PVA/AC treatment with 1-min plasma irradiation. The AFM results indicated that the surface roughness of the PVA/AC was dependent upon the hydrophobicity, rather than reduction of free energy due to reduction of polarized functional groups. NaOH and HCl adsorption onto the plasma-treated PVA/AC was also measured. Adsorption capacity of plasma-treated PVA/AC increased for NaOH, whereas it decreased for HCl. The plasma treatment not only increased the hydrophobicity of PVA/AC, but also changed its acid-base adsorption properties. We have developed a new material based on active carbon, which is light, hydrophobic and electrically conductive by using a combination of PVA sintering and plasma irradiation.

  3. Modifying friction between ultra-high molecular weight polyethylene (UHMWPE) yarns with plasma enhanced chemical vapour deposition (PCVD)

    NASA Astrophysics Data System (ADS)

    Chu, Yanyan; Chen, Xiaogang; Tian, Lipeng

    2017-06-01

    Ultra-high molecular weight polyethylene (UHMWPE) yarns are widely used in military applications for protection owing to its high modulus and high strength; however, the friction between UHMWPE yarns is too small, which is a weakness for ballistic applications. The purpose of current research is to increase the friction between UHMWPE yarns by plasma enhanced chemical vapour deposition (PCVD). The changes of morphology and chemical structure were characterised by SEM and FTIR individually. The coefficients of friction between yarns were tested by means of Capstan method. Results from tests showed that the yarn-yarn coefficient of static friction (CSF) has been improved from 0.12 to 0.23 and that of kinetic friction (CSF) increased from 0.11 to 0.19, as the samples exposure from 21 s to 4 min. The more inter-yarn friction can be attributed to more and more particles and more polar groups deposited on the surfaces of yarns, including carboxyl, carbonyl, hydroxyl and amine groups and compounds containing silicon. The tensile strength and modulus of yarns, which are essential to ballistic performance, keep stable and are not affected by the treatments, indicating that PCVD treatment is an effective way to improve the inter-yarn friction without mechanical property degradation.

  4. Frequency up-conversion of a high-power microwave pulse propagating in a self-generated plasma

    NASA Technical Reports Server (NTRS)

    Kuo, S. P.; Ren, A.

    1992-01-01

    In the study of the propagation of a high-power microwave pulse, one of the main concerns is how to minimize the energy loss of the pulse before reaching the destination. A frequency autoconversion process that can lead to reflectionless propagation of powerful electromagnetic pulses in self-generated plasmas is studied. The theory shows that, under the proper condition, the carrier frequency omega of the pulse shifts upward during the growth of local plasma frequency omega(pe). Thus, the self-generated plasma remains underdense to the pulse. A chamber experiment to demonstrate the frequency autoconversion during the pulse propagation through the self-generated plasma is conducted. The detected frequency shift is compared with the theoretical result calculated by using the measured electron density distribution along the propagation path of the pulse. Good agreement is obtained.

  5. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    NASA Astrophysics Data System (ADS)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  6. Rapid, facile microwave-assisted synthesis of xanthan gum grafted polyaniline for chemical sensor.

    PubMed

    Pandey, Sadanand; Ramontja, James

    2016-08-01

    Grafting method, through microwave radiation procedure is extremely productive in terms of time consumption, cost effectiveness and environmental friendliness. In this study, conductive and thermally stable composite (mwXG-g-PANi) was synthesized by grafting of aniline (ANi) on to xanthan gum (XG) using catalytic weight of initiator, ammonium peroxydisulfate in the process of microwave irradiation in an aqueous medium. The synthesis of mwXG-g-PANi were confirm by FTIR, XRD, TGA, and SEM. The influence of altering the microwave power, exposure time of microwave, concentration of monomer and the amount of initiator of graft polymerization were studied over the grafting parameters, for example, grafting percentage (%G) and grafting efficiency (%E). The maximum %G and %E achieved was 172 and 74.13 respectively. The outcome demonstrates that the microwave irradiation strategy can increase the reaction rate by 72 times over the conventional method. Electrical conductivity of XG and mwXG-g-PANi composite film was performed. The fabricated grafted sample film were then examined for the chemical sensor. The mwXG-g-PANi, effectively integrated and handled, are NH3 sensitive and exhibit a rapid sensing in presence of NH3 vapor. Chemiresistive NH3 sensors with superior room temperature sensing performance were produced with sensor response of 905 at 1ppb and 90% recovery within few second. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Microwave-plasma in a simple magnetized torus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rypdal, K.; Fredriksen, M.; Olsen, O.M.

    1997-05-01

    In a magnetized torus with no poloidal field component, a weakly ionized plasma is produced by microwaves at 2.45 GHz in the O-mode as well as the X-mode. The neutral gas pressure p{sub g} ranges from 5{times}10{sup {minus}5} to 1{times}10{sup {minus}3} mbar, n{sub e}{approximately}1{minus}5{times}10{sup 16}m{sup {minus}3}, and T{sub e}{approximately}2{minus}6 eV. The O-mode is only weakly absorbed at the electron cyclotron resonance (ECR), but is partly converted to the X-mode by wall reflections. The X-mode is absorbed via the upper hybrid resonance (UHR), presumably through conversion to and absorption of electron Bernstein waves (EBW). For p{sub g}{gt}1{times}10{sup {minus}3} mbar the EBWmore » absorption is collisional, but for lower p{sub g} a collisionless transit particle mechanism could be responsible. Typically the spatial plasma distribution depends mainly on the major radius R, and the measured n{sub e}(R) increases monotonically with R from the ECR to an UHR near the outer wall. T{sub e} is determined by the particle balance, and is proportional to the ionization energy. The average n{sub e} is determined by power balance, and increases with wave power. {copyright} {ital 1997 American Institute of Physics.}« less

  8. Sphere-enhanced microwave ablation (sMWA) versus bland microwave ablation (bMWA): technical parameters, specific CT 3D rendering and histopathology.

    PubMed

    Gockner, T L; Zelzer, S; Mokry, T; Gnutzmann, D; Bellemann, N; Mogler, C; Beierfuß, A; Köllensperger, E; Germann, G; Radeleff, B A; Stampfl, U; Kauczor, H U; Pereira, P L; Sommer, C M

    2015-04-01

    This study was designed to compare technical parameters during ablation as well as CT 3D rendering and histopathology of the ablation zone between sphere-enhanced microwave ablation (sMWA) and bland microwave ablation (bMWA). In six sheep-livers, 18 microwave ablations were performed with identical system presets (power output: 80 W, ablation time: 120 s). In three sheep, transarterial embolisation (TAE) was performed immediately before microwave ablation using spheres (diameter: 40 ± 10 μm) (sMWA). In the other three sheep, microwave ablation was performed without spheres embolisation (bMWA). Contrast-enhanced CT, sacrifice, and liver harvest followed immediately after microwave ablation. Study goals included technical parameters during ablation (resulting power output, ablation time), geometry of the ablation zone applying specific CT 3D rendering with a software prototype (short axis of the ablation zone, volume of the largest aligned ablation sphere within the ablation zone), and histopathology (hematoxylin-eosin, Masson Goldner and TUNEL). Resulting power output/ablation times were 78.7 ± 1.0 W/120 ± 0.0 s for bMWA and 78.4 ± 1.0 W/120 ± 0.0 s for sMWA (n.s., respectively). Short axis/volume were 23.7 ± 3.7 mm/7.0 ± 2.4 cm(3) for bMWA and 29.1 ± 3.4 mm/11.5 ± 3.9 cm(3) for sMWA (P < 0.01, respectively). Histopathology confirmed the signs of coagulation necrosis as well as early and irreversible cell death for bMWA and sMWA. For sMWA, spheres were detected within, at the rim, and outside of the ablation zone without conspicuous features. Specific CT 3D rendering identifies a larger ablation zone for sMWA compared with bMWA. The histopathological signs and the detectable amount of cell death are comparable for both groups. When comparing sMWA with bMWA, TAE has no effect on the technical parameters during ablation.

  9. A Cherenkov-emission Microwave Source.*

    NASA Astrophysics Data System (ADS)

    Lai, C. H.; Yoshii, J.; Katsouleas, T.; Hairapetian, G.; Joshi, C.; Mori, W.

    1996-11-01

    In an unmagnetized plasma, there is no Cherenkov emission because the phase velocity ν_φ of light is greater than c. In a magnetized plasma, the situation is completely changed. There is a rich variety of plasma modes with phase velocities ν_φ <= c which can couple to a fast particle. In the magnetized plasma, a fast particle, a particle beam, or even a short laser pulse excites a Cherenkov wake that has both electrostatic and electromagnetic components. Preliminary simulations indicate that at the vacuum/plasma boundary, the wake couples to a vacuum microwave with an amplitude equal to the electromagnetic component in the plasma. For a weakly magnetized plasma, the amplitude of the out-coupled radiation is approximately ωc / ωp times the amplitude of the wake excited in the plasma by the beam, and the frequency is approximately ω_p. Since plasma wakes as high as a few GeV/m are produced in current experiments, the potential for a high-power (i.e., GWatt) coherent microwave to THz source exists. In this talk, a brief overview of the scaling laws will be presented, followed by 1-D and 2-D PIC simulations. Prospects for a tuneable microwave source experiment based on this mechanism at the UCLA plasma wakefield accelerator facility will be discussed. Work supported by AFOSR Grant #F4 96200-95-0248 and DOE Grant # DE-FG03-92ER40745. ^1Now at Hughes Research Laboratories, Malibu, CA 90265.

  10. A Cherenkov-emission Microwave Source*

    NASA Astrophysics Data System (ADS)

    Lai, C. H.; Yoshii, J.; Katsouleas, T.; Hairapetian1, G.; Joshi, C.; Mori, W.

    1996-11-01

    In an unmagnetized plasma, there is no Cherenkov emission because the phase velocity vf of light is greater than c. In a magnetized plasma, the situation is completely changed. There is a rich variety of plasma modes with phase velocities vf 2 c which can couple to a fast particle. In the magnetized plasma, a fast particle, a particle beam, or even a short laser pulse excites a Cherenkov wake that has both electrostatic and electromagnetic components. Preliminary simulations indicate that at the vacuum/plasma boundary, the wake couples to a vacuum microwave with an amplitude equal to the electromagnetic component in the plasma. For a weakly magnetized plasma, the amplitude of the out-coupled radiation is approximately wc/wp times the amplitude of the wake excited in the plasma by the beam, and the frequency is approximately wp. Since plasma wakes as high as a few GeV/m are produced in current experiments, the potential for a high-power (i.e., GWatt) coherent microwave to THz source exists. In this talk, a brief overview of the scaling laws will be presented, followed by 1-D and 2-D PIC simulations. Prospects for a tuneable microwave source experiment based on this mechanism at the UCLA plasma wakefield accelerator facility will be discussed. *Work supported by AFOSR Grant #F4 96200-95-0248 and DOE Grant # DE-FG03-92ER40745. 1Now at Hughes Research Laboratories, Malibu, CA 90265

  11. Processing and interpretation of experiments in the microwave interferometry of shock waves in a weakly ionized plasma

    NASA Astrophysics Data System (ADS)

    Ershov, A. P.; Klishin, S. V.; Kuzovnikov, S. V.; Ponomareva, S. E.; Pyt'ev, Iu. P.

    1990-12-01

    The reduction method is applied to the microwave interferometry of shock waves in a weakly ionized plasma, making it possible to improve the spatial resolution of the instrument. It is shown experimentally that the structure of the shock wave electron component in a high-frequency discharge plasma in atomic and molecular gases is characterized by the presence of a precursor in the form of a rarefaction wave. The origin of the precursor is examined.

  12. Wetting behaviour of carbon nitride nanostructures grown by plasma enhanced chemical vapour deposition technique

    NASA Astrophysics Data System (ADS)

    Ahmad Kamal, Shafarina Azlinda; Ritikos, Richard; Abdul Rahman, Saadah

    2015-02-01

    Tuning the wettability of various coating materials by simply controlling the deposition parameters is essential for various specific applications. In this work, carbon nitride (CNx) films were deposited on silicon (1 1 1) substrates using radio-frequency plasma enhanced chemical vapour deposition employing parallel plate electrode configuration. Effects of varying the electrode distance (DE) on the films' structure and bonding properties were investigated using Field emission scanning electron microscopy, Atomic force microscopy, Fourier transform infrared and X-ray photoemission spectroscopy. The wettability of the films was analyzed using water contact angle measurements. At high DE, the CNx films' surface was smooth and uniform. This changed into fibrous nanostructures when DE was decreased. Surface roughness of the films increased with this morphological transformation. Nitrogen incorporation increased with decrease in DE which manifested the increase in both relative intensities of Cdbnd N to Cdbnd C and Nsbnd H to Osbnd H bonds. sp2-C to sp3-C ratio increased as DE decreased due to greater deformation of sp2 bonded carbon at lower DE. The films' characteristics changed from hydrophilic to super-hydrophobic with the decrease in DE. Roughness ratio, surface porosity and surface energy calculated from contact angle measurements were strongly dependent on the morphology, surface roughness and bonding properties of the films.

  13. Microwave ion source

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani; Thomae, Rainer W.

    2005-07-26

    A compact microwave ion source has a permanent magnet dipole field, a microwave launcher, and an extractor parallel to the source axis. The dipole field is in the form of a ring. The microwaves are launched from the middle of the dipole ring using a coaxial waveguide. Electrons are heated using ECR in the magnetic field. The ions are extracted from the side of the source from the middle of the dipole perpendicular to the source axis. The plasma density can be increased by boosting the microwave ion source by the addition of an RF antenna. Higher charge states can be achieved by increasing the microwave frequency. A xenon source with a magnetic pinch can be used to produce intense EUV radiation.

  14. Microwave Induced Direct Bonding of Single Crystal Silicon Wafers

    NASA Technical Reports Server (NTRS)

    Budraa, N. K.; Jackson, H. W.; Barmatz, M.

    1999-01-01

    We have heated polished doped single-crystal silicon wafers in a single mode microwave cavity to temperatures where surface to surface bonding occurred. The absorption of microwaves and heating of the wafers is attributed to the inclusion of n-type or p-type impurities into these substrates. A cylindrical cavity TM (sub 010) standing wave mode was used to irradiate samples of various geometry's at positions of high magnetic field. This process was conducted in vacuum to exclude plasma effects. This initial study suggests that the inclusion of impurities in single crystal silicon significantly improved its microwave absorption (loss factor) to a point where heating silicon wafers directly can be accomplished in minimal time. Bonding of these substrates, however, occurs only at points of intimate surface to surface contact. The inclusion of a thin metallic layer on the surfaces enhances the bonding process.

  15. Air-water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    NASA Astrophysics Data System (ADS)

    Bundaleska, N.; Tatarova, E.; Dias, F. M.; Lino da Silva, M.; Ferreira, C. M.; Amorim, J.

    2014-02-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air-water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (˜2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air-water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O2(a 1Δg) oxygen, NO2, nitrous acid HNO2 and OH hydroxyl radical.

  16. Chemically enhanced in situ recovery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sale, T.; Pitts, M.; Wyatt, K.

    1996-08-01

    Chemically enhanced recovery is a promising alternative to current technologies for management of subsurface releases of organic liquids. Through the inclusion of surfactants, solvents, polymers, and/or alkaline agents to a waterflood, the transport of targeted organic compounds can be increased and rates of recovery enhanced. By far, the vast majority of work done in the field of chemically enhanced recovery has been at a laboratory scale. The following text focuses on chemically enhanced recovery from a field application perspective with emphasis given to chlorinated solvents in a low permeability setting. While chlorinated solvents are emphasized, issues discussed are also relevantmore » to organic liquids less dense than water such as petroleum products. Topics reviewed include: (1) Description of technology; (2) General technology considerations; (3) Low permeability media considerations; (4) Cost and reliability considerations; (5) Commercial availability; and (6) Case histories. Through this paper an appreciation is developed of both the potential and limitations of chemically enhanced recovery. Excluded from the scope of this paper is the in situ destruction of organic compounds through processes such as chemical or biological oxidation, chemically enhanced recovery of inorganic compounds, and ex situ soil treatment processes. 11 refs., 2 figs., 1 tab.« less

  17. Topography preserved microwave plasma etching for top-down layer engineering in MoS2 and other van der Waals materials.

    PubMed

    Varghese, Abin; Sharma, Chithra H; Thalakulam, Madhu

    2017-03-17

    A generic and universal layer engineering strategy for van der Waals (vW) materials, scalable and compatible with the current semiconductor technology, is of paramount importance in realizing all-two-dimensional logic circuits and to move beyond the silicon scaling limit. In this letter, we demonstrate a scalable and highly controllable microwave plasma based layer engineering strategy for MoS 2 and other vW materials. Using this technique we etch MoS 2 flakes layer-by-layer starting from an arbitrary thickness and area down to the mono- or the few-layer limit. From Raman spectroscopy, atomic force microscopy, photoluminescence spectroscopy, scanning electron microscopy and transmission electron microscopy, we confirm that the structural and morphological properties of the material have not been compromised. The process preserves the pre-etch layer topography and yields a smooth and pristine-like surface. We explore the electrical properties utilising a field effect transistor geometry and find that the mobility values of our samples are comparable to those of the pristine ones. The layer removal does not involve any reactive gasses or chemical reactions and relies on breaking the weak inter-layer vW interaction making it a generic technique for a wide spectrum of layered materials and heterostructures. We demonstrate the wide applicability of the technique by extending it to other systems such as graphene, h-BN and WSe 2 . In addition, using microwave plasma in combination with standard lithography, we illustrate a lateral patterning scheme making this process a potential candidate for large scale device fabrication in addition to layer engineering.

  18. An extraordinary transmission analogue for enhancing microwave antenna performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pushpakaran, Sarin V., E-mail: sarincrema@gmail.com; Purushothaman, Jayakrishnan M.; Chandroth, Aanandan

    2015-10-15

    The theory of diffraction limit proposed by H.A Bethe limits the total power transfer through a subwavelength hole. Researchers all over the world have gone through different techniques for boosting the transmission through subwavelength holes resulting in the Extraordinary Transmission (EOT) behavior. We examine computationally and experimentally the concept of EOT nature in the microwave range for enhancing radiation performance of a stacked dipole antenna working in the S band. It is shown that the front to back ratio of the antenna is considerably enhanced without affecting the impedance matching performance of the design. The computational analysis based on Finitemore » Difference Time Domain (FDTD) method reveals that the excitation of Fabry-Perot resonant modes on the slots is responsible for performance enhancement.« less

  19. Wave propagation in a quasi-chemical equilibrium plasma

    NASA Technical Reports Server (NTRS)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  20. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  1. Method for microwave plasma assisted supersonic gas jet deposition of thin films

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1994-10-18

    A thin film is formed on a substrate positioned in a vacuum chamber by use of a gas jet apparatus affixed to a vacuum chamber port and having an outer nozzle with an interior cavity into which carrier gas is fed, an inner nozzle located within the outer nozzle interior cavity into which reactant gas is introduced, a tip of the inner nozzle being recessed from the vacuum chamber port within the outer nozzle interior cavity, and a microwave discharge device configured about the apparatus for generating a discharge in the carrier gas and reactant gas only in a portion of the outer nozzle interior cavity extending from approximately the inner nozzle tip towards the vacuum chamber. A supersonic free jet of carrier gas transports vapor species generated in the microwave discharge to the surface of the substrate to form a thin film on the substrate. The substrate can be translated from the supersonic jet to a second supersonic jet in less time than needed to complete film formation so that the film is chemically composed of chemical reaction products of vapor species in the jets. 5 figs.

  2. Method for microwave plasma assisted supersonic gas jet deposition of thin films

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1994-01-01

    A thin film is formed on a substrate positioned in a vacuum chamber by use of a gas jet apparatus affixed to a vacuum chamber port and having an outer nozzle with an interior cavity into which carrier gas is fed, an inner nozzle located within the outer nozzle interior cavity into which reactant gas is introduced, a tip of the inner nozzle being recessed from the vacuum chamber port within the outer nozzle interior cavity, and a microwave discharge device configured about the apparatus for generating a discharge in the carrier gas and reactant gas only in a portion of the outer nozzle interior cavity extending from approximately the inner nozzle tip towards the vacuum chamber. A supersonic free jet of carrier gas transports vapor species generated in the microwave discharge to the surface of the substrate to form a thin film on the substrate. The substrate can be translated from the supersonic jet to a second supersonic jet in less time than needed to complete film formation so that the film is chemically composed of chemical reaction products of vapor species in the jets.

  3. A review of catalytic microwave pyrolysis of lignocellulosic biomass for value-added fuel and chemicals.

    PubMed

    Morgan, Hervan Marion; Bu, Quan; Liang, Jianghui; Liu, Yujing; Mao, Hanping; Shi, Aiping; Lei, Hanwu; Ruan, Roger

    2017-04-01

    Lignocellulosic biomass is an abundant renewable resource and can be efficiently converted into bio-energy by a bio-refinery. From the various techniques available for biomass thermo-chemical conversion; microwave assisted pyrolysis (MAP) seems to be the very promising. The principles of microwave technology were reviewed and the parameters for the efficient production of bio-oil using microwave technology were summarized. Microwave technology by itself cannot efficiently produce high quality bio-oil products, catalysts are used to improve the reaction conditions and selectivity for valued products during MAP. The catalysts used to optimize MAP are revised in the development of this article. The origins for bio-oils that are phenol rich or hydrocarbon rich are reviewed and their experimental results were summarized. The kinetics of MAP is discussed briefly in the development of the article. Future prospects and scientific development of MAP are also considered in the development of this article. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Enhanced production of electron cyclotron resonance plasma by exciting selective microwave mode on a large-bore electron cyclotron resonance ion source with permanent magnet.

    PubMed

    Kimura, Daiju; Kurisu, Yosuke; Nozaki, Dai; Yano, Keisuke; Imai, Youta; Kumakura, Sho; Sato, Fuminobu; Kato, Yushi; Iida, Toshiyuki

    2014-02-01

    We are constructing a tandem type ECRIS. The first stage is large-bore with cylindrically comb-shaped magnet. We optimize the ion beam current and ion saturation current by a mobile plate tuner. They change by the position of the plate tuner for 2.45 GHz, 11-13 GHz, and multi-frequencies. The peak positions of them are close to the position where the microwave mode forms standing wave between the plate tuner and the extractor. The absorbed powers are estimated for each mode. We show a new guiding principle, which the number of efficient microwave mode should be selected to fit to that of multipole of the comb-shaped magnets. We obtained the excitation of the selective modes using new mobile plate tuner to enhance ECR efficiency.

  5. Ultrafast high-power microwave window breakdown: nonlinear and postpulse effects.

    PubMed

    Chang, C; Verboncoeur, J; Guo, M N; Zhu, M; Song, W; Li, S; Chen, C H; Bai, X C; Xie, J L

    2014-12-01

    The time- and space-dependent optical emissions of nanosecond high-power microwave discharges near a dielectric-air interface have been observed by nanosecond-response four-framing intensified-charged-coupled device cameras. The experimental observations indicate that plasma developed more intensely at the dielectric-air interface than at the free-space region with a higher electric-field amplitude. A thin layer of intense light emission above the dielectric was observed after the microwave pulse. The mechanisms of the breakdown phenomena are analyzed by a three-dimensional electromagnetic-field modeling and a two-dimensional electromagnetic particle-in-cell simulation, revealing the formation of a space-charge microwave sheath near the dielectric surface, accelerated by the normal components of the microwave field, significantly enhancing the local-field amplitude and hence ionization near the dielectric surface. The nonlinear positive feedback of ionization, higher electron mobility, and ultraviolet-driven photoemission due to the elevated electron temperature are crucial for achieving the ultrafast discharge. Following the high-power microwave pulse, the sheath sustains a glow discharge until the sheath collapses.

  6. Plasma upflows and microwave emission in hot supra-arcade structure associated with AN M1.6 limb flare

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, S.; Shibasaki, K.; Bain, H.-M.

    2014-04-20

    We have investigated a supra-arcade structure associated with an M1.6 flare, which occurred on the south-east limb on 2010 November 4. It is observed in EUV with the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory, microwaves at 17 and 34 GHz with the Nobeyama Radioheliograph (NoRH), and soft X-rays of 8-20 keV with RHESSI. Interestingly, we found exceptional properties of the supra-arcade thermal plasma from the AIA 131 Å and the NoRH: (1) plasma upflows along large coronal loops and (2) enhancing microwave emission. RHESSI detected two soft X-ray sources, a broad one in the middle ofmore » the supra-arcade structure and a bright one just above the flare-arcade. We estimated the number density and thermal energy for these two source regions during the decay phase of the flare. In the supra-arcade source, we found that there were increases of the thermal energy and the density at the early and last stages, respectively. On the contrary, the density and thermal energy of the source on the top of the flare-arcade decreases throughout. The observed upflows imply that there is continuous energy supply into the supra-arcade structure from below during the decay phase of the flare. It is hard to explain by the standard flare model in which the energy release site is located high in the corona. Thus, we suggest that a potential candidate of the energy source for the hot supra-arcade structure is the flare-arcade, which has exhibited a predominant emission throughout.« less

  7. Investigation on transmission and reflection characteristics of plasma array to 6 GHz high-power microwave

    NASA Astrophysics Data System (ADS)

    Yang, Liu; Yang, Zhongcun; Wan, Jianing; Liu, Hao

    2016-10-01

    For the safety of electronic equipment, a double-layer barrier of cylindrical plasma array was designed, and its protective performance to high-power microwave (HPM) were analyzed and the protective performance experiment was conducted. Combining the density distribution characteristic of the discharge plasma, the shielding effectiveness of the double-layer plasma on 6GHz HPM pulse was studied. The experiment results indicate that the protective effectiveness of two layers plasma array is better than that of one layer. Two layers plasma array can make the peak electric field of transmission waveform less than interference threshold of electronic equipment to achieve better protection effectiveness. Transmission attenuation of one layer and two layers plasma array to HPM can reach -6.6066dB and -24.9357dB. The results also show that for the existence of multiple reflection, even the plasma electron density is not high enough, it can realize a strong attenuation. The experiment results in this paper are of great significance in protecting against HPM and electromagnetic pulse.

  8. Plasma Physics Challenges of MM-to-THz and High Power Microwave Generation

    NASA Astrophysics Data System (ADS)

    Booske, John

    2007-11-01

    Homeland security and military defense technology considerations have stimulated intense interest in mobile, high power sources of millimeter-wave to terahertz regime electromagnetic radiation, from 0.1 to 10 THz. While sources at the low frequency end, i.e., the gyrotron, have been deployed or are being tested for diverse applications such as WARLOC radar and active denial systems, the challenges for higher frequency sources have yet to be completely met for applications including noninvasive sensing of concealed weapons and dangerous agents, high-data-rate communications, and high resolution spectroscopy and atmospheric sensing. The compact size requirements for many of these high frequency sources requires miniscule, micro-fabricated slow wave circuits with high rf ohmic losses. This necessitates electron beams with not only very small transverse dimensions but also very high current density for adequate gain. Thus, the emerging family of mm-to-THz e-beam-driven vacuum electronics devices share many of the same plasma physics challenges that currently confront ``classic'' high power microwave (HPM) generators [1] including bright electron sources, intense beam transport, energetic electron interaction with surfaces and rf air breakdown at output windows. Multidimensional theoretical and computational models are especially important for understanding and addressing these challenges. The contemporary plasma physics issues, recent achievements, as well as the opportunities and outlook on THz and HPM will be addressed. [1] R.J. Barker, J.H. Booske, N.C. Luhmann, and G.S. Nusinovich, Modern Microwave and Millimeter-Wave Power Electronics (IEEE/Wiley, 2005).

  9. The Peculiar Solar Minimum 23/24 Revealed by the Microwave Butterfly Diagram

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Yashiro, Seiji; Makela, Pertti; Shibasaki, Kiyoto; Hathaway, David

    2010-01-01

    The diminished polar magnetic field strength during the minimum between cycles 23 and 24 is also reflected in the thermal radio emission originating from the polar chromosphere. During solar minima, the polar corona has extended coronal holes containing intense unipolar flux. In microwave images, the coronal holes appear bright, with a brightness enhancement of 500 to 2000 K with respect to the quiet Sun. The brightness enhancement corresponds to the upper chromosphere, where the plasma temperature is approx.10000 K. We constructed a microwave butterfly diagram using the synoptic images obtained by the Nobeyama radioheliograph (NoRH) showing the evolution of the polar and low latitude brightness temperature. While the polar brightness reveals the chromospheric conditions, the low latitude brightness is attributed to active regions in the corona. When we compared the microwave butterfly diagram with the magnetic butterfly diagram, we found a good correlation between the microwave brightness enhancement and the polar field strength. The microwave butterfly diagram covers part of solar cycle 22, whole of cycle 23, and part of cycle 24, thus enabling comparison between the cycle 23/24 and cycle 22/23 minima. The microwave brightness during the cycle 23/24 minimum was found to be lower than that during the cycle 22/23 minimum by approx.250 K. The reduced brightness temperature is consistent with the reduced polar field strength during the cycle 23/24 minimum seen in the magnetic butterfly diagram. We suggest that the microwave brightness at the solar poles is a good indicator of the speed of the solar wind sampled by Ulysses at high latitudes..

  10. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    PubMed

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  11. Microwave-Driven Air Plasma Studies for Drag Reduction and Power Extraction in Supersonic Air

    DTIC Science & Technology

    2004-10-15

    called spillage occurs, and the air mass capture decreases (Fig. 3). To avoid performance penalties at off-design Mach numbers, a variable geometry inlet...AND SUBTITLE 5. FUNDING NUMBERS Microwave-Driven Air Plasma Studies for Drag Reduction and Power Extraction in Supersonic Air 6. AUTHOR(S) Richard B...MONITORING AGENCY REPORT NUMBER Air Force Office of Scientific Research/NA (John Schmisseur, Program Manager) 801 N. Randolph St., Room 732 Arlington

  12. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  13. Microwave Triggered Laser Ionization of Air

    NASA Astrophysics Data System (ADS)

    Vadiee, Ehsan; Prasad, Sarita; Jerald Buchenauer, C.; Schamiloglu, Edl

    2012-10-01

    The goal of this work is to study the evolution and dynamics of plasma expansion when a high power microwave (HPM) pulse is overlapped in time and space on a very small, localized region of plasma formed by a high energy laser pulse. The pulsed Nd:YAG laser (8 ns, 600mJ, repetition rate 10 Hz) is focused to generate plasma filaments in air with electron density of 10^17/cm^3. When irradiated with a high power microwave pulse these electrons would gain enough kinetic energy and further escalate avalanche ionization of air due to elastic electron-neutral collisions thereby causing an increased volumetric discharge region. An X-band relativistic backward wave oscillator(RBWO) at the Pulsed Power,Beams and Microwaves laboratory at UNM is constructed as the microwave source. The RBWO produces a microwave pulse of maximum power 400 MW, frequency of 10.1 GHz, and energy of 6.8 Joules. Special care is being given to synchronize the RBWO and the pulsed laser system in order to achieve a high degree of spatial and temporal overlap. A photodiode and a microwave waveguide detector will be used to ensure the overlap. Also, a new shadowgraph technique with a nanosecond time resolution will be used to detect changes in the shock wave fronts when the HPM signal overlaps the laser pulse in time and space.

  14. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  15. Characterization of the supersonic flowing microwave discharge using two dimensional plasma tomography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nikolic, M.; Samolov, A.; Popovic, S.

    2013-03-14

    A tomographic numerical method based on the two-dimensional Radon formula for a cylindrical cavity has been employed for obtaining spatial distributions of the argon excited levels. The spectroscopy measurements were taken at different positions and directions to observe populations of excited species in the plasmoid region and the corresponding excitation temperatures. Excited argon states are concentrated near the tube walls, thus, confirming the assumption that the post discharge plasma is dominantly sustained by travelling surface wave. An automated optical measurement system has been developed for reconstruction of local plasma parameters of the plasmoid structure formed in an argon supersonic flowingmore » microwave discharge. The system carries out angle and distance measurements using a rotating, flat mirror, as well as two high precision stepper motors operated by a microcontroller-based system and several sensors for precise feedback control.« less

  16. A Backscattering Enhanced Microwave Canopy Scattering Model Based On MIMICS

    NASA Astrophysics Data System (ADS)

    Shen, X.; Hong, Y.; Qin, Q.; Chen, S.; Grout, T.

    2010-12-01

    For modeling microwave scattering of vegetated areas, several microwave canopy scattering models, based on the vectorized radiative transfer equation (VRT) that use different solving techniques, have been proposed in the past three decades. As an iterative solution of VRT at low orders, the Michigan Microwave Canopy Scattering Model (MIMICS) gives an analytical expression for calculating scattering as long as the volume scattering is not too strong. The most important usage of such models is to predict scattering in the backscattering direction. Unfortunately, the simplified assumption of MIMICS is that the scattering between the ground and trunk layers only includes the specular reflection. As a result, MIMICS includes a dominant coherent term which vanishes in the backscattering direction because this term contains a delta function factor of zero in this direction. This assumption needs reconsideration for accurately calculating the backscattering. In the framework of MIMICS, any incoherent terms that involve surface scattering factors must at least undergo surface scattering twice and volume scattering once. Therefore, these incoherent terms are usually very weak. On the other hand, due to the phenomenon of backscattering enhancement, the surface scattering in the backscattering direction is very strong compared to most other directions. Considering the facts discussed above, it is reasonable to add a surface backscattering term to the last equation of the boundary conditions of MIMICS. More terms appear in the final result including a backscattering coherent term which enhances the backscattering. The modified model is compared with the original MIMICS (version 1.0) using JPL/AIRSAR data from NASA Campaign Soil Moisture Experimental 2003 (SMEX03) and Washita92. Significant improvement is observed.

  17. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    NASA Astrophysics Data System (ADS)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (<400sp°C). The double dilution was achieved by using a Ar (He) carrier for silane and its subsequent dilution by Hsb2. Structural and electrical properties of the films have been investigated over a wide growth space (temperature, power, pressure and dilution). Amorphous Si films deposited by silane diluted in He showed a compact nature and a hydrogen content of ˜8 at.% with a photo/dark conductivity ratio of 10sp4. Thin film transistors (W/L = 500/25) fabricated on these films, showed an on/off ratio of ˜10sp6 and a low threshold voltage of 2.92 volts. Microcrystalline Si films with a high crystalline content (˜80%) were also prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron

  18. Review on Microwave-Matter Interaction Fundamentals and Efficient Microwave-Associated Heating Strategies

    PubMed Central

    Sun, Jing; Wang, Wenlong; Yue, Qinyan

    2016-01-01

    Microwave heating is rapidly emerging as an effective and efficient tool in various technological and scientific fields. A comprehensive understanding of the fundamentals of microwave–matter interactions is the precondition for better utilization of microwave technology. However, microwave heating is usually only known as dielectric heating, and the contribution of the magnetic field component of microwaves is often ignored, which, in fact, contributes greatly to microwave heating of some aqueous electrolyte solutions, magnetic dielectric materials and certain conductive powder materials, etc. This paper focuses on this point and presents a careful review of microwave heating mechanisms in a comprehensive manner. Moreover, in addition to the acknowledged conventional microwave heating mechanisms, the special interaction mechanisms between microwave and metal-based materials are attracting increasing interest for a variety of metallurgical, plasma and discharge applications, and therefore are reviewed particularly regarding the aspects of the reflection, heating and discharge effects. Finally, several distinct strategies to improve microwave energy utilization efficiencies are proposed and discussed with the aim of tackling the energy-efficiency-related issues arising from the application of microwave heating. This work can present a strategic guideline for the developed understanding and utilization of the microwave heating technology. PMID:28773355

  19. Unraveling the mysteries of microwave chemistry using silicon carbide reactor technology.

    PubMed

    Kappe, C Oliver

    2013-07-16

    . Over the past five years we have subjected a wide variety of chemical transformations, including organic reactions, preparations of inorganic nanoparticles, and the hydrolysis of proteins, to the "SiC test." In nearly all of the studied examples, we obtained identical results from reactions carried out in Pyrex vials and those carried out in SiC vials. The data obtained from these investigations confirm that in the overwhelming majority of cases a bulk temperature phenomenon drives the enhancements in microwave chemistry and that the electromagnetic field has no direct influence on the reaction pathway.

  20. Microwave air plasmas in capillaries at low pressure II. Experimental investigation

    NASA Astrophysics Data System (ADS)

    Stancu, G. D.; Leroy, O.; Coche, P.; Gadonna, K.; Guerra, V.; Minea, T.; Alves, L. L.

    2016-11-01

    This work presents an experimental study of microwave (2.45 GHz excitation frequency) micro-plasmas, generated in dry air (N2 80%: O2 20%) within a small radius silica capillary (345 µm inner radius) at low pressure (300 Pa) and low powers (80-130 W). Experimental diagnostics are performed using optical emission spectroscopy calibrated in absolute intensity. Axial-resolved measurements (50 µm spatial resolution) of atomic transitions N(3p4S)  →  N(3s4P) O(3p5P)  →  O(3s5S) and molecular transitions N2(C,v‧)  →  N2(B,v″) \\text{N}2+ (B,v‧)  →  \\text{N}2+ (X,v″) allow us to obtain, as a function of the coupled power, the absolute densities of N(3p4S), O(3p5P), N2(C), N2(B) and \\text{N}2+ (B), as well as the gas (rotational) temperature (700-1000 K), the vibrational temperature of N2(C,v) (7000-10 000 K) and the excitation temperatures of N2(C) and N2(B) (11 000 K). The analysis of the H β line-width gives an upper limiting value of 1013 cm-3 for the electron density; its axial variation (4  ×  1011-6  ×  1012 cm-3) being estimated by solving the wave electrodynamics equations for the present geometry, plasma length and electron-neutral collision frequency. The experimental results were compared with the results from a 0D model, presented in companion paper I [1], which couples the system of rate balance equations for the dominant neutral and charged plasma species to the homogeneous two-term electron Boltzmann equation, taking the measured gas temperature and the estimated electron density as input parameters. Good qualitative agreement is found between the measurements and calculations of the local species densities for various powers and axial positions. The dissociation degree of oxygen is found above 10%. Moreover, both the measurements and calculations show evidence of the non-equilibrium behavior of low-temperature plasmas, with vibrational and excitation temperatures at least

  1. Sphere-Enhanced Microwave Ablation (sMWA) Versus Bland Microwave Ablation (bMWA): Technical Parameters, Specific CT 3D Rendering and Histopathology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gockner, T. L., E-mail: theresa.gockner@med.uni-heidelberg.de; Zelzer, S., E-mail: s.zelzer@dkfz-heidelberg.de; Mokry, T., E-mail: theresa.mokry@med.uni-heidelberg.de

    PurposeThis study was designed to compare technical parameters during ablation as well as CT 3D rendering and histopathology of the ablation zone between sphere-enhanced microwave ablation (sMWA) and bland microwave ablation (bMWA).MethodsIn six sheep-livers, 18 microwave ablations were performed with identical system presets (power output: 80 W, ablation time: 120 s). In three sheep, transarterial embolisation (TAE) was performed immediately before microwave ablation using spheres (diameter: 40 ± 10 μm) (sMWA). In the other three sheep, microwave ablation was performed without spheres embolisation (bMWA). Contrast-enhanced CT, sacrifice, and liver harvest followed immediately after microwave ablation. Study goals included technical parameters during ablation (resulting power output,more » ablation time), geometry of the ablation zone applying specific CT 3D rendering with a software prototype (short axis of the ablation zone, volume of the largest aligned ablation sphere within the ablation zone), and histopathology (hematoxylin-eosin, Masson Goldner and TUNEL).ResultsResulting power output/ablation times were 78.7 ± 1.0 W/120 ± 0.0 s for bMWA and 78.4 ± 1.0 W/120 ± 0.0 s for sMWA (n.s., respectively). Short axis/volume were 23.7 ± 3.7 mm/7.0 ± 2.4 cm{sup 3} for bMWA and 29.1 ± 3.4 mm/11.5 ± 3.9 cm{sup 3} for sMWA (P < 0.01, respectively). Histopathology confirmed the signs of coagulation necrosis as well as early and irreversible cell death for bMWA and sMWA. For sMWA, spheres were detected within, at the rim, and outside of the ablation zone without conspicuous features.ConclusionsSpecific CT 3D rendering identifies a larger ablation zone for sMWA compared with bMWA. The histopathological signs and the detectable amount of cell death are comparable for both groups. When comparing sMWA with bMWA, TAE has no effect on the technical parameters during ablation.« less

  2. Observation of ultrahigh-energy electrons by resonance absorption of high-power microwaves in a pulsed plasma.

    PubMed

    Rajyaguru, C; Fuji, T; Ito, H; Yugami, N; Nishida, Y

    2001-07-01

    The interaction of high power microwave with collisionless unmagnetized plasma is studied. Investigation on the generation of superthermal electrons near the critical layer, by the resonance absorption phenomenon, is extended to very high microwave power levels (eta=E(2)(0)/4 pi n(e)kT(e) approximately 0.3). Here E0, n(e), and T(e) are the vacuum electric field, electron density, and electron temperature, respectively. Successive generation of electron bunches having maximum energy of about 2 keV, due to nonlinear wave breaking, is observed. The electron energy epsilon scales as a function of the incident microwave power P, according to epsilon proportional to P0.5 up to 250 kW. The two-dimensional spatial distribution of high energy electrons reveals that they are generated near the critical layer. However, the lower energy component is again produced in the subcritical density region indicating the possibility of other electron heating mechanisms.

  3. Process characteristics for microwave assisted hydrothermal carbonization of cellulose.

    PubMed

    Zhang, Junting; An, Ying; Borrion, Aiduan; He, Wenzhi; Wang, Nan; Chen, Yirong; Li, Guangming

    2018-07-01

    The process characteristics of microwave assisted hydrothermal carbonization of cellulose was investigated and a first order kinetics model based on carbon concentration was developed. Chemical properties analysis showed that comparing to conventional hydrothermal carbonization, hydrochar with comparable energy properties can be obtained with 5-10 times decrease in reaction time with assistance of microwave heating. Results from kinetics study was in great agreement with experimental analysis, that they both illustrated the predominant mechanism of the reaction depend on variations in the reaction rates of two co-existent pathways. Particularly, the pyrolysis-like intramolecular dehydration reaction was proved to be the predominant mechanism for hydrochar generation under high temperatures. Finally, the enhancement effects of microwave heating were reflected under both soluble and solid pathways in this research, suggesting microwave-assisted hydrothermal carbonization as a more attracting method for carbon-enriched hydrochar recovery. Copyright © 2018 Elsevier Ltd. All rights reserved.

  4. Microwave-Enhanced Organic Syntheses for the Undergraduate Laboratory: Diels-Alder Cycloaddition, Wittig Reaction, and Williamson Ether Synthesis

    ERIC Educational Resources Information Center

    Baar, Marsha R.; Falcone, Danielle; Gordon, Christopher

    2010-01-01

    Microwave heating enhanced the rate of three reactions typically performed in our undergraduate organic chemistry laboratory: a Diels-Alder cycloaddition, a Wittig salt formation, and a Williamson ether synthesis. Ninety-minute refluxes were shortened to 10 min using a laboratory-grade microwave oven. In addition, yields improved for the Wittig…

  5. Modeling Plasma Formation in a Micro-gap at Microwave Frequency

    NASA Astrophysics Data System (ADS)

    Bowman, Arthur; Remillard, Stephen

    2013-03-01

    In the presence of a strong electric field, gas molecules become ionized, forming a plasma. The study of this dielectric breakdown at microwave frequency has important applications in improving the operation of radio frequency (RF) devices, where the high electric fields present in small gaps can easily ionize gases like air. A cone and tuner resonant structure was used to induce breakdown of diatomic Nitrogen in adjustable micro-gaps ranging from 13 to 1,156 μm. The electric field for plasma formation exhibited strong pressure dependence in the larger gap sizes, as predicted by previous theoretical and experimental work. Pressure is proportional to the frequency of collision between electrons and molecules, which increases with pressure when the gap is large, but levels off in the micro-gap region. A separate model of the breakdown electric field based on the characteristic diffusion length of the plasma also fit the data poorly for these smaller gap sizes. This may be explained by a hypothesis that dielectric breakdown at and below the 100 μm gap size occurs outside the gap, an argument that is supported by the observation of very high breakdown threshold electric fields in this region. Optical emissions revealed that vibrational and rotational molecular transitions of the first positive electronic system are suppressed in micro-gaps, indicating that transitions into the molecular ground state do not occur in micro-gap plasmas. Acknowledgements: National Science Foundation under NSF-REU Grant No. PHY/DMR-1004811, the Provost's Office of Hope College, and the Hope College Division of Natural and Applied Sciences.

  6. Characterization of a microwave-excited atmospheric-pressure argon plasma jet using two-parallel-wires transmission line resonator

    NASA Astrophysics Data System (ADS)

    Choi, J.; Eom, I. S.; Kim, S. J.; Kwon, Y. W.; Joh, H. M.; Jeong, B. S.; Chung, T. H.

    2017-09-01

    This paper presents a method to produce a microwave-excited atmospheric-pressure plasma jet (ME-APPJ) with argon. The plasma was generated by a microwave-driven micro-plasma source that uses a two-parallel-wire transmission line resonator (TPWR) operating at around 900 MHz. The TPWR has a simple structure and is easier to fabricate than coaxial transmission line resonator (CTLR) devices. In particular, the TPWR can sustain more stable ME-APPJ than the CTLR can because the gap between the electrodes is narrower than that in the CTLR. In experiments performed with an Ar flow rate from 0.5 to 8.0 L.min-1 and an input power from 1 to 6 W, the rotational temperature was determined by comparing the measured and simulated spectra of rotational lines of the OH band and the electron excitation temperature determined by the Boltzmann plot method. The rotational temperature obtained from OH(A-X) spectra was 700 K to 800 K, whereas the apparent gas temperature of the plasma jet remains lower than ˜325 K, which is compatible with biomedical applications. The electron number density was determined using the method based on the Stark broadening of the hydrogen Hβ line, and the measured electron density ranged from 6.5 × 1014 to 7.6 × 1014 cm-3. TPWR ME-APPJ can be operated at low flows of the working gas and at low power and is very stable and effective for interactions of the plasma with cells.

  7. Enhanced Dispersion of TiO2 Nanoparticles in a TiO2/PEDOT:PSS Hybrid Nanocomposite via Plasma-Liquid Interactions

    NASA Astrophysics Data System (ADS)

    Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul

    2015-10-01

    A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.

  8. Effect of anatomical characteristics and chemical components on microwave-assisted liquefaction of bamboo wastes

    Treesearch

    JiuLong Xie; XingYan Huang; JinQiu Qi; Chung Hse; Todd Shupe

    2014-01-01

    The epidermis layer waste (ELW) and the inner layer waste (ILW) were removed from Phyllostachys pubescens bamboo, and the anatomical characteristics and chemical components of these wastes were comparatively investigated. Both the ELW and the ILW were subjected to a microwave-assisted liquefaction process to evaluate the relationship between bamboo...

  9. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  10. A computer model for the recombination zone of a microwave-plasma electrothermal rocket

    NASA Technical Reports Server (NTRS)

    Filpus, John W.; Hawley, Martin C.

    1987-01-01

    As part of a study of the microwave-plasma electrothermal rocket, a computer model of the flow regime below the plasma has been developed. A second-order model, including axial dispersion of energy and material and boundary conditions at infinite length, was developed to partially reproduce the absence of mass-flow rate dependence that was seen in experimental temperature profiles. To solve the equations of the model, a search technique was developed to find the initial derivatives. On integrating with a trial set of initial derivatives, the values and their derivatives were checked to judge whether the values were likely to attain values outside the practical regime, and hence, the boundary conditions at infinity were likely to be violated. Results are presented and directions for further development are suggested.

  11. Dynamics of the formation and loss of boron atoms in a H2/B2H6 microwave plasma

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Aubert, X.; Sadeghi, N.; Gicquel, A.

    2016-09-01

    For further improvements in doped-diamond deposition technology, an understanding of the complex chemistry in H2/CH4/B2H6 plasmas is of general importance. In this context, a H2/B2H6 plasma ignited by microwave power in a near resonant cavity at high pressure (100-200 mbar) is studied to measure the B-atom density in the ground state. The discharge is ignited in the gas mixture (0-135 ppm B2H6 in H2) by a 2.45 GHz microwave generator, leading to the formation of a hemispheric plasma core, surrounded by a faint discharge halo filling the remaining reactor volume. Measurements with both laser induced fluorescence and resonant absoption with a boron hollow cathode lamp indicate that the B-atom density is higher in the halo than in the plasma core. When the absorption line-of-sight is positioned in the halo, the absorption is so strong that the upper detection limit is reached. To understand the mechanisms of creation and loss of boron atoms, time-resolved absorption measurements have been carried out in a pulsed plasma regime (10 Hz, duty cycle 50%). The study focuses on the influence of the total pressure, the partial pressure of B2H6, as well as the source power, on the growth and decay rates of boron atoms when the plasma is turned off.

  12. Effect of Inductive Coil Geometry on the Thrust Efficiency of a Microwave Assisted Discharge Inductive Plasma Accelerator

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley; Polzin, Kurt; Emsellem, Gregory

    2012-01-01

    Pulsed inductive plasma thrusters [1-3] are spacecraft propulsion devices in which electrical energy is capacitively stored and then discharged through an inductive coil. The thruster is electrodeless, with a time-varying current in the coil interacting with a plasma covering the face of the coil to induce a plasma current. Propellant is accelerated and expelled at a high exhaust velocity (O(10-100 km/s)) by the Lorentz body force arising from the interaction of the magnetic field and the induced plasma current. While this class of thruster mitigates the life-limiting issues associated with electrode erosion, pulsed inductive plasma thrusters require high pulse energies to inductively ionize propellant. The Microwave Assisted Discharge Inductive Plasma Accelerator (MAD-IPA) [4, 5] is a pulsed inductive plasma thruster that addressees this issue by partially ionizing propellant inside a conical inductive coil via an electron cyclotron resonance (ECR) discharge. The ECR plasma is produced using microwaves and permanent magnets that are arranged to create a thin resonance region along the inner surface of the coil, restricting plasma formation, and in turn current sheet formation, to a region where the magnetic coupling between the plasma and the inductive coil is high. The use of a conical theta-pinch coil is under investigation. The conical geometry serves to provide neutral propellant containment and plasma plume focusing that is improved relative to the more common planar geometry of the Pulsed Inductive Thruster (PIT) [2, 3], however a conical coil imparts a direct radial acceleration of the current sheet that serves to rapidly decouple the propellant from the coil, limiting the direct axial electromagnetic acceleration in favor of an indirect acceleration mechanism that requires significant heating of the propellant within the volume bounded by the current sheet. In this paper, we describe thrust stand measurements performed to characterize the performance

  13. Structural and compositional changes in single wall carbon nanotube ensemble upon exposure to microwave plasma

    NASA Astrophysics Data System (ADS)

    Roy, Soumyendu; Bajpai, Reeti; Soin, Navneet; Sinha Roy, Susanta; McLaughlin, James A.; Misra, D. S.

    2017-10-01

    Microwave plasma treatment of single wall carbon nanotube (SWNT) films called bucky papers (BPs) resulted in changes in the relative proportion of different chiralities of SWNTs present in the BP and the production of vertical microstructures on the surface of BP. The plasma was created using H2 gas mixed with Ar or CH4, at a temperature of 900 °C and a pressure of 70 Torr. Radial breathing mode spectra of the BPs revealed that the preferential sputtering by plasma is not with respect to the diameter or the metallic nature of SWNTs. We propose that the lengths of SWNTs influence how they interact with plasma. Longer tubes will have higher dielectric constants and hence will be polarized more strongly by the electric field of the plasma sheath. This in turn results in greater ion bombardment and sputtering. Finite element method was used to find the strengths of the induced electric fields on model SWNT surfaces. Microscopy, Raman, and X-ray photoelectron spectroscopy were used to study the effect of plasma on the crystallinity of the surviving SWNTs. Structural integrity of SWNTs was preserved after the plasma treatment.

  14. Heterocrystal and bicrystal structures of ZnS nanowires synthesized by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Jie, J. S.; Zhang, W. J.; Jiang, Y.; Meng, X. M.; Zapien, J. A.; Shao, M. W.; Lee, S. T.

    2006-06-01

    ZnS nanowires with heterocrystal and bicrystal structures were successfully synthesized using the DC-plasma chemical vapour deposition (CVD) method. The heterocrystalline ZnS nanowires have the zinc blende (ZB) and wurtzite (WZ) zones aligned alternately in the transverse direction but without an obvious period. The bicrystal ZnS nanowires are composed of two ZB fractions separated by a clear grain boundary along the length. Significantly, the grain boundaries in both the heterocrystal and bicrystal structures are atomically sharp without any visible lattice distortion. The effects of plasma species, ion bombardment, and silicon impurities in the formation of these distinctive structures are discussed. A defect-induced red-shift and broadening of the band-gap emission are revealed in photoluminescence (PL) and cathodoluminescence (CL) measurements.

  15. Microwave enhanced electroanalysis of formulations: processes in micellar media at glassy carbon and at platinum electrodes.

    PubMed

    Ghanem, Mohamed A; Compton, Richard G; Coles, Barry A; Canals, Antonio; Marken, Frank

    2005-10-01

    The direct electroanalysis of complex formulations containing alpha-tocopherol (vitamin E) is possible in micellar solution and employing microwave-enhanced voltammetry. In the presence of microwave radiation substantial heating and current enhancement effects have been observed at 330 microm diameter glassy carbon electrodes placed into a micellar aqueous solution and both hydrophilic and highly hydrophobic redox systems are detected. For the water soluble Fe(CN)(6)(3-/4-) redox system in micellar aqueous solutions of 0.1 M NaCl and 0.1 M sodium dodecylsulfate (SDS) at low to intermediate microwave power, thermal effects and convection effects are observed. At higher microwave power, thermal cavitation is induced and dominates the mass transport at the electrode surface. For the micelle-soluble redox systems tert-butylferrocene and 2,5-di-tert-butyl-1,4-benzoquinone, strong and concentration dependent current responses are observed only in the presence of microwave radiation. For the oxidation of micelle-soluble alpha-tocopherol current responses at glassy carbon electrodes are affected by adsorption and desorption processes whereas at platinum electrodes, analytical limiting currents are obtained over a wide range of alpha-tocopherol concentrations. However, for the determination of alpha-tocopherol in a commercial formulation interference from proteins is observed at platinum electrodes and direct measurements are possible only over a limited concentration range and at glassy carbon electrodes.

  16. Plasma enhanced chemical vapour deposition of silica onto Ti: Analysis of surface chemistry, morphology and functional hydroxyl groups

    PubMed Central

    Szili, Endre J.; Kumar, Sunil; Smart, Roger St. C.; Lowe, Rachel; Saiz, Eduardo; Voelcker, Nicolas H.

    2009-01-01

    Previously, we have developed and characterised a procedure for the deposition of thin silica films by a plasma enhanced chemical vapour deposition (PECVD) procedure using tetraethoxysilane (TEOS) as the main precursor. We have used the silica coatings for improving the corrosion resistance of metals and for enhancing the bioactivity of biomedical metallic implants. Recently, we have been fine-tuning the PECVD method for producing high quality and reproducible PECVD-silica (PECVD-Si) coatings on metals, primarily for biomaterial applications. In order to understand the interaction of the PECVD-Si coatings with biological species (such as proteins and cells), it is important to first analyse the properties of the silica films deposited using the optimised parameters. Therefore, this current investigation was carried out to analyse the characteristic features of PECVD-Si deposited on Ti substrates (PECVD-Si-Ti). We determined that the PECVD-Si coatings on Ti were conformal to the substrate surface, strongly adhered to the underlying substrate and were resistant to delamination. The PECVD-Si surface was composed of stoichiometric SiO2, showed a low carbon content (below 10 at.%) and was very hydrophilic (contact angle <10°). Finally, we also showed that the PECVD-Si coatings contain functional hydroxyl groups. PMID:19809536

  17. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    NASA Astrophysics Data System (ADS)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  18. Mechanisms for dose retention in conformal arsenic doping using a radial line slot antenna microwave plasma source

    NASA Astrophysics Data System (ADS)

    Ueda, Hirokazu; Ventzek, Peter L. G.; Oka, Masahiro; Kobayashi, Yuuki; Sugimoto, Yasuhiro

    2015-06-01

    Topographic structures such as Fin FETs and silicon nanowires for advanced gate fabrication require ultra-shallow high dose infusion of dopants into the silicon subsurface. Plasma doping meets this requirement by supplying a flux of inert ions and dopant radicals to the surface. However, the helium ion bombardment needed to infuse dopants into the fin surface can cause poor dose retention. This is due to the interaction between substrate damage and post doping process wet cleaning solutions required in the front end of line large-scale integration fabrication. We present findings from surface microscopy experiments that reveal the mechanism for dose retention in arsenic doped silicon fin samples using a microwave RLSA™ plasma source. Dilute aqueous hydrofluoric acid (DHF) cleans by themselves are incompatible with plasma doping processes because the films deposited over the dosed silicon and ion bombardment damaged silicon are readily removed. Oxidizing wet cleaning chemistries help retain the dose as silica rich over-layers are not significantly degraded. Furthermore, the dosed retention after a DHF clean following an oxidizing wet clean is unchanged. Still, the initial ion bombardment energy and flux are important. Large ion fluxes at energies below the sputter threshold and above the silicon damage threshold, before the silicon surface is covered by an amorphous mixed phase layer, allow for enhanced uptake of dopant into the silicon. The resulting dopant concentration is beyond the saturation limit of crystalline silicon.

  19. Investigation of a large power water-cooled microwave resonance window for application with the ECR ion source

    NASA Astrophysics Data System (ADS)

    Guo, Guo; Guo, Junwei; Niu, Xinjian; Liu, Yinghui; Wang, Hui; Wei, Yanyu

    2017-06-01

    A large power water-cooled microwave resonance window used for the electron cyclotron resonance (ECR) ion source is investigated in this paper. The microwave characteristic simulation, thermal analysis, and structure design are deeply and successively carried out before fabrication. After the machining and welding of the components, the window is cold and hot tested. The application results demonstrate that when the input power is 2000 W, the reflected power is only 5 W. The vacuum is below 10-10 Pa, and the high power microwave operation can last 30 h continuously and reliably, which indicates that the design and assembling can achieve the high efficiency of the microwave transmission. Finally, the performance of the ECR ion source is enhanced by the improvement of the injected microwave power to the ECR plasma.

  20. Non-thermal plasma conversion of hydrocarbons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Strohm, James J.; Skoptsov, George L.; Musselman, Evan T.

    A non-thermal plasma is generated to selectively convert a precursor to a product. More specifically, plasma forming material and a precursor material are provided to a reaction zone of a vessel. The reaction zone is exposed to microwave radiation, including exposing the plasma forming material and the precursor material to the microwave radiation. The exposure of the plasma forming material to the microwave radiation selectively converts the plasma forming material to a non-thermal plasma including formation of one or more streamers. The precursor material is mixed with the plasma forming material and the precursor material is exposed to the non-thermalmore » plasma including exposing the precursor material to the one or more streamers. The exposure of the precursor material to the streamers and the microwave radiation selectively converts the precursor material to a product.« less

  1. Low Temperature Graphene Synthesis from Poly(methyl methacrylate) Using Microwave Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Yamada, Takatoshi; Ishihara, Masatou; Hasegawa, Masataka

    2013-11-01

    A graphene film having low sheet resistance (600 Ω/sq.) was synthesized at low temperatures of 280 °C. Utilizing microwave plasma treatment, graphene films were synthesized from a solid phase on a copper surface. The full width at half maximum of the 2D-band in the Raman spectrum indicated that a high quality graphene film was formed. Cross-sectional transmission electron microscopy observation revealed that the deposited graphene films consisted of single- or double-layer graphene flakes of nanometer order on the Cu surface, which agrees with the estimated number of layers from an average optical transmittance of 96%.

  2. Nanocrystalline diamond thin films on titanium-6 aluminum-4 vanadium alloy temporomandibular joint prosthesis simulants by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fries, Marc Douglas

    A course of research has been performed to assess the suitability of nanocrystal-line diamond (NCD) films on Ti-6Al-4V alloy as wear-resistant coatings in biomedical implant use. A series of temporomandibular (TMJ) joint condyle simulants were polished and acid-passivated as per ASTM F86 standard for surface preparation of implants. A 3-mum-thick coating of NCD film was deposited by microwave plasma chemical vapor deposition (MPCVD) over the hemispherical articulation surfaces of the simulants. Plasma chemistry conditions were measured and monitored by optical emission spectroscopy (OES), using hydrogen as a relative standard. The films consist of diamond grains around 20 nm in diameter embedded in an amorphous carbon matrix, free of any detectable film stress gradient. Hardness averages 65 GPa and modulus measures 600 GPa at a depth of 250 nm into the film surface. A diffuse film/substrate boundary produces a minimal film adhesion toughness (GammaC) of 158 J/m2. The mean RMS roughness is 14.6 +/- 4.2 nm, with an average peak roughness of 82.6 +/- 65.9 nm. Examination of the surface morphology reveals a porous, dendritic surface. Wear testing resulted in two failed condylar coatings out of three tests. No macroscopic delamination was found on any sample, but micron-scale film pieces broke away, exposing the substrate. Electrochemical corrosion testing shows a seven-fold reduction in corrosion rate with the application of an NCD coating as opposed to polished, passivated Ti-6Al-4V, producing a corrosion rate comparable to wrought Co-Cr-Mo. In vivo biocompatibility testing indicates that implanted NCD films did not elicit an immune response in the rabbit model, and osteointegration was apparent for both compact and trabecular bone on both NCD film and bare Ti-6Al-4V. Overall, NCD thin film material is reasonably smooth, biocompatible, and very well adhered. Wear testing indicates that this material is unacceptable for use in demanding TMJ applications without

  3. Generator of chemically active low-temperature plasma

    NASA Astrophysics Data System (ADS)

    Tyuftyaev, A. S.; Gadzhiev, M. Kh; Sargsyan, M. A.; Demirov, N. A.; Spector, N. O.

    2016-11-01

    A new generator of high enthalpy (H 0 > 40 kJ/g), chemically active nitrogen and air plasmas was designed and constructed. Main feature of the generator is an expanding channel of an output electrode; the generator belongs to the class of DC plasma torches with thermionic cathode with an efficiency of 80%. The generator ensures the formation of a slightly divergent plasma jet (2α = 12°) with a diameter of D = 10-12 mm, an electric arc maximum power of 20-50 kW, plasma forming gas flow rate 1.0-2.0 g/s, and the average plasma temperature at an outlet of 8000-11000 K.

  4. Kinetic study on non-thermal volumetric plasma decay in the early afterglow of air discharge generated by a short pulse microwave or laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Wei, E-mail: yangwei861212@126.com; Zhou, Qianhong; Dong, Zhiwei

    This paper reports a kinetic study on non-thermal plasma decay in the early afterglow of air discharge generated by short pulse microwave or laser. A global self-consistent model is based on the particle balance of complex plasma chemistry, electron energy equation, and gas thermal balance equation. Electron-ion Coulomb collision is included in the steady state Boltzmann equation solver to accurately describe the electron mobility and other transport coefficients. The model is used to simulate the afterglow of microsecond to nanosecond pulse microwave discharge in N{sub 2}, O{sub 2}, and air, as well as femtosecond laser filament discharge in dry andmore » humid air. The simulated results for electron density decay are in quantitative agreement with the available measured ones. The evolution of plasma decay under an external electric field is also investigated, and the effect of gas heating is considered. The underlying mechanism of plasma density decay is unveiled through the above kinetic modeling.« less

  5. EFFICIENT CHEMICAL SYNTHESIS USING MICROWAVES

    EPA Science Inventory

    Synthetic organic transformations performed under non-traditional conditions are becoming popular primarily to circumvent the growing environmental concerns. A solvent-free approach that involves microwave (MW) exposure of neat reactants catalyzed by the surfaces of less-expensiv...

  6. Microwave plasma synthesis of Si/Ge and Si/WSi2 nanoparticles for thermoelectric applications

    NASA Astrophysics Data System (ADS)

    Petermann, Nils; Schneider, Tom; Stötzel, Julia; Stein, Niklas; Weise, Claudia; Wlokas, Irenäus; Schierning, Gabi; Wiggers, Hartmut

    2015-08-01

    The utilization of microwave-based plasma systems enables a contamination-free synthesis of highly specific nanoparticles in the gas phase. A reactor setup allowing stable, long-term operation was developed with the support of computational fluid dynamics. This paper highlights the prospects of gas-phase plasma synthesis to produce specific materials for bulk thermoelectrics. Taking advantage of specific plasma reactor properties such as Coulomb repulsion in combination with gas temperatures considerably higher than 1000 K, spherical and non-aggregated nanoparticles of multiple compositions are accessible. Different strategies towards various nanostructured composites and alloys are discussed. It is shown that, based on doped silicon/germanium alloys and composites, thermoelectric materials with zT values up to almost unity can be synthesized in one step. First experimental results concerning silicon/tungsten silicide thermoelectrics applying the nanoparticle-in-alloy idea are presented indicating that this concept might work. However, it is found that tungsten silicides show a surprising sinter activity more than 1000 K below their melting temperature.

  7. Selective electroless plating of 3D-printed plastic structures for three-dimensional microwave metamaterials

    NASA Astrophysics Data System (ADS)

    Ishikawa, Atsushi; Kato, Taiki; Takeyasu, Nobuyuki; Fujimori, Kazuhiro; Tsuruta, Kenji

    2017-10-01

    A technique of selective electroless plating onto PLA-ABS (Polylactic Acid-Acrylonitrile Butadiene Styrene) composite structures fabricated by three-dimensional (3D) printing is demonstrated to construct 3D microwave metamaterials. The reducing activity of the PLA surface is selectively enhanced by the chemical modification involving Sn2+ in a simple wet process, thereby forming a highly conductive Ag-plated membrane only onto the PLA surface. The fabricated metamaterial composed of Ag-plated PLA and non-plated ABS parts is characterized experimentally and numerically to demonstrate the important bi-anisotropic microwave responses arising from the 3D nature of metallodielectric structures. Our approach based on a simple wet chemical process allows for the creation of highly complex 3D metal-insulator structures, thus paving the way toward the sophisticated microwave applications of the 3D printing technology.

  8. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  9. Production of atmospheric pressure microwave plasma with dielectric half-mirror resonator and its application to polymer surface treatment

    NASA Astrophysics Data System (ADS)

    Sasai, Kensuke; Keyamura, Kazuki; Suzuki, Haruka; Toyoda, Hirotaka

    2018-06-01

    For the surface treatment of a polymer tube, a ring-shaped atmospheric pressure microwave plasma (APMP) using a coaxial waveguide is studied. In this APMP, a dielectric plate is used not only as a partial mirror for cavity resonation but also for the precise alignment of the discharge gap for ring-shaped plasma production. The optimum position of the dielectric plate is investigated by electromagnetic wave simulation. On the basis of simulation results, a ring-shaped plasma with good uniformity along the ring is produced. The coaxial APMP is applied to the surface treatment of ethylene tetrafluoroethylene. A very fast surface modification within 3 s is observed.

  10. Enhanced hemispheric-scale snow mapping through the blending of optical and microwave satellite data

    NASA Astrophysics Data System (ADS)

    Armstrong, R. L.; Brodzik, M. J.; Savoie, M.; Knowles, K.

    2003-04-01

    negative spectral gradient driving the passive microwave algorithm is enhanced. Because the current generation of microwave snow algorithms is unable to consistently detect shallow and intermittent snow, we combine visible satellite data with the microwave data in a single blended product to overcome this problem. For the period 1978 to 2002 we combine data from the NOAA weekly snow charts with passive microwave data from the SMMR and SSM/I brightness temperature record. For the current and future time period we blend MODIS and AMSR-E data sets, both of which have greatly enhanced spatial resolution compared to the earlier data sources. Because it is not possible to determine snow depth or snow water equivalent from visible data, the regions where only the NOAA or MODIS data indicate snow are defined as "shallow snow". However, because our current blended product is being developed in the 25 km EASE-Grid and the MODIS data being used are in the Climate Modelers Grid (CMG) at approximately 5 km (0.05 deg.) the blended product also includes percent snow cover over the larger grid cell. A prototype version of the blended MODIS/AMSR-E product will be available in near real-time from NSIDC during the 2002-2003 winter season.

  11. Microwaves in chemistry: Another way of heating reaction mixtures

    NASA Astrophysics Data System (ADS)

    Berlan, J.

    1995-04-01

    The question of a possible "microwave activation" of chemical reaction is discussed. In fact two cases should be distinguished: homogeneous or heterogeneous reaction mixtures. In homogeneous mixtures there are no (or very low) rate enhancements compared to a conventional heating, but some influence on chemioselectivity has been observed. These effects derive from fast and mass heating of microwaves, and probably, especially under reflux, from different boiling rates and/or overheating. With heterogeneous mixtures non conventional effects probably derive from mass heating and selective overheating. This is illustrated with several reactions: Diels-Alder, naphthalene sulphonation, preparation of cyanuric acid, hydrolysis of nitriles, transposition reaction on solid support.

  12. Flexible Solar Cells Using Doped Crystalline Si Film Prepared by Self-Biased Sputtering Solid Doping Source in SiCl4/H2 Microwave Plasma.

    PubMed

    Hsieh, Ping-Yen; Lee, Chi-Young; Tai, Nyan-Hwa

    2016-02-01

    We developed an innovative approach of self-biased sputtering solid doping source process to synthesize doped crystalline Si film on flexible polyimide (PI) substrate via microwave-plasma-enhanced chemical vapor deposition (MWPECVD) using SiCl4/H2 mixture. In this process, P dopants or B dopants were introduced by sputtering the solid doping target through charged-ion bombardment in situ during high-density microwave plasma deposition. A strong correlation between the number of solid doping targets and the characteristics of doped Si films was investigated in detail. The results show that both P- and B-doped crystalline Si films possessed a dense columnar structure, and the crystallinity of these structures decreased with increasing the number of solid doping targets. The films also exhibited a high growth rate (>4.0 nm/s). Under optimal conditions, the maximum conductivity and corresponding carrier concentration were, respectively, 9.48 S/cm and 1.2 × 10(20) cm(-3) for P-doped Si film and 7.83 S/cm and 1.5 × 10(20) cm(-3) for B-doped Si film. Such high values indicate that the incorporation of dopant with high doping efficiency (around 40%) into the Si films was achieved regardless of solid doping sources used. Furthermore, a flexible crystalline Si film solar cell with substrate configuration was fabricated by using the structure of PI/Mo film/n-type Si film/i-type Si film/p-type Si film/ITO film/Al grid film. The best solar cell performance was obtained with an open-circuit voltage of 0.54 V, short-circuit current density of 19.18 mA/cm(2), fill factor of 0.65, and high energy conversion of 6.75%. According to the results of bending tests, the critical radius of curvature (RC) was 12.4 mm, and the loss of efficiency was less than 1% after the cyclic bending test for 100 cycles at RC, indicating superior flexibility and bending durability. These results represent important steps toward a low-cost approach to high-performance flexible crystalline Si film

  13. Stability of hemostatic proteins in canine fresh-frozen plasma thawed with a modified commercial microwave warmer or warm water bath.

    PubMed

    Pashmakova, Medora B; Barr, James W; Bishop, Micah A

    2015-05-01

    To compare stability of hemostatic proteins in canine fresh-frozen plasma (FFP) thawed with a modified commercial microwave warmer (MCM) or warm water bath (37°C; WWB) or at room temperature (22°C). Fresh-frozen plasma obtained from 8 canine donors of a commercial blood bank. A commercial microwave warmer was modified with a thermocouple to measure surface temperature of bags containing plasma. The MCM and a WWB were each used to concurrently thaw a 60-mL bag of plasma obtained from the same donor. Two 3-mL control aliquots of FFP from each donor were thawed to room temperature without use of a heating device. Concentrations of hemostatic proteins, albumin, and D-dimers; prothrombin time (PT); and activated partial thromboplastin time (aPTT) were determined for all samples. Significant decreases in concentrations of factors II, IX, X, XI, fibrinogen, von Willebrand factor, antithrombin, protein C, and albumin and significant increases in PT and aPTT were detected for plasma thawed with the MCM, compared with results for samples thawed with the WWB. Concentrations of factors VII, VIII, and XII were not significantly different between plasma thawed with the MCM and WWB. Concentrations of D-dimers were above the reference range for all thawed samples regardless of thawing method. No significant differences in factor concentrations were detected between control and WWB-thawed samples. Significant differences in hemostatic protein concentrations and coagulation times were detected for plasma thawed with an MCM but not between control and WWB-thawed samples. Clinical importance of these changes should be investigated.

  14. Vertically-oriented graphenes supported Mn3O4 as advanced catalysts in post plasma-catalysis for toluene decomposition

    NASA Astrophysics Data System (ADS)

    Bo, Zheng; Hao, Han; Yang, Shiling; Zhu, Jinhui; Yan, Jianhua; Cen, Kefa

    2018-04-01

    This work reports the catalytic performance of vertically-oriented graphenes (VGs) supported manganese oxide catalysts toward toluene decomposition in post plasma-catalysis (PPC) system. Dense networks of VGs were synthesized on carbon paper (CP) via a microwave plasma-enhanced chemical vapor deposition (PECVD) method. A constant current approach was applied in a conventional three-electrode electrochemical system for the electrodeposition of Mn3O4 catalysts on VGs. The as-obtained catalysts were characterized and investigated for ozone conversion and toluene decomposition in a PPC system. Experimental results show that the Mn3O4 catalyst loading mass on VG-coated CP was significantly higher than that on pristine CP (almost 1.8 times for an electrodeposition current of 10 mA). Moreover, the decoration of VGs led to both enhanced catalytic activity for ozone conversion and increased toluene decomposition, exhibiting a great promise in PPC system for the effective decomposition of volatile organic compounds.

  15. GREENER CHEMICAL SYNTHESIS USING MICROWAVES

    EPA Science Inventory

    A solvent-free approach that involves microwave (MW) exposure of neat reactants (undiluted) catalyzed by the surfaces of recyclable mineral supports such as alumina, silica, clay, or "doped" surfaces is presented which is applicable to a wide range of cleavage, condensation, cyc...

  16. Mechanism of Enhanced Electrochemical Oxidation of 2,4-dichlorophenoxyacetic Acid with in situ Microwave Activated Boron-doped Diamond and Platinum Anodes

    NASA Astrophysics Data System (ADS)

    Gao, Junxia; Zhao, Guohua; Liu, Meichuan; Li, Dongming

    2009-09-01

    Remarkable enhancement in degradation effect is achieved at in situ activated boron-doped diamond (BDD) and Pt anodes with different extent through electrochemical oxidation (EC) of 2,4-dichlorophenoxyacetic acid (2,4-D) with microwave (MW) radiation in a flow system. Results show that when EC is activated with MW radiation, the complete mineralization time of 2,4-D at the BDD is reduced quickly from 10 to 4 h while Chemical oxygen demand (COD) removal at Pt is increased from 37.7 to 58.3% at 10 h; the initial current efficiency is both improved about 1.5 times while the pseudo-first-order rate constant is increased by 153 and 119% at the BDD and Pt, respectively. To gain insight into the higher efficiency in microwave activated EC, the mechanism has therefore been systematically evaluated from the essence of electrochemical reaction and the accumulated hydroxyl radical concentration. 2,4-Dichlorophenol, catechol, benquinone, and maleic and oxalic acids are the main intermediates on the Pt anode measured by high performance liquid chromatography (HPLC), while the intermediates on the BDD electrode include 2,4-dichlorophenol, hydroquinone, and maleic and oxalic acids. The reaction pathway with microwave radiation is the same as that in a conventional electrochemical oxidation on both electrodes. While less and lower aromatic intermediates produce at the BDD with MW, which suggests the higher ring-open ratio and the faster oxidation of carboxylic acids. With microwave radiation, the ring-open ratio at the BDD is increased to 98.8% from 85.6%; the value at Pt is increased to 67.3% from 35.9%. So microwave radiation can activate the electrochemical oxidation, which leads to the higher efficiency. This promotion is mainly due to the higher accumulated hydroxyl radical concentration and the effects by microwave radiation. All the results prove that the BDD electrode presents much better mineralization performance with MW. To the best of our knowledge, it is the first

  17. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    PubMed Central

    Achour, Amine; Saeed, Khalid; Djouadi, Mohamed Abdou

    2018-01-01

    In this work, we report development of hybrid nanostructures of metal nanoparticles (NP) and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC) processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT). The X-ray photoelectron spectroscope (XPS) and atomic force microscope (AFM) studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM), reduction time (5, 20 s), and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution) depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm) could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT) and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features. PMID:29702583

  18. Modeling of microwave-sustained plasmas at atmospheric pressure with application to discharge contraction.

    PubMed

    Castaños Martinez, E; Kabouzi, Y; Makasheva, K; Moisan, M

    2004-12-01

    The modeling of microwave-sustained discharges at atmospheric pressure is much less advanced than at reduced pressure (<10 Torr) because of the greater complexity of the mechanisms involved. In particular, discharge contraction, a characteristic feature of high-pressure discharges, is not well understood. To describe adequately this phenomenon, one needs to consider that the charged-particle balance in atmospheric-pressure discharges relies on the kinetics of molecular ions, including their dissociation through electron impact. Nonuniform gas heating plays a key role in the radial distribution of the density of molecular ions. The onset of contraction is shown to depend only on radially nonuniform gas heating. The radial nonuniformity of the electric field intensity also plays an important role allowing one, for instance, to explain the lower degree of contraction observed in microwave discharges compared to dc discharges. We present a numerical fluid-plasma model that aims to bring into relief the main features of discharge contraction in rare gases. It calls for surface-wave discharges because of their wide range of operating conditions, enabling a closer check between theory and experiment.

  19. Optical fibre sensors for the monitoring of a microwave plasma UV lamp and ozone generation system

    NASA Astrophysics Data System (ADS)

    O'Keeffe, S.; Ortoneda, M.; Cullen, J. D.; Shaw, A.; Fitzpatrick, C.; Lewis, E.; Phipps, D. A.; Al-Shamma'a, A. I.

    2008-09-01

    The food industry is keen to have new techniques that improve the safety and shelf life of food products without the use of preservatives. The use of UV light and ozone (O3) gas are becoming increasingly popular as methods to decontaminate food and thus extending its shelf life. A microwave radiation device that is a novel source of both germicidal UV and O3 suitable for the food industry has been developed, which offers speed, cost and energy benefits over existing sources. With this system comes the need to monitor a number of conditions, primarily UV intensity and ozone gas concentrations. An optical fibre sensor system is being developed to analyse these properties, in order to control and optimise the outputs of the microwave plasma UV lamp.

  20. Rocket experiment METS Microwave Energy Transmission in Space

    NASA Astrophysics Data System (ADS)

    Kaya, N.; Matsumoto, H.; Akiba, R.

    A METS (Microwave Energy Transmission in Space) rocket experiment is being planned by the SPS (Solar Power Satellite) Working Group at the Institute of Space and Astronautical Science (ISAS) in Japan for the forthcoming International Space Year (ISY), 1992. The METS experiment is an advanced version of our MINIX rocket experiment. This paper describes the conceptual design for the METS rocket experiment. Aims are to verify the feasibility of a newly developed microwave energy transmission system designed for use in space and to study nonlinear effects of the microwave energy beam on space plasma. A high power microwave (936 W) will be transmitted by a new phase-array antenna from a mother rocket to a separate target (daughter rocket) through the Earth's ionospheric plasma. The active phased-array system has the capability of being able to focus the microwave energy at any spatial point by individually controlling the digital phase shifters.

  1. Rocket experiment METS - Microwave Energy Transmission in Space

    NASA Astrophysics Data System (ADS)

    Kaya, N.; Matsumoto, H.; Akiba, R.

    A Microwave Energy Transmission in Space (METS) rocket experiment is being planned by the Solar Power Satellite Working Group at the Institute of Space and Astronautical Science in Japan for the forthcoming International Space Year, 1992. The METS experiment is an advanced version of the previous MINIX rocket experiment (Matsumoto et al., 1990). This paper describes a conceptual design of the METS rocket experiment. It aims at verifying a newly developed microwave energy transmission system for space use and to study nonlinear effects of the microwave energy beam in the space plasma environment. A high power microwave of 936 W will be transmitted by the new phased-array antenna from a mother rocket to a separated target (daughter rocket) through the ionospheric plasma. The active phased-array system has a capability of focusing the microwave energy around any spatial point by controlling the digital phase shifters individually.

  2. Partial microwave-assisted wet digestion of animal tissue using a baby-bottle sterilizer for analyte determination by inductively coupled plasma optical emission spectrometry

    NASA Astrophysics Data System (ADS)

    Matos, Wladiana O.; Menezes, Eveline A.; Gonzalez, Mário H.; Costa, Letícia M.; Trevizan, Lilian C.; Nogueira, Ana Rita A.

    2009-06-01

    A procedure for partial digestion of bovine tissue is proposed using polytetrafluoroethylene (PTFE) micro-vessels inside a baby-bottle sterilizer under microwave radiation for multi-element determination by inductively coupled plasma optical emission spectrometry (ICP OES). Samples were directly weighed in laboratory-made polytetrafluoroethylene vessels. Nitric acid and hydrogen peroxide were added to the uncovered vessels, which were positioned inside the baby-bottle sterilizer, containing 500 mL of water. The hydrogen peroxide volume was fixed at 100 µL. The system was placed in a domestic microwave oven and partial digestion was carried out for the determination of Ca, Cu, Fe, Mg, Mn and Zn by inductively coupled plasma optical emission spectrometry. The single-vessel approach was used in the entire procedure, to minimize contamination in trace analysis. Better recoveries and lower residual carbon content (RCC) levels were obtained under the conditions established through a 2 4-1 fractional factorial design: 650 W microwave power, 7 min digestion time, 50 µL nitric acid and 50 mg sample mass. The digestion efficiency was ascertained according to the residual carbon content determined by inductively coupled plasma optical emission spectrometry. The accuracy of the proposed procedure was checked against two certified reference materials.

  3. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    NASA Astrophysics Data System (ADS)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  4. Investigating the trade-offs of microwave susceptors in energetic composites: Microwave heating versus combustion performance

    NASA Astrophysics Data System (ADS)

    Crane, C. A.; Pantoya, M. L.; Weeks, B. L.

    2014-03-01

    Recently, microwave technology has been used to ignite energetic materials when studies showed that metal powders readily absorb microwave energy. This study investigates adding a graphite susceptor to an energetic composite consisting of aluminum (Al) and iron (III) oxide (Fe2O3) and examines microwave coupling to the sample. In a companion study, the combustion of this thermite as a function of susceptor concentration was also studied to evaluate the trade-off between enhancing microwave coupling and flame propagation speed. Results show that graphite enhances microwave coupling up to 10% by mass concentration but reduces heating at higher percentages that exceed a percolation threshold. As susceptor concentrations increased greater than one mass percent, the flame propagation speed correspondingly decreased.

  5. Nanoantenna-Enhanced Infrared Spectroscopic Chemical Imaging.

    PubMed

    Kühner, Lucca; Hentschel, Mario; Zschieschang, Ute; Klauk, Hagen; Vogt, Jochen; Huck, Christian; Giessen, Harald; Neubrech, Frank

    2017-05-26

    Spectroscopic infrared chemical imaging is ideally suited for label-free and spatially resolved characterization of molecular species, but often suffers from low infrared absorption cross sections. Here, we overcome this limitation by utilizing confined electromagnetic near-fields of resonantly excited plasmonic nanoantennas, which enhance the molecular absorption by orders of magnitude. In the experiments, we evaporate microstructured chemical patterns of C 60 and pentacene with nanometer thickness on top of homogeneous arrays of tailored nanoantennas. Broadband mid-infrared spectra containing plasmonic and vibrational information were acquired with diffraction-limited resolution using a two-dimensional focal plane array detector. Evaluating the enhanced infrared absorption at the respective frequencies, spatially resolved chemical images were obtained. In these chemical images, the microstructured chemical patterns are only visible if nanoantennas are used. This confirms the superior performance of our approach over conventional spectroscopic infrared imaging. In addition to the improved sensitivity, our technique provides chemical selectivity, which would not be available with plasmonic imaging that is based on refractive index sensing. To extend the accessible spectral bandwidth of nanoantenna-enhanced spectroscopic imaging, we employed nanostructures with dual-band resonances, providing broadband plasmonic enhancement and sensitivity. Our results demonstrate the potential of nanoantenna-enhanced spectroscopic infrared chemical imaging for spatially resolved characterization of organic layers with thicknesses of several nanometers. This is of potential interest for medical applications which are currently hampered by state-of-art infrared techniques, e.g., for distinguishing cancerous from healthy tissues.

  6. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    NASA Astrophysics Data System (ADS)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  7. Coupled microwave/photoassisted methods for environmental remediation.

    PubMed

    Horikoshi, Satoshi; Serpone, Nick

    2014-11-05

    The microwave-induced acceleration of photocatalytic reactions was discovered serendipitously in the late 1990s. The activity of photocatalysts is enhanced significantly by both microwave radiation and UV light. Particularly relevant, other than as a heat source, was the enigmatic phenomenon of the non-thermal effect(s) of the microwave radiation that facilitated photocatalyzed reactions, as evidenced when examining various model contaminants in aqueous media. Results led to an examination of the possible mechanism(s) of the microwave effect(s). In the present article we contend that the microwaves' non-thermal effect(s) is an important factor in the enhancement of TiO2-photoassisted reactions involving the decomposition of organic pollutants in model wastewaters by an integrated (coupled) microwave-/UV-illumination method (UV/MW). Moreover, such coupling of no less than two irradiation methods led to the fabrication and ultimate investigation of microwave discharged electrodeless lamps (MDELs) as optimal light sources; their use is also described. The review focuses on the enhanced activity of photocatalytic reactions when subjected to microwave radiation and concentrates on the authors' research of the past few years.

  8. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    NASA Astrophysics Data System (ADS)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  9. Large-scale synthesis and microwave absorption enhancement of actinomorphic tubular ZnO/CoFe2O4 nanocomposites.

    PubMed

    Cao, Jing; Fu, Wuyou; Yang, Haibin; Yu, Qingjiang; Zhang, Yanyan; Liu, Shikai; Sun, Peng; Zhou, Xiaoming; Leng, Yan; Wang, Shuangming; Liu, Bingbing; Zou, Guangtian

    2009-04-09

    Actinomorphic tubular ZnO/CoFe(2)O(4) nanocomposites were fabricated in large scale via a simple solution method at low temperature. The phase structures, morphologies, particle size, shell thickness, chemical compositions of the composites have been characterized by X-ray diffraction (XRD), field emission scanning electron microscope (FESEM), energy dispersive X-ray spectroscopy (EDS), and transmission electron microscopy (TEM). The as-synthesized nanocomposites were uniformly dispersed into the phenolic resin then the mixture was pasted on metal plate with the area of 200 mm x 200 mm as the microwave absorption test plate. The test of microwave absorption was carried out by the radar-absorbing materials (RAM) reflectivity far field radar cross-section (RCS) method. The range of microwave absorption is from 2 to 18 Hz and the best microwave absorption reach to 28.2 dB at 8.5 Hz. The results indicate that the composites are of excellence with respect to microwave absorption.

  10. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    NASA Astrophysics Data System (ADS)

    Coulon, J. F.; Tournerie, N.; Maillard, H.

    2013-10-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m2 to 70 mJ/m2 because the plasma pretreatment led to the formation of hydrophilic Csbnd O and Cdbnd O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  11. Shaping Microwave Fields Using Nonlinear Unsolicited Feedback: Application to Enhance Energy Harvesting

    NASA Astrophysics Data System (ADS)

    del Hougne, Philipp; Fink, Mathias; Lerosey, Geoffroy

    2017-12-01

    Wave-front shaping has emerged over the past decade as a powerful tool to control wave propagation through complex media, initially in optics and more recently also in the microwave domain with important applications in telecommunication, imaging, and energy transfer. The crux of implementing wave-front shaping concepts in real life is often its need for (direct) feedback, requiring access to the target to focus on. Here, we present the shaping of a microwave field based on indirect, unsolicited, and blind feedback which may be the pivotal step towards practical implementations. With the example of a radio-frequency harvester in a metallic cavity, we demonstrate tenfold enhancement of the harvested power by wave-front shaping based on nonlinear signals detected at an arbitrary position away from the harvesting device.

  12. Enhanced binding of hydrophobic organic contaminants by microwave-assisted humification of soil organic matter.

    PubMed

    Hur, Jin; Park, Sung-Won; Kim, Min Chan; Kim, Han S

    2013-11-01

    Enhanced binding of hydrophobic organic contaminants (HOCs) with soil organic matter (SOM) by microwave (MW) irradiation was investigated in this study. We used fluorescence excitation emission matrix, humification index (HIX), and organic carbon partitioning coefficient (Koc) to examine characteristic changes in SOM and its sorptive capacity for HOCs. When MW was irradiated to soils, protein-like fluorescence decreased but fulvic- and humic-like fluorescence increased. The addition of activated carbon in the presence of oxygen facilitated the humification-like alteration of SOM more significantly, evidenced by increases in fulvic- and humic-like fluorescence signals. The extent of SOM-phenanthrene binding also increased with MW treatment, supported by a notable increase in Koc value from 1.8×10(4) to 7.3×10(5)Lkg(-1). Various descriptors indicating the physical and chemical properties of SOM along with the relative percentage of humic-like fluorescence and HIX values demonstrated strong linear relationships with Koc values. These linear relationships indicated that the increased binding affinity of SOM for phenanthrene was attributed to enhanced SOM humification, which was stimulated by MW irradiation. Thus, our results demonstrate that MW irradiation could be effectively used for remediation or for assessing the environmental risks of HOC-contaminated soils and groundwater. Copyright © 2013 Elsevier Ltd. All rights reserved.

  13. Optical emission spectroscopy of microwave-plasmas at atmospheric pressure applied to the growth of organosilicon and organotitanium nanopowders

    NASA Astrophysics Data System (ADS)

    Kilicaslan, A.; Levasseur, O.; Roy-Garofano, V.; Profili, J.; Moisan, M.; Côté, C.; Sarkissian, A.; Stafford, L.

    2014-03-01

    An atmospheric-pressure plasma sustained by an electromagnetic surface wave (SW) in the microwave regime combined with a bubbler/flash evaporator for the injection of liquid precursors was used to produce organosilicon and organotitanium nanopowders. Following the addition of hexamethyldisiloxane (HMDSO) vapors in the nominally pure argon plasma, optical emission spectra revealed the apparition of strong C2 molecular bands along with Si and Balmer H emission lines. Such features were not observed in our atmospheric-pressure Ar/HMDSO discharges controlled by dielectric barriers, indicating that microwave plasmas are characterized by much higher fragmentation levels of the precursors due to much higher electron densities. Emission spectra from the Ar/HMDSO SW plasma further showed a high-intensity continuum, the intensity of which decreased with time as powders started to form on the discharge tube walls. In presence of titanium isopropoxide (TTIP) vapors in the nominally pure Ar plasma, the emission was dominated by Ar and Ti lines, with no trace of carbon and no continuum. Fourier-Transform Infrared (FTIR) Spectroscopy of the powders formed in Ar/HMDSO plasmas showed very strong Si-(CH3)x and O-Si-(CH3)x bands, which is consistent with the formation of silicon oxycarbide. Transmission Electron Microscopy (TEM) further showed tube and sheet-like nanofeatures as well as larger structures consisting of agglomerated primary clusters. On the other hand, introduction of O2 in Ar/HMDSO plasmas produced only round-like nanoparticles with strong Si-O-Si bands and no trace of carbon, consistent with the formation of SiOx. The average size of the silica nanoparticles was 50 nm. FTIR spectra of powders formed in Ar/TTIP plasmas showed strong Ti-O signals, even without the addition of O2 in the gas phase. Corresponding TEM analysis showed nano- and agglomerated features comparable to those obtained in Ar/HMDSO although the average size of the titanate nanoparticles was smaller

  14. ECR apparatus with magnetic coil for plasma refractive index control

    DOEpatents

    Berry, Lee A.

    1994-01-01

    The present invention describes a technique to control the radial profile of microwave power in an ECR plasma discharge. In order to provide for a uniform plasma density to a specimen, uniform energy absorption by the plasma is desired. By controlling the radial profile of the microwave power transmitted through the microwave window of a reactor, the profile of the transmitted energy to the plasma can be controlled in order to have uniform energy absorption by the plasma. An advantage of controlling the profile using the window transmission characteristics is that variations to the radial profile of microwave power can be made without changing the microwave coupler or reactor design.

  15. Structural and electrical characterization of microcrystalline silicon films prepared by a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system

    NASA Astrophysics Data System (ADS)

    Hong, J. P.; Kim, C. O.; Nahm, T. U.; Kim, C. M.

    2000-02-01

    Microcrystalline silicon films have been prepared on indium-coated glass utilizing a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system. The microcrystalline films were fabricated by varying the number of cycles from 10 to 60 under a fixed H2 time (t2) of 120 s, where the corresponding deposition time (t1) of amorphous silicon thin film was 60 s. Structural properties, such as the crystalline volume fraction (Xc) and grain sizes were analyzed by using Raman spectroscopy and a scanning electron microscopy. The carrier transport was characterized by the temperature dependence of dark conductivity, giving rise to the calculation of activation energy (Ea). Optical energy gaps (Eg) were also investigated using an ultraviolet spectrophotometer. In addition, the process under different hydrogen plasma time (t2) at a fixed number of 20 cycles was extensively carried out to study the dominant role of hydrogen atoms in layer-by-layer deposition. Finally, the correlation between structural and electrical properties has been discussed on the basis of experimental results.

  16. Renewable platform chemicals from directional microwave-assisted liquefaction coupling stepwise extraction of waste biomass

    Treesearch

    Junfeng Feng; Chungyun Hse; Zhongzhi Yang; Kui Wang; Jianchun Jiang; Junming Xu

    2017-01-01

    Directional microwave-assisted liquefaction and stepwise extraction are introduced for producing platform chemicals: aromatics and monosaccharides. When sulfuric acid was used as a catalyst, a 45% monosaccharides yield and a 29% aromatics yield were obtained from bamboo with 0.3 g catalyst per 18 g methanol and 2 g bamboo at 160 °C with 10 min. Approximately 78–86 wt%...

  17. Plasma-Induced Degradation of Quercetin Associated with the Enhancement of Biological Activities.

    PubMed

    Kim, Tae Hoon; Lee, Jaemin; Kim, Hyun-Joo; Jo, Cheorun

    2017-08-16

    Nonthermal plasma is a promising technology to improve the safety and to extend the shelf-life of various minimally processed foods. However, research on plasma-induced systemic degradation related to changes in chemical structure and biological activity is still very limited. In this study, the enhancement of biological activity and the mechanism of degradation of the most common type of flavonol, quercetin, induced by a dielectric barrier discharge (DBD) plasma were investigated. Quercetin is dissolved in methanol and exposed to nonthermal DBD plasma for 5, 10, 20, and 30 min. The quercetin treated with the plasma for 20 min showed rapidly increased α-glucosidase inhibitory and radical scavenging activities compared to those of parent quercetin. The structures of the degradation products 1-3 from the quercetin treated with the plasma for 20 min were isolated and characterized by interpretation of their spectroscopic data. Among the generated products, (±)-alphitonin (1) exhibited significantly improved antidiabetic and antioxidant properties compared to those of the parent quercetin. The antidiabetic and antioxidant properties were measured by α-glucosidase inhibition and 1,1-diphenyl-2-picrylhydrazyl radical scavenging assays. These results suggested that structural changes in quercetin induced by DBD plasma might be attributable to improving the biological activity.

  18. On the existence of and mechanism for microwave-specific reaction rate enhancement† †Electronic supplementary information (ESI) available. See DOI: 10.1039/c4sc03372h Click here for additional data file.

    PubMed Central

    Dudley, Gregory B.; Richert, Ranko

    2015-01-01

    The use of microwave radiation to drive chemical reactions has become ubiquitous in almost all fields of chemistry. In all of these areas it is principally due to rapid and convenient heating resulting in significantly higher rates of reaction, with other advantages including enhanced product selectivity and control of materials properties. Although microwave heating continues to grow as an enabling technology, fundamental research into the nature of microwave heating has not grown at the same rate. In the case of chemical reactions run in homogeneous solution, particularly synthetic organic reactions, there is considerable controversy over the origins of rate enhancement, with a fundamental question being whether there exist microwave-specific effects, distinct from what can be attained under conventional convective heating, that can accelerate a reaction rate. In this Perspective, we discuss unique aspects of microwave heating of molecules in solution and discuss the origin and nature of microwave-specific effects arising from the process of “selective heating” of reactants in solution. Integral to this discussion is work from the field of dielectric relaxation spectroscopy, which provides a model for selective heating by Debye relaxation processes. The Perspective also includes a critical discussion of hypotheses of non-thermal effects (alternatively classified here as resonant processes) and an outline of specific reaction parameters for chemical systems in which microwave-specific Debye relaxation processes can result in observable reaction rate enhancement. PMID:29308138

  19. Reprocessing the Historical Satellite Passive Microwave Record at Enhanced Spatial Resolutions using Image Reconstruction

    NASA Astrophysics Data System (ADS)

    Hardman, M.; Brodzik, M. J.; Long, D. G.; Paget, A. C.; Armstrong, R. L.

    2015-12-01

    Beginning in 1978, the satellite passive microwave data record has been a mainstay of remote sensing of the cryosphere, providing twice-daily, near-global spatial coverage for monitoring changes in hydrologic and cryospheric parameters that include precipitation, soil moisture, surface water, vegetation, snow water equivalent, sea ice concentration and sea ice motion. Currently available global gridded passive microwave data sets serve a diverse community of hundreds of data users, but do not meet many requirements of modern Earth System Data Records (ESDRs) or Climate Data Records (CDRs), most notably in the areas of intersensor calibration, quality-control, provenance and consistent processing methods. The original gridding techniques were relatively primitive and were produced on 25 km grids using the original EASE-Grid definition that is not easily accommodated in modern software packages. Further, since the first Level 3 data sets were produced, the Level 2 passive microwave data on which they were based have been reprocessed as Fundamental CDRs (FCDRs) with improved calibration and documentation. We are funded by NASA MEaSUREs to reprocess the historical gridded data sets as EASE-Grid 2.0 ESDRs, using the most mature available Level 2 satellite passive microwave (SMMR, SSM/I-SSMIS, AMSR-E) records from 1978 to the present. We have produced prototype data from SSM/I and AMSR-E for the year 2003, for review and feedback from our Early Adopter user community. The prototype data set includes conventional, low-resolution ("drop-in-the-bucket" 25 km) grids and enhanced-resolution grids derived from the two candidate image reconstruction techniques we are evaluating: 1) Backus-Gilbert (BG) interpolation and 2) a radiometer version of Scatterometer Image Reconstruction (SIR). We summarize our temporal subsetting technique, algorithm tuning parameters and computational costs, and include sample SSM/I images at enhanced resolutions of up to 3 km. We are actively

  20. Plasma enhanced chemical vapor deposition of wear resistant gradual a-Si1-x:Cx:H coatings on nickel-titanium for biomedical applications

    NASA Astrophysics Data System (ADS)

    Niermann, Benedikt; Böke, Marc; Schauer, Janine-Christina; Winter, Jörg

    2010-03-01

    Plasma enhanced chemical vapor deposition has been used to deposit thin films with gradual transitions from silicon to carbon on Cu, Ni, stainless steel, and NiTi. Thus show low stress, elasticity, and wear resistance with excellent adhesion on all metals under investigation. Already at low Si concentrations of 10 at. % the intrinsic stress is considerably reduced compared to pure diamondlike carbon (DLC) films. The deposition process is controlled by optical emission spectroscopy. This technique has been applied to monitor the growth precursors and to correlate them with the film composition. The compositions of the films were determined by Rutherford backscattering spectroscopy and XPS measurements. Due to the elastic properties of the gradual transition and the excellent biocompatibility of DLC, the described film systems present a useful coating for biomedical applications.

  1. The Chemical Potential of Plasma Membrane Cholesterol: Implications for Cell Biology.

    PubMed

    Ayuyan, Artem G; Cohen, Fredric S

    2018-02-27

    Cholesterol is abundant in plasma membranes and exhibits a variety of interactions throughout the membrane. Chemical potential accounts for thermodynamic consequences of molecular interactions, and quantifies the effective concentration (i.e., activity) of any substance participating in a process. We have developed, to our knowledge, the first method to measure cholesterol chemical potential in plasma membranes. This was accomplished by complexing methyl-β-cyclodextrin with cholesterol in an aqueous solution and equilibrating it with an organic solvent containing dissolved cholesterol. The chemical potential of cholesterol was thereby equalized in the two phases. Because cholesterol is dilute in the organic phase, here activity and concentration were equivalent. This equivalence allowed the amount of cholesterol bound to methyl-β-cyclodextrin to be converted to cholesterol chemical potential. Our method was used to determine the chemical potential of cholesterol in erythrocytes and in plasma membranes of nucleated cells in culture. For erythrocytes, the chemical potential did not vary when the concentration was below a critical value. Above this value, the chemical potential progressively increased with concentration. We used standard cancer lines to characterize cholesterol chemical potential in plasma membranes of nucleated cells. This chemical potential was significantly greater for highly metastatic breast cancer cells than for nonmetastatic breast cancer cells. Chemical potential depended on density of the cancer cells. A method to alter and fix the cholesterol chemical potential to any value (i.e., a cholesterol chemical potential clamp) was also developed. Cholesterol content did not change when cells were clamped for 24-48 h. It was found that the level of activation of the transcription factor STAT3 increased with increasing cholesterol chemical potential. The cholesterol chemical potential may regulate signaling pathways. Copyright © 2018. Published by

  2. Nonlinear interaction of strong microwave beam with the ionosphere MINIX rocket experiment

    NASA Astrophysics Data System (ADS)

    Kaya, N.; Matsumoto, H.; Miyatake, S.; Kimura, I.; Nagatomo, M.

    A rocket-borne experiment called 'MINIX' was carried out to investigate the nonlinear interaction of a strong microwave energy beam with the ionosphere. The MINIX stands for Microwave-Ionosphere Nonlinear Interaction eXperiment and was carried out on August 29, 1983. The objective of the MINIX is to study possible impacts of the SPS microwave energy beam on the ionosphere, such as the ohmic heating and plasma wave excitation. The experiment showed that the microwave with f = 2.45 GHz nonlinearly excites various electrostatic plasma waves, though no ohmic heating effects were detected.

  3. Experiments with Plasmas Produced by Potassium-Seeded Cyanogen Oxygen Flames for Study of Radio Transmission at Simulated Reentry Vehicle Plasma Conditions

    NASA Technical Reports Server (NTRS)

    Huber, Paul W.; Gooderum, Paul B.

    1961-01-01

    A method for the chemical production of an ionized gas stream for application to radio transmission studies is described. Involved is the combustion of gaseous cyanogen and oxygen with the addition of vaporized potassium in some cases to further increase the ionization. Experiments are described in which a 3-inch-diameter subsonic free jet at atmospheric pressure is used, and the results are presented. The plasma obtained by using this method is sufficient to simulate plasma conditions expected for reentering hypersonic vehicles. The unseeded plasma stream temperature is indicated to be about 4,200 K, with the degree of ionization indicated to be that expected from thermal equilibrium considerations. Measurements of radio-signal loss due to the unseeded flame plasma are presented for microwaves of 8 to 20 kmc transmitted through the stream and for a dipole transmitting model of 219.5 mc immersed in the stream. Favorable comparison of these results with the simple plane-wave signal-attenuation theory was obtained. In the case of a 9.4-kmc microwave signal of 30-kw peak power, the preliminary indication is that the plasma characteristics were not changed due to this strong signal. Comparison of a simplified concept of radio-signal attenuation due to plasmas is made with some hypersonic reentry vehicle signal-loss data. Other areas of plasma research using this method for the transmission problem are indicated.

  4. Bandwidth enhancement in microwave absorption of binary nanocomposite ferrites hollow microfibers.

    PubMed

    Song, Fuzhan; Shen, Xiangqian; Yang, Xinchun; Meng, Xianfeng; Xiang, Jun; Liu, Ruijiang; Dong, Mingdong

    2013-04-01

    The binary Ba0.5Sr0.5Fe12O19 (BSFO)/Ni0.5Zn0.5Fe2O4 (NZFO) nanocomposite ferrites hollow microfibers with high aspect ratios have been prepared by the gel precursor transformation process. These microfibers possess a high specific surface area about 45.2 m2 g(-1), and a ratio of the hollow diameter to the fiber diameter estimated about 5/7. The binary nanocomposite ferrites are formed after the precursor calcined at 750 degrees C for 3 h. Their minimum reflection loss (RL) is -38.1 dB at 10.4 GHz. The microwave absorption bandwidth with RL value exceeding -20 dB covers the whole X-band (8.2-12.4 GHz) and Ku-band (12.4-18 GHz). This enhancement in microwave absorption can be attributed to the exchange-coupling interaction, interfacial polarization and small size effect in nanocomposite hollow microfibers.

  5. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  6. ECR apparatus with magnetic coil for plasma refractive index control

    DOEpatents

    Berry, L.A.

    1994-04-26

    The present invention describes a technique to control the radial profile of microwave power in an ECR plasma discharge. In order to provide for a uniform plasma density to a specimen, uniform energy absorption by the plasma is desired. By controlling the radial profile of the microwave power transmitted through the microwave window of a reactor, the profile of the transmitted energy to the plasma can be controlled in order to have uniform energy absorption by the plasma. An advantage of controlling the profile using the window transmission characteristics is that variations to the radial profile of microwave power can be made without changing the microwave coupler or reactor design. 9 figures.

  7. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  8. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  9. VUV Emission of Microwave Driven Argon Plasma Source

    NASA Astrophysics Data System (ADS)

    Henriques, Julio; Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Dias, Francisco; Ferreira, Carlos

    2013-09-01

    An experimental and kinetic modeling investigation of a low-pressure (0.1-1.2 mbar), surface wave (2.45 GHz) induced Ar plasma as a source vacuum ultraviolet (VUV) light is presented, using visible and VUV optical spectroscopy. The electron density and the relative VUV emission intensities of excited Ar atoms (at 104.8 nm and 106.6 nm) and ions (at 92.0 nm and 93.2 nm) were determined as a function of the microwave power and pressure. The experimental results were analyzed using a 2D self-consistent theoretical model based on a set of coupled equations including the electron Boltzmann equation, the rate balance equations for the most important electronic excited species and for charged particles, the gas thermal balance equation, and the wave electrodynamics. The principal collisional and radiative processes for neutral Ar(3p54s) and Ar(3p54p) and ionized Ar(3s3p6 2S1/2) levels are accounted for. Model predictions are in good agreement with the experimental measurements. This study was funded by the Foundation for Science and Technology, Portuguese Ministry of Education and Science, under the research contract PTDC/FIS/108411/2008.

  10. Growth of multiwalled-carbon nanotubes using vertically aligned carbon nanofibers as templates/scaffolds and improved field-emission properties

    NASA Astrophysics Data System (ADS)

    Cui, H.; Yang, X.; Baylor, L. R.; Lowndes, D. H.

    2005-01-01

    Multiwalled-carbon nanotubes (MWCNTs) are grown on top of vertically aligned carbon nanofibers (VACNFs) via microwave plasma-enhanced chemical vapor deposition (MPECVD). The VACNFs are first grown in a direct-current plasma-enhanced chemical vapor deposition reactor using nickel catalyst. A layer of carbon-silicon materials is then deposited on the VACNFs and the nickel catalyst particle is broken down into smaller nanoparticles during an intermediate reactive-ion-plasma deposition step. These nickel nanoparticles nucleate and grow MWCNTs in the following MPECVD process. Movable-probe measurements show that the MWCNTs have greatly improved field-emission properties relative to the VACNFs.

  11. Enhancing sewage sludge dewaterability by bioleaching approach with comparison to other physical and chemical conditioning methods.

    PubMed

    Liu, Fenwu; Zhou, Jun; Wang, Dianzhan; Zhou, Lixiang

    2012-01-01

    The sewage sludge conditioning process is critical to improve the sludge dewaterability prior to mechanical dewatering. Traditionally, sludge is conditioned by physical or chemical approaches, mostly with the addition of inorganic or organic chemicals. Here we report that bioleaching, an efficient and economical microbial method for the removal of sludge-borne heavy metals, also plays a significant role in enhancing sludge dewaterability. The effects of bioleaching and physical or chemical approaches on sludge dewaterability were compared. The conditioning result of bioleaching by Acidithiobacillus thiooxidans and Acidithiobacillus ferrooxidans on sludge dewatering was investigated and compared with the effects of hydrothermal (121 degrees C for 2 hr), microwave (1050 W for 50 sec), ultrasonic (250 W for 2 min), and chemical conditioning (24% ferric chloride and 68% calcium oxide; dry basis). The results show that the specific resistance to filtration (SRF) or capillary suction time (CST) of sludge is decreased by 93.1% or 74.1%, respectively, after fresh sludge is conditioned by bioleaching, which is similar to chemical conditioning treatment with ferric chloride and calcium oxide but much more effective than other conditioning approaches including hydrothermal, microwave, and ultrasonic conditioning. Furthermore, after sludge dewatering, bioleached sludge filtrate contains the lowest concentrations of chroma (18 times), COD (542 mg/L), total N (TN, 300 mg/L), NH4(+)-N (208 mg/L), and total P (TP, 2 mg/L) while the hydrothermal process resulted in the highest concentration of chroma (660 times), COD (18,155 mg/L), TN (472 mg/L), NH4(+)-N (381 mg/L), and TP (191 mg/L) among these selected conditioning methods. Moreover, unlike chemical conditioning, sludge bioleaching does not result in a significant reduction of organic matter, TN, and TP in the resulting dewatered sludge cake. Therefore, considering sludge dewaterability and the chemical properties of sludge

  12. Enhancement of plasma generation in catalyst pores with different shapes

    NASA Astrophysics Data System (ADS)

    Zhang, Yu-Ru; Neyts, Erik C.; Bogaerts, Annemie

    2018-05-01

    Plasma generation inside catalyst pores is of utmost importance for plasma catalysis, as the existence of plasma species inside the pores affects the active surface area of the catalyst available to the plasma species for catalytic reactions. In this paper, the electric field enhancement, and thus the plasma production inside catalyst pores with different pore shapes is studied with a two-dimensional fluid model. The results indicate that the electric field will be significantly enhanced near tip-like structures. In a conical pore with small opening, the strongest electric field appears at the opening and bottom corners of the pore, giving rise to a prominent ionization rate throughout the pore. For a cylindrical pore, the electric field is only enhanced at the bottom corners of the pore, with lower absolute value, and thus the ionization rate inside the pore is only slightly enhanced. Finally, in a conical pore with large opening, the electric field is characterized by a maximum at the bottom of the pore, yielding a similar behavior for the ionization rate. These results demonstrate that the shape of the pore has a significantly influence on the electric field enhancement, and thus modifies the plasma properties.

  13. Characteristic Study of Boron Doped Carbon Nanowalls Films Deposited by Microwave Plasma Enhanced Chemical Vapor Deposition.

    PubMed

    Lu, Chunyuan; Dong, Qi; Tulugan, Kelimu; Park, Yeong Min; More, Mahendra A; Kim, Jaeho; Kim, Tae Gyu

    2016-02-01

    In this research, catalyst-free vertically aligned boron doped carbon nanowalls films were fabricated on silicon (100) substrates by MPECVD using feeding gases CH4, H2 and B2H6 (diluted with H2 to 5% vol) as precursors. The substrates were pre-seeded with nanodiamond colloid. The fabricated CNWs films were characterized by Scanning Electron Microscopy (SEM) and Raman Spectroscopy. The data obtained from SEM confirms that the CNWs films have different density and wall thickness. From Raman spectrum, a G peak around 1588 cm(-1) and a D band peak at 1362 cm(-1) were observed, which indicates a successful fabrication of CNWs films. The EDX spectrum of boron doped CNWs film shows the existence of boron and carbon. Furthermore, field emission properties of boron doped carbon nanowalls films were measured and field enhancement factor was calculated using Fowler-Nordheim plot. The result indicates that boron doped CNWs films could be potential electron emitting materials.

  14. High voltage AC plasma torches with long electric arcs for plasma-chemical applications

    NASA Astrophysics Data System (ADS)

    Surov, A. V.; Popov, S. D.; Serba, E. O.; Pavlov, A. V.; Nakonechny, Gh V.; Spodobin, V. A.; Nikonov, A. V.; Subbotin, D. I.; Borovskoy, A. M.

    2017-04-01

    Powerful AC plasma torches are in demand for a number of advanced plasma chemical applications, they can provide high enthalpy of the working gas. IEE RAS specialists have developed a number of models of stationary thermal plasma torches for continuous operation on air with the power from 5 to 500 kW, and on mixture of H2O, CO2 and CH4 up to 150 kW. AC plasma torches were tested on the pilot plasmachemical installations. Powerful AC plasma torch with hollow electrodes and the gas vortex stabilization of arc in cylindrical channels and its operation characteristics are presented. Lifetime of its continuous operation on air is 2000 hours and thermal efficiency is about 92%, the electric arc length between two electrodes of the plasma torch exceeds 2 m.

  15. Microwave Interferometric Density Measurements of a Pulsed Helicon Source

    NASA Astrophysics Data System (ADS)

    Scime, Ethan; Scime, Earl; Thompson, Derek

    2017-10-01

    The intense rf environment of a helicon plasma source is problematic for electrostatic probe measurements of plasma density, particularly at low neutral pressures. Here we present measurements of the line-integrated plasma density in a helicon plasma source using a multi-frequency (20-40 GHz) microwave interferometer. The design of the diagnostic and the data acquisition system are presented, as well as a comparison to density profiles obtained with a moveable electrostatic probe. A parametric fit to the probe profile measurements is used to determine the peak density from the microwave density measurements. This work supported by U.S. National Science Foundation Grant No. PHY-1360278.

  16. Lightning control system using high power microwave FEL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shiho, M.; Watanbe, A.; Kawasaki, S.

    A research project for developing a thunder lightning control system using an induction linac based high power microwave free electron laser (FEL) started at JAERI The system will produce weakly ionized plasma rod in the atmosphere by high power microwaves and control a lightning path, away from , e. g., nuclear power stations and rocket launchers. It has been known that about MW/cm{sup 2} power density is enough for the atmospheric breakdown in the microwave region, and which means high power microwave FEL with GW level output power is feasible for atmospheric breakdown, and accordingly is feasible for thunder lightningmore » control tool with making a conductive plasma channel in the atmosphere. From the microwave attenuation consideration in the atmosphere, FEL of 35GHz(0.13dB/km), 90GHz(0.35dB/km), 140GHz(1.7dB/km), and of 270 GHz(4.5dB/km) are the best candidates for the system. Comparing with other proposed lightning control system using visible or ultraviolet laser, the system using microwave has an advantage that microwave suffers smaller attenuation by rain or snow which always exist in the real atmospheric circumstances when lightning occurs.« less

  17. Evaluation of Microstructure and Mechanical Properties of Al-TiC Metal Matrix Composite Prepared by Conventional, Microwave and Spark Plasma Sintering Methods

    PubMed Central

    Ghasali, Ehsan; Fazili, Ali; Alizadeh, Masoud; Shirvanimoghaddam, Kamyar; Ebadzadeh, Touradj

    2017-01-01

    In this research, the mechanical properties and microstructure of Al-15 wt % TiC composite samples prepared by spark plasma, microwave, and conventional sintering were investigated. The sintering process was performed by the speak plasma sintering (SPS) technique, microwave and conventional furnaces at 400 °C, 600 °C, and 700 °C, respectively. The results showed that sintered samples by SPS have the highest relative density (99% of theoretical density), bending strength (291 ± 12 MPa), and hardness (253 ± 23 HV). The X-ray diffraction (XRD) investigations showed the formation of TiO2 from the surface layer decomposition of TiC particles. Scanning electron microscopy (SEM) micrographs demonstrated uniform distribution of reinforcement particles in all sintered samples. The SEM/EDS analysis revealed the formation of TiO2 around the porous TiC particles. PMID:29088114

  18. GREENER SYNTHETIC TRANSFORMATIONS USING MICROWAVES

    EPA Science Inventory

    Microwave irradiation has been used for a variety of organic transformations wherein chemical reactions are expedited because of selective adsorption of microwave (MW) energy by polar molecules, non-polar molecules being inert to the MW dielectric loss. The MW application under s...

  19. Plasma deposited stability enhancement coating for amorphous ketoprofen.

    PubMed

    Bosselmann, Stephanie; Owens, Donald E; Kennedy, Rachel L; Herpin, Matthew J; Williams, Robert O

    2011-05-01

    A hydrophobic fluorocarbon coating deposited onto amorphous ketoprofen via pulsed plasma-enhanced chemical vapor deposition (PPECVD) significantly prolonged the onset of recrystallization compared to uncoated drug. Rapid freezing (RF) employed to produce amorphous ketoprofen was followed by PPECVD of perfluorohexane. The effect of coating thickness on the recrystallization and dissolution behavior of ketoprofen was investigated. Samples were stored in open containers at 40°C and 75% relative humidity, and the onset of recrystallization was monitored by DSC. An increase in coating thickness provided enhanced stability against recrystallization for up to 6 months at accelerated storage conditions (longest time of observation) when compared to three days for uncoated ketoprofen. Results from XPS analysis demonstrated that an increase in coating thickness was associated with improved surface coverage thus enabling superior protection. Dissolution testing showed that at least 80% of ketoprofen was released in buffer pH 6.8 from all coated samples. Overall, an increase in coating thickness resulted in a more complete drug release due to decreased adhesion of the coating to the substrate. Copyright © 2010 Elsevier B.V. All rights reserved.

  20. Responses of Solid Tumor Cells in DMEM to Reactive Oxygen Species Generated by Non-Thermal Plasma and Chemically Induced ROS Systems

    PubMed Central

    Kaushik, Neha; Uddin, Nizam; Sim, Geon Bo; Hong, Young June; Baik, Ku Youn; Kim, Chung Hyeok; Lee, Su Jae; Kaushik, Nagendra Kumar; Choi, Eun Ha

    2015-01-01

    In this study, we assessed the role of different reactive oxygen species (ROS) generated by soft jet plasma and chemical-induced ROS systems with regard to cell death in T98G, A549, HEK293 and MRC5 cell lines. For a comparison with plasma, we generated superoxide anion (O2−), hydroxyl radical (HO·), and hydrogen peroxide (H2O2) with chemicals inside an in vitro cell culture. Our data revealed that plasma decreased the viability and intracellular ATP values of cells and increased the apoptotic population via a caspase activation mechanism. Plasma altered the mitochondrial membrane potential and eventually up-regulated the mRNA expression levels of BAX, BAK1 and H2AX gene but simultaneously down-regulated the levels of Bcl-2 in solid tumor cells. Moreover, a western blot analysis confirmed that plasma also altered phosphorylated ERK1/2/MAPK protein levels. At the same time, using ROS scavengers with plasma, we observed that scavengers of HO· (mannitol) and H2O2 (catalase and sodium pyruvate) attenuated the activity of plasma on cells to a large extent. In contrast, radicals generated by specific chemical systems enhanced cell death drastically in cancer as well as normal cell lines in a dose-dependent fashion but not specific with regard to the cell type as compared to plasma. PMID:25715710

  1. Responses of Solid Tumor Cells in DMEM to Reactive Oxygen Species Generated by Non-Thermal Plasma and Chemically Induced ROS Systems

    NASA Astrophysics Data System (ADS)

    Kaushik, Neha; Uddin, Nizam; Sim, Geon Bo; Hong, Young June; Baik, Ku Youn; Kim, Chung Hyeok; Lee, Su Jae; Kaushik, Nagendra Kumar; Choi, Eun Ha

    2015-02-01

    In this study, we assessed the role of different reactive oxygen species (ROS) generated by soft jet plasma and chemical-induced ROS systems with regard to cell death in T98G, A549, HEK293 and MRC5 cell lines. For a comparison with plasma, we generated superoxide anion (O2-), hydroxyl radical (HO.), and hydrogen peroxide (H2O2) with chemicals inside an in vitro cell culture. Our data revealed that plasma decreased the viability and intracellular ATP values of cells and increased the apoptotic population via a caspase activation mechanism. Plasma altered the mitochondrial membrane potential and eventually up-regulated the mRNA expression levels of BAX, BAK1 and H2AX gene but simultaneously down-regulated the levels of Bcl-2 in solid tumor cells. Moreover, a western blot analysis confirmed that plasma also altered phosphorylated ERK1/2/MAPK protein levels. At the same time, using ROS scavengers with plasma, we observed that scavengers of HO. (mannitol) and H2O2 (catalase and sodium pyruvate) attenuated the activity of plasma on cells to a large extent. In contrast, radicals generated by specific chemical systems enhanced cell death drastically in cancer as well as normal cell lines in a dose-dependent fashion but not specific with regard to the cell type as compared to plasma.

  2. Test-Wave Measurements of Microwave Absorption Efficiency in a Planar Surface-Wave Plasma Reactor

    NASA Astrophysics Data System (ADS)

    Ghanashev, Ivan; Morita, Shin; \\scToyoda, Naoki; Nagatsu, Masaaki; Sugai, Hideo

    1999-07-01

    A major obstacle for experimental surface-wave (SW) excitationand propagation studies in SW plasma is the self-consistentbehaviour of the latter, which does not permit continuousvariation of the electron density ne. In the presentstudy, we demonstrate how this obstacle can be overcome by anindependent plasma source, in our case, an inductively coupledplasma (ICP) created by a high-power RF (13.56 MHz) generator.Through a rectangular waveguide short-circuited at its end by amovable plunger, we introduced into the ICP a weak (powerless than 20 W) nonionising 2.4 GHz microwave.This permitted us to highlight important SW excitation andpropagation phenomena. In particular, we confirmed the existenceof the predicted [Jpn. J. Appl. Phys. 36 (1997) 4704]resonance minima in the ne dependence of the powerreflection coefficient. The influence of the plunger positionon the chamber matching was studied systematically and fourdifferent coupling aperture geometries were compared.

  3. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  4. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery.

    PubMed

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  5. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp

    2016-06-15

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  6. Effect of sulfur passivation on the InP surface prior to plasma-enhanced chemical vapor deposition of SiNx

    NASA Astrophysics Data System (ADS)

    Tang, Hengjing; Wu, Xiaoli; Xu, Qinfei; Liu, Hongyang; Zhang, Kefeng; Wang, Yang; He, Xiangrong; Li, Xue; Gong, Hai Mei

    2008-03-01

    The fabrication of Au/SiNx/InP metal-insulator-semiconductor (MIS) diodes has been achieved by depositing a layer of SiNx on the (NH4)2Sx-treated n-InP. The SiNx layer was deposited at 200 °C using plasma-enhanced chemical vapor deposition (PECVD). The effect of passivation on the InP surface before and after annealing was evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements, and Auger electron spectroscopy (AES) analysis was used to investigate the depth profiles of several atoms. The results indicate that the SiNx passivation layer exhibits good insulative characteristics. The annealing process causes distinct inter-diffusion in the SiNx/InP interface and contributes to the decrease of the fixed charge density and minimum interface state density, which are 1.96 × 1012 cm-2 and 7.41 × 1011 cm-2 eV-1, respectively. A 256 × 1 InP/InGaAs/InP heterojunction photodiode, fabricated with sulfidation and SiNx passivation layer, has good response uniformity.

  7. Microwave-assisted synthesis of NiS2 nanostructures for supercapacitors and cocatalytic enhancing photocatalytic H2 production.

    PubMed

    Pang, Huan; Wei, Chengzhen; Li, Xuexue; Li, Guochang; Ma, Yahui; Li, Sujuan; Chen, Jing; Zhang, Jiangshan

    2014-01-06

    Uniform NiS2 nanocubes are successfully synthesized with a microwave-assisted method. Interestingly, NiS2 nanocubes, nanospheres and nanoparticles are obtained by controlling microwave reaction time. NiS2 nanomaterials are primarily applied to supercapacitors and cocatalytic enhancing photocatalytic H2 production. Different morphologies of NiS2 nanostructures show different electrochemical and cocatalytic enhancing H2 production activities. Benefited novel nanostructures, NiS2 nanocube electrodes show a large specific capacitance (695 F g(-1) at 1.25 A g(-1)) and excellent cycling performance (the retention 93.4% of initial specific capacitance after 3000 cycles). More importantly, NiS2 nanospheres show highly cocatalytic enhancing photocatalytic for H2 evolution, in which the photocatalytic H2 production is up to 3400 μmol during 12 hours under irradiation of visible light (λ>420 nm) with an average H2 production rate of 283 μmol h(-1).

  8. Microwave-assisted synthesis of NiS2 nanostructures for supercapacitors and cocatalytic enhancing photocatalytic H2 production

    NASA Astrophysics Data System (ADS)

    Pang, Huan; Wei, Chengzhen; Li, Xuexue; Li, Guochang; Ma, Yahui; Li, Sujuan; Chen, Jing; Zhang, Jiangshan

    2014-01-01

    Uniform NiS2 nanocubes are successfully synthesized with a microwave-assisted method. Interestingly, NiS2 nanocubes, nanospheres and nanoparticles are obtained by controlling microwave reaction time. NiS2 nanomaterials are primarily applied to supercapacitors and cocatalytic enhancing photocatalytic H2 production. Different morphologies of NiS2 nanostructures show different electrochemical and cocatalytic enhancing H2 production activities. Benefited novel nanostructures, NiS2 nanocube electrodes show a large specific capacitance (695 F g-1 at 1.25 A g-1) and excellent cycling performance (the retention 93.4% of initial specific capacitance after 3000 cycles). More importantly, NiS2 nanospheres show highly cocatalytic enhancing photocatalytic for H2 evolution, in which the photocatalytic H2 production is up to 3400 μmol during 12 hours under irradiation of visible light (λ>420 nm) with an average H2 production rate of 283 μmol h-1.

  9. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  10. Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity

    DOEpatents

    Whealton, John H.; Hanson, Gregory R.; Storey, John M.; Raridon, Richard J.; Armfield, Jeffrey S.; Bigelow, Timothy S.; Graves, Ronald L.

    2001-01-01

    A method for non-thermal plasma aftertreatment of exhaust gases the method comprising the steps of providing short risetime (about 40 ps), high frequency (about 5G hz), high power bursts of low-duty factor microwaves sufficient to generate a dielectric barrier discharge and passing a gas to treated through the discharge so as to cause dissociative reduction of the exhaust gases. The invention also includes a reactor for generating the non-thermal plasma.

  11. Switchable hydrophobic/hydrophilic surface of electrospun poly (l-lactide) membranes obtained by CF₄microwave plasma treatment

    DOE PAGES

    Yue, Mengyao; Zhou, Baoming; Jiao, Kunyan; ...

    2014-11-29

    A switchable surface that promotes either hydrophobic or hydrophilic wettability of poly (L-lactide) (PLLA) microfibrous membranes is obtained by CF₄ microwave plasma treatment in this paper. The results indicated that both etching and grafting process occurred during the CF₄ plasma treatment and these two factors synergistically affected the final surface wettability of PLLA membranes. When plasma treatment was taken under a relatively low power, the surface wettability of PLLA membranes turned from hydrophobic to hydrophilic. Especially when CF₄ plasma treatment was taken under 100 W for 10 min and 150 W for 5 min, the water contact angle sharply decreasedmore » from 116 ± 3.0° to ~0°. According to Field-emission scanning electron microscopy (FESEM) results, the PLLA fibers were notably etched by CF₄ plasma treatment. Combined with the X-ray photoelectron spectroscopy (XPS) measurements, only a few fluorine-containing groups were grafted onto the surface, so the etching effect directly affected the surface wettability of PLLA membranes in low plasma power condition. However, with the plasma power increasing to 200 W, the PLLA membrane surface turned to hydrophobic again. In contrast, the morphology changes of PLLA fiber surfaces were not obvious while a large number of fluorine-containing groups grafted onto the surface. So the grafting effect gradually became the major factor for the final surface wettability.« less

  12. GREENER CHEMICAL SYNTHESES USING MICROWAVE IRRADIATION

    EPA Science Inventory

    Greener solvent-free protocols involve microwave (MW) exposure of neat reactants catalyzed by the surfaces of recyclable mineral supports such as alumina, silica and clay which are applicable to a wide range of cleavage, condensation, cyclization, oxidation and reduction reaction...

  13. CHEMICAL TRANSFORMATIONS USING NON-TRADITIONAL APPROACHES: MICROWAVE-ASSISTED GREENER SYNTHESES IN AQUEOUS MEDIA OR UNDER SOLVENT-FREE CONDITIONS

    EPA Science Inventory

    Microwave (MW) irradiation in conjunction with water as reaction media has proven to be a 'greener' chemical approach for expeditious N-alkylation reactions of amines and hydrazines wherein the reactions under mildly basic conditions afford tertiary amines and double N<...

  14. Development and Characterization of a Hybrid Atmospheric Pressure Plasma Electrospinning System for Nanofiber Enhancement

    NASA Astrophysics Data System (ADS)

    Nowak, Joshua Michael

    A hybrid atmospheric pressure-electrospinning plasma system was developed to be used for the production of nanofibers and enhance their performance for various applications. Electrospun nanofibers are excellent candidates for protective clothing in the field of chemical and biological warfare defense; however, nanofibers are structurally weak and easily abrade and tear. They can be strengthened through the support of a substrate fabric, but they do not adhere well to substrates. Through the use of the developed hybrid system with either pure He or He/O2 (99/1) feed gas, adherence to the substrate along with abrasion and flex resistance were improved. The plasma source was diagnosed electrically, thermally, and optically. An equivalent circuit model was developed for non-thermal, highly collisional plasmas that can solve for average electron temperature and electron number density. The obtained temperatures (~ 3eV) correlate very well with the results of a neutral Bremsstrahlung continuum matching technique that was also employed. Using the temperatures and number densities obtained from the circuit model and the optical spectroscopy, a global chemical kinetics code was written in order to solve for radical and ion concentrations. This code shows that there are significant concentrations of oxygen radicals present. The XPS analysis confirmed that there was an increase of surface oxygen from 11.1% up to 16.6% for the He/O2 plasma and that the C-O bonding, which was not present in the control samples, has increased to 45.4%. The adhesive strength to the substrate has a significant increase of 81% for helium plasma and 144% for He/O2 plasma; however, these values remain below the desired values for protective clothing applications. The hybrid system displayed the ability to oxygenate nanofibers as they are being electrospun and shows the feasibility of making other surface modifications. The developed circuit model and chemical kinetics code both show promise as tools

  15. Experimental investigation of microwave interaction with magnetoplasma in miniature multipolar configuration using impedance measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dey, Indranuj, E-mail: indranuj@aees.kyushu-u.ac.jp; Toyoda, Yuji; Yamamoto, Naoji

    2014-09-15

    A miniature microwave plasma source employing both radial and axial magnetic fields for plasma confinement has been developed for micro-propulsion applications. Plasma is initiated by launching microwaves via a short monopole antenna to circumvent geometrical cutoff limitations. The amplitude and phase of the forward and reflected microwave power is measured to obtain the complex reflection coefficient from which the equivalent impedance of the plasma source is determined. Effect of critical plasma density condition is reflected in the measurements and provides insight into the working of the miniature plasma source. A basic impedance calculation model is developed to help in understandingmore » the experimental observations. From experiment and theory, it is seen that the equivalent impedance magnitude is controlled by the coaxial discharge boundary conditions, and the phase is influenced primarily by the plasma immersed antenna impedance.« less

  16. Improving surface-enhanced Raman scattering effect using gold-coated hierarchical polystyrene bead substrates modified with postgrowth microwave treatment.

    PubMed

    Yuen, Clement; Zheng, Wei; Huang, Zhiwei

    2008-01-01

    We report a novel postgrowth microwave heating implementation by selectively modifying hierarchical polystyrene (PS) bead substrates coated with gold (Au) films to effectively improve the surface-enhanced Raman scattering (SERS) effect on the analytes. The SERS signal of probe molecule rhodamine 6G (Rh 6G) on the microwave-treated Au-PS substrates can be improved by 10-fold, while the detection limit of Rh 6G in concentration can be enhanced by two orders of magnitude compared to the as-growth substrates. The high-quality SERS spectrum of saliva can also be acquired using the modified substrates, demonstrating the potential for the realization of the high-performance SERS substrates for biomedical applications.

  17. Investigation of Gate-Stacked In-Ga-Zn-O TFTs with Ga-Zn-O Source/Drain Electrodes by Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn; Hsu, Jui-Mei

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) was employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO TFTs) with high transparent gallium zinc oxide (GZO) source/drain electrodes. The influence of post-deposition annealing (PDA) temperature on GZO source/drain and device performance was studied. Device with a 300 °C annealing demonstrated excellent electrical characteristics with on/off current ratio of 2.13 × 108, saturation mobility of 10 cm2/V-s, and low subthreshold swing of 0.2 V/dec. The gate stacked LaAlO3/ZrO2 of AP-IGZO TFTs with highly transparent and conductive AP-GZO source/drain electrode show excellent gate control ability at a low operating voltage.

  18. Generation of surface-wave microwave microplasmas in hollow-core photonic crystal fiber based on a split-ring resonator.

    PubMed

    Vial, Florian; Gadonna, Katell; Debord, Benoît; Delahaye, Frédéric; Amrani, Foued; Leroy, Olivier; Gérôme, Frédéric; Benabid, Fetah

    2016-05-15

    We report on a new and highly compact scheme for the generation and sustainment of microwave-driven plasmas inside the core of an inhibited coupling Kagome hollow-core photonic crystal fiber. The microwave plasma generator consists of a split-ring resonator that efficiently couples the microwave field into the gas-filled fiber. This coupling induces the concomitant generation of a microwave surface wave at the fiber core surround and a stable plasma column confined in the fiber core. The scheme allowed the generation of several centimeters long argon microplasma columns with a very low excitation power threshold. This result represents an important step toward highly compact plasma lasers or plasma-based photonic components.

  19. High-efficiency surface plasmonic polariton waveguides with enhanced low-frequency performance in microwave frequencies.

    PubMed

    Zhang, Dawei; Zhang, Kuang; Wu, Qun; Ding, Xumin; Sha, Xuejun

    2017-02-06

    In this paper, a planar waveguide based on spoof surface plasmon polaritons (SSPPs) with metals on both sides of the corrugated strip as grounds is firstly proposed in microwave region. Simple and efficient conversion between guided waves and SSPPs is realized by gradient corrugated strip with grounds on both sides. Compared with plasmonic waveguide with flaring ground [Laser Photonics Rev. 8, 146 (2014)], the addition of grounds suppresses the radiation loss effectively and improves the low-frequency performance with tighter field confinement, which leads to a wider operating bandwidth. Moreover, as the asymptotic frequency of SSPPs decreasing, the confinement of SSPPs is further enhanced by a defected ground structure (DGS), which is achieved by the periodic grooves symmetrical to those on the corrugated strip. Therefore, miniaturization of the proposed waveguide can be realized. Measured results validate both high efficiency of momentum and impedance matching and enhanced performance in the region of lower frequencies with the wave vectors close to those in free space. Such results have significant values in plasmonic functional devices and integrated circuits in microwave frequencies.

  20. 'GREENER' CHEMICAL SYNTHESIS USING MICROWAVE IRRADIATION

    EPA Science Inventory

    A solvent-free approach that involves microwave (MW) exposure of neat reactants (undiluted) catalyzed by the surfaces of recyclable mineral supports such as alumina, silica, clay, or 'doped' surfaces is presented which is applicable to a wide range of cleavage, condensation, cycl...