Sample records for n-ions implanted gase

  1. Cd ion implantation in AlN

    NASA Astrophysics Data System (ADS)

    Miranda, S. M. C.; Franco, N.; Alves, E.; Lorenz, K.

    2012-10-01

    AlN thin films were implanted with cadmium, to fluences of 1 × 1013 and 8 × 1014 at/cm2. The implanted samples were annealed at 950 °C under flowing nitrogen. Although implantation damage in AlN is known to be extremely stable the crystal could be fully recovered at low fluences. At high fluences the implantation damage was only partially removed. Implantation defects cause an expansion of the c-lattice parameter. For the high fluence sample the lattice site location of the ions was studied by Rutherford Backscattering/Channelling Spectrometry. Cd ions are found to be incorporated in substitutional Al sites in the crystal and no significant diffusion is seen upon thermal annealing. The observed high solubility limit and site stability are prerequisite for using Cd as p-type dopant in AlN.

  2. N and Cr ion implantation of natural ruby surfaces and their characterization

    NASA Astrophysics Data System (ADS)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan; Magudapathy, P.; Panigrahi, B. K.; Nayak, B. B.; Mishra, B. K.

    2016-04-01

    Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV-Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 1017 N-ions/cm2 fluence, formation of colour centres (F+, F2, F2+ and F22+) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  3. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  4. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu

    2009-03-10

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Agmore » and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.« less

  5. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  6. Effects of vanadium ion implantation on microstructure, mechanical and tribological properties of TiN coatings

    NASA Astrophysics Data System (ADS)

    Deng, Bin; Tao, Ye; Guo, Deliang

    2012-09-01

    TiN coatings were deposited on the substrates of cemented carbide (WC-TiC-Co) by Magnetic Filter Arc Ion Plating (MFAIP) and then implanted with vanadium through Metal Vacuum Vapor Arc (MEVVA) ion source with the doses of 1 × 1017 and 5 × 1017 ions/cm2 at 40 kV. The microstructures and chemical compositions of the V-implanted TiN coatings were investigated using Glancing Incidence X-ray Diffraction (GIXRD) and X-ray Photoelectron Spectroscopy (XPS), together with the mechanical and tribological properties of coatings were characterized using nano-indentation and ball-on-disk tribometer. It was found that the diffraction peaks of the V-implanted TiN coatings at the doses of 5 × 1017 ions/cm2 shifted to higher angles and became broader. The hardness and elastic modulus of TiN coatings increased after V ion implantation. The wear mechanism for both un-implanted and V-implanted TiN coatings against GCr15 steel ball was adhesive wear, and the V-implanted TiN coatings had a lower friction coefficient as well as a better wear resistance

  7. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    NASA Astrophysics Data System (ADS)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  8. Quantitative secondary ion mass spectrometric analysis of secondary ion polarity in GaN films implanted with oxygen

    NASA Astrophysics Data System (ADS)

    Hashiguchi, Minako; Sakaguchi, Isao; Adachi, Yutaka; Ohashi, Naoki

    2016-10-01

    Quantitative analyses of N and O ions in GaN thin films implanted with oxygen ions (16O+) were conducted by secondary ion mass spectrometry (SIMS). Positive (CsM+) and negative secondary ions extracted by Cs+ primary ion bombardment were analyzed for oxygen quantitative analysis. The oxygen depth profiles were obtained using two types of primary ion beams: a Gaussian-type beam and a broad spot beam. The oxygen peak concentrations in GaN samples were from 3.2 × 1019 to 7.0 × 1021 atoms/cm3. The depth profiles show equivalent depth resolutions in the two analyses. The intensity of negative oxygen ions was approximately two orders of magnitude higher than that of positive ions. In contrast, the O/N intensity ratio measured using CsM+ molecular ions was close to the calculated atomic density ratio, indicating that the SIMS depth profiling using CsM+ ions is much more effective for the measurements of O and N ions in heavy O-implanted GaN than that using negative ions.

  9. Lattice disorder produced in GaN by He-ion implantation

    NASA Astrophysics Data System (ADS)

    Han, Yi; Peng, Jinxin; Li, Bingsheng; Wang, Zhiguang; Wei, Kongfang; Shen, Tielong; Sun, Jianrong; Zhang, Limin; Yao, Cunfeng; Gao, Ning; Gao, Xing; Pang, Lilong; Zhu, Yabin; Chang, Hailong; Cui, Minghuan; Luo, Peng; Sheng, Yanbin; Zhang, Hongpeng; Zhang, Li; Fang, Xuesong; Zhao, Sixiang; Jin, Jin; Huang, Yuxuan; Liu, Chao; Tai, Pengfei; Wang, Dong; He, Wenhao

    2017-09-01

    The lattice disorders induced by He-ion implantation in GaN epitaxial films to fluences of 2 × 1016, 5 × 1016 and 1 × 1017 cm-2 at room temperature (RT) have been investigated by a combination of Raman spectroscopy, high-resolution X-ray diffraction (HRXRD), nano-indentation, and transmission electron microscopy (TEM). The experimental results present that Raman intensity decreases with increasing fluence. Raman frequency "red shift" occurs after He-ion implantation. Strain increases with increasing fluence. The hardness of the highly damaged layer increases monotonically with increasing fluence. Microstructural results demonstrate that the width of the damage band and the number density of observed dislocation loops increases with increasing fluence. High-resolution TEM images exhibit that He-ion implantation lead to the formation of planar defects and most of the lattice defects are of interstitial-type basal loops. The relationships of Raman intensity, lattice strain, swelling and hardness with He-implantation-induced lattice disorders are discussed.

  10. Characterisation of Cs ion implanted GaN by DLTS

    NASA Astrophysics Data System (ADS)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  11. Structural and optical properties of vanadium ion-implanted GaN

    NASA Astrophysics Data System (ADS)

    Macková, A.; Malinský, P.; Jagerová, A.; Sofer, Z.; Klímová, K.; Sedmidubský, D.; Mikulics, M.; Lorinčík, J.; Veselá, D.; Böttger, R.; Akhmadaliev, S.

    2017-09-01

    The field of advanced electronic and optical devices searches for a new generation of transistors and lasers. The practical development of these novel devices depends on the availability of materials with the appropriate magnetic and optical properties, which is strongly connected to the internal morphology and the structural properties of the prepared doped structures. In this contribution, we present the characterisation of V ion-doped GaN epitaxial layers. GaN layers, oriented along the (0 0 0 1) crystallographic direction, grown by low-pressure metal-organic vapour-phase epitaxy (MOVPE) on c-plane sapphire substrates were implanted with 400 keV V+ ions at fluences of 5 × 1015 and 5 × 1016 cm-2. Elemental depth profiling was accomplished by Rutherford Backscattering Spectrometry (RBS) and Secondary Ion Mass Spectrometry (SIMS) to obtain precise information about the dopant distribution. Structural investigations are needed to understand the influence of defect distribution on the crystal-matrix recovery and the desired structural and optical properties. The structural properties of the ion-implanted layers were characterised by RBS-channelling and Raman spectroscopy to get a comprehensive insight into the structural modification of implanted GaN and to study the influence of subsequent annealing on the crystalline matrix reconstruction. Photoluminescence measurement was carried out to check the optical properties of the prepared structures.

  12. N doped ZnO and ZnO nanorods based p-n homojunction fabricated by ion implantation

    NASA Astrophysics Data System (ADS)

    Chakraborty, Mohua; Thangavel, R.; Asokan, K.

    2018-05-01

    Nitrogen (N) doped and undoped Zinc Oxide (ZnO) nanorod p-n homojunctions were fabricated by ion implantation method. The structural and optical characterizations showed that the N atoms doped into the ZnO crystal lattice. The UV-Vis absorption spectra revealed shift in optical absorption edge towards higher wavelength with ion implantation on ZnO, which attributed N acceptor levels above the valence band. The current-voltage (I-V) measurements exhibit a typical semiconductor rectification characteristic indicating the electrical conductivity of the N-doped ZnO nanorod have p-type conductivity. Moreover, a high photocurrent response has been observed with these p-n homojunctions.

  13. P-type doping of GaN(000\\bar{1}) by magnesium ion implantation

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Kachi, Tetsu; Kataoka, Keita; Uesugi, Tsutomu

    2017-01-01

    Magnesium ion implantation has been performed on a GaN(000\\bar{1}) substrate, whose surface has a high thermal stability, thus allowing postimplantation annealing without the use of a protective layer. The current-voltage characteristics of p-n diodes fabricated on GaN(000\\bar{1}) showed distinct rectification at a turn-on voltage of about 3 V, although the leakage current varied widely among the diodes. Coimplantation with magnesium and hydrogen ions effectively suppressed the leakage currents and device-to-device variations. In addition, an electroluminescence band was observed at wavelengths shorter than 450 nm for these diodes. These results provide strong evidence that implanted magnesium ions create acceptors in GaN(000\\bar{1}).

  14. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  15. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    NASA Astrophysics Data System (ADS)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  16. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    PubMed

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  17. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    NASA Astrophysics Data System (ADS)

    Ward, L. P.; Purushotham, K. P.; Manory, R. R.

    2016-02-01

    Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 1016 ions cm-2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti2N phase was observed with Cr implantation.

  18. Ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1991-01-01

    A Planar-Buried-Heterostructure, Graded-Index, Separate-Confinement-Heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding layer 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an ion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  19. Gettering of Residual Impurities by Ion Implantation Damage in Poly-AlN UV Diode Detectors

    NASA Astrophysics Data System (ADS)

    Khan, A. H.; Stacy, T.; Meese, J. M.

    1996-03-01

    UV diode detectors have been fabricated from oriented polycrystalline AlN grown on (111) n-type 3-15Ω-cm Si substrates by CVD using AlCl3 and ammonia with a hydrogen carrier gas at 760-800C, 40-45 torr and gas flow rates of 350, 120, and 120 sccm for hydrogen, ammonia and hydrogen over heated AlCl_3. Half of the AlN film of thickness 1.5-2.0 microns was masked off prior to ion implantation. Samples were ion-implanted at 5 kV with methane, nitrogen and argon to a dose of 5-6 x 10^18 ions/cm^2. The AlN was contacted with sputtered Au while the Si was contacted with evaporated Al. No annealing was performed. Rectification was obtained as a result of radiation damage in the AlN. SIMs analysis showed a reduction of oxygen, hydrogen, chlorine and carbon by several orders of magnitude and to a depth of several microns in the ion implanted samples compared to the masked samples. The quantum efficiency was 16nm uncorrected for reflection from the AlN and thin metal contact.

  20. Optical properties of P ion implanted ZnO

    NASA Astrophysics Data System (ADS)

    Pong, Bao-Jen; Chou, Bo-Wei; Pan, Ching-Jen; Tsao, Fu-Chun; Chi, Gou-Chung

    2006-02-01

    Red and green emissions are observed from P ion implanted ZnO. Red emission at ~680 nm (1.82 eV) is associated with the donor-acceptor pair (DAP) transition, where the corresponding donor and acceptor are interstitial zinc (Zn i) and interstitial oxygen (O i), respectively. Green emission at ~ 516 nm (2.40 eV) is associated with the transition between the conduction band and antisite oxygen (O Zn). Green emission at ~516nm (2.403 eV) was observed for ZnO annealed at 800 oC under ambient oxygen, whereas, it was not visible when it was annealed in ambient nitrogen. Hence, the green emission is most likely not related to oxygen vacancies on ZnO sample, which might be related to the cleanliness of ZnO surface, a detailed study is in progress. The observed micro-strain is larger for N ion implanted ZnO than that for P ion implanted ZnO. It is attributed to the larger straggle of N ion implanted ZnO than that of P ion implanted ZnO. Similar phenomenon is also observed in Be and Mg ion implanted GaN.

  1. Development of ion implanted gallium arsenide transistors

    NASA Technical Reports Server (NTRS)

    Hunsperger, R.; Baron, R.

    1972-01-01

    Techniques were developed for creating bipolar microwave transistors in GaAs by ion implantation doping. The electrical properties of doped layers produced by the implantation of the light ions Be, Mg, and S were studied. Be, Mg, and S are suitable for forming the relatively deep base-collector junction at low ion energies. The electrical characteristics of ion-implanted diodes of both the mesa and planar types were determined. Some n-p-n planar transistor structures were fabricated by implantation of Mg to form the base regions and Si to form the emitters. These devices were found to have reasonably good base-collector and emitter-base junctions, but the current gain beta was small. The low was attributable to radiative recombination in the base region, which was extremely wide.

  2. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  3. Method for ion implantation induced embedded particle formation via reduction

    DOEpatents

    Hampikian, Janet M; Hunt, Eden M

    2001-01-01

    A method for ion implantation induced embedded particle formation via reduction with the steps of ion implantation with an ion/element that will chemically reduce the chosen substrate material, implantation of the ion/element to a sufficient concentration and at a sufficient energy for particle formation, and control of the temperature of the substrate during implantation. A preferred embodiment includes the formation of particles which are nano-dimensional (<100 m-n in size). The phase of the particles may be affected by control of the substrate temperature during and/or after the ion implantation process.

  4. Ion-implanted epitaxially grown ZnSe

    NASA Technical Reports Server (NTRS)

    Chernow, F.

    1975-01-01

    The use of ZnSe to obtain efficient, short wavelength injection luminescence was investigated. It was proposed that shorter wavelength emission and higher efficiency be achieved by employing a p-i-n diode structure rather than the normal p-n diode structure. The intervening i layer minimizes concentration quenching effects and the donor-acceptor pair states leading to long wavelength emission. The surface p layer was formed by ion implantation; implantation of the i layer rather than the n substrate permits higher, uncompensated p-type doping. An ion implanted p-n junction in ZnSe is efficiency-limited by high electron injection terminating in nonradiative recombination at the front surface, and by low hole injection resulting from the inability to obtain high conductivity p-type surface layers. While the injection ratio in p-n junctions was determined by the radio of majority carrier concentrations, the injection ratio in p-i-n structures was determined by the mobility ratios and/or space charge neutrality requirements in the i layer.

  5. Ion beam sputter etching of orthopedic implanted alloy MP35N and resulting effects on fatigue

    NASA Technical Reports Server (NTRS)

    Wintucky, E. G.; Christopher, M.; Bahnuik, E.; Wang, S.

    1981-01-01

    The effects of two types of argon ion sputter etched surface structures on the tensile stress fatigue properties of orthopedic implant alloy MP35N were investigated. One surface structure was a natural texture resulting from direct bombardment by 1 keV argon ions. The other structure was a pattern of square holes milled into the surface by a 1 keV argon ion beam through a Ni screen mask. The etched surfaces were subjected to tensile stress only in fatigue tests designed to simulate the cyclic load conditions experienced by the stems of artificial hip joint implants. Both types of sputter etched surface structures were found to reduce the fatigue strength below that of smooth surface MP35N.

  6. Transmission of cluster ions through a tandem accelerator of several stripper gases.

    PubMed

    Saitoh, Yuichi; Chiba, Atsuya; Narumi, Kazumasa

    2009-10-01

    The transmissions of carbon cluster ion beams through a tandem accelerator using several stripper gases (He, N2, CO2, and SF6) with a terminal voltage of 2.5 MV were measured as a function of the gas pressure in investigating the most suitable gas for cluster ion acceleration. This resulted in it being demonstrated that the highest transmission could be obtained using the smaller size gas, i.e., helium displayed the best performance of the four gases used. In addition, the ratio of transmissions of C(n) with helium and nitrogen increased with increases in the n, thus revealing that helium gas should prove the most effective in larger cluster ion acceleration using the same energy.

  7. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    NASA Astrophysics Data System (ADS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  8. Optical characterization of poly(methyl methacrylate) implanted with low energy ions

    NASA Astrophysics Data System (ADS)

    Gupta, Renu; Kumar, Vijay; Goyal, Parveen Kumar; Kumar, Shyam

    2012-12-01

    The samples of poly(methyl methacrylate) (PMMA) were subjected to 100 keV N+ and Ar+ ion implantation up to a maximum fluence of 2 × 1016 ions/cm2. The effect of ion implantation on the optical energy gap and the refractive index has been studied through UV-visible spectroscopy. The results clearly indicate a decrease in the values of optical energy gap and an increase in the values of refractive index as an effect of ion implantation corresponding to both of the ions. It has also been observed that the changes induced by the implanted ions are more pronounced for N+ ions in comparison to Ar+ ions. This variation has been correlated with the calculated ranges of these ions in PMMA polymer using Stopping and Range of Ions in Matter (SRIM) code. Finally, an attempt has been made to correlate all the observed changes with the induced structural changes as revealed through Raman spectroscopy.

  9. On-chip very low junction temperature GaN-based light emitting diodes by selective ion implantation

    NASA Astrophysics Data System (ADS)

    Cheng, Yun-Wei; Chen, Hung-Hsien; Ke, Min-Yung; Chen, Cheng-Pin; Huang, JianJang

    2008-08-01

    We propose an on-wafer heat relaxation technology by selectively ion-implanted in part of the p-type GaN to decrease the junction temperature in the LED structure. The Si dopant implantation energy and concentration are characterized to exhibit peak carrier density 1×1018 cm-3 at the depth of 137.6 nm after activation in nitrogen ambient at 750 °C for 30 minutes. The implantation schedule is designed to neutralize the selected region or to create a reverse p-n diode in the p-GaN layer, which acts as the cold zone for heat dissipation. The cold zone with lower effective carrier concentration and thus higher resistance is able to divert the current path. Therefore, the electrical power consumption through the cold zone was reduced, resulting in less optical power emission from the quantum well under the cold zone. Using the diode forward voltage method to extract junction temperature, when the injection current increases from 10 to 60 mA, the junction temperature of the ion-implanted LED increases from 34.3 °C to 42.3 °C, while that of the conventional one rises from 30.3 °C to 63.6 °C. At 100 mA, the output power of the ion-implanted device is 6.09 % higher than that of the conventional device. The slight increase of optical power is due to the increase of current density outside the cold zone region of the implanted device and reduced junction temperature. The result indicates that our approach improves thermal dissipation and meanwhile maintains the linearity of L-I curves.

  10. Transmission of cluster ions through a tandem accelerator of several stripper gases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saitoh, Yuichi; Chiba, Atsuya; Narumi, Kazumasa

    2009-10-15

    The transmissions of carbon cluster ion beams through a tandem accelerator using several stripper gases (He, N{sub 2}, CO{sub 2}, and SF{sub 6}) with a terminal voltage of 2.5 MV were measured as a function of the gas pressure in investigating the most suitable gas for cluster ion acceleration. This resulted in it being demonstrated that the highest transmission could be obtained using the smaller size gas, i.e., helium displayed the best performance of the four gases used. In addition, the ratio of transmissions of C{sub n} with helium and nitrogen increased with increases in the n, thus revealing thatmore » helium gas should prove the most effective in larger cluster ion acceleration using the same energy.« less

  11. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    NASA Astrophysics Data System (ADS)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  12. Radiation Damage Formation And Annealing In Mg-Implanted GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whelan, Sean; Kelly, Michael J.; Yan, John

    2005-06-30

    We have implanted GaN with Mg ions over an energy range of 200keV to 1MeV at substrate temperatures of -150 (cold) and +300 deg. C (hot). The radiation damage formation in GaN was increased for cold implants when compared to samples implanted at elevated temperatures. The increase in damage formation is due to a reduction in the dynamic defect annealing during ion irradiation. The dopant stopping in the solid also depends upon the implant temperature. For a fixed implant energy and dose, Mg ions have a shorter range in GaN for cold implants when compared to hot implants which ismore » caused by the increase in scattering centres (disorder)« less

  13. A simple ion implanter for material modifications in agriculture and gemmology

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  14. Passivation of uranium towards air corrosion by N 2+ and C + ion implantation

    NASA Astrophysics Data System (ADS)

    Arkush, R.; Mintz, M. H.; Shamir, N.

    2000-10-01

    The passivation of uranium surfaces against air corrosion, by ion implantation processes was studied, using surface analysis methods. Implanting 45 keV N +2 and C + ions produces thin modified surface layers with gradual gradients of the corresponding compounds (i.e., nitrides and carbides, respectively), which avoid the formation of discontinuous interfaces typical to coatings. Such gradual interfaces impart excellent mechanical stability and adhesion to the modified layers, in spite of the large misfit between the metal substrate and the implantation on induced compounds. It turns out that these layers provide an almost absolute protection against air corrosion. A rapid initial stage of oxidation of the modified surface layers takes place, forming very thin protective oxidation zones (1-4 nm thick), which practically stop further air oxidation for years. The mechanism of the initial oxidation stage of the modified layers seems to vary with the type of surface (i.e., either nitrides or carbides). However, in any case the protection ability of the formed oxidation products is excellent, probably due to the close match between these compounds and the underlying nitrides or carbides.

  15. Implantation of sodium ions into germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Korol', V. M., E-mail: vkorol@ctsnet.ru; Kudriavtsev, Yu.

    The donor properties of Na atoms introduced by ion implantation into p-Ge with the resistivity 20-40 {Omega} cm are established for the first time. Na profiles implanted into Ge (the energies 70 and 77 keV and the doses (0.8, 3, 30) Multiplication-Sign 10{sup 14} cm{sup -2}) are studied. The doses and annealing temperatures at which the thermoprobe detects n-type conductivity on the sample surface are established. After implantation, the profiles exhibit an extended tail. The depth of the concentration maximum is in good agreement with the calculated mean projected range of Na ions R{sub p}. Annealing for 30 min atmore » temperatures of 250-700 Degree-Sign C brings about a redistribution of Na atoms with the formation of segregation peaks at a depth, which is dependent on the ion dose, and is accompanied by the diffusion of Na atoms to the surface with subsequent evaporation. After annealing at 700 Degree-Sign C less than 7% of the implanted ions remain in the matrix. The shape of the profile tail portions measured after annealing at temperatures 300-400 Degree-Sign C is indicative of the diffusion of a small fraction of Na atoms into the depth of the sample.« less

  16. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    Successful n- and p-doping of GaN is an extremely important technological problem. More recently, ion implantation has been used to achieve both n- and p-type GaN. The ion implantation process is accompanied by the presence of radiation defects as the result of the ion-solid interactions. The temperatures (above 1000°C) required for recovery of the implantation induced damage and dopant activation strongly affect the GaN's surface integrity due to the significant nitrogen vapor pressure. Preservation of the surface integrity of GaN during high temperature post-implantation annealing is one of the key issues in the fabrication of GaN-based light-emitting devices. The radiation damage build-up in the implanted GaN layers has been investigated as a function of ion dose and the substrate's temperature. Results of measurements of structural damage by the Rutherford backscattering/Channeling (RBS/C) and the spectroscopic ellipsometry (SE) techniques have demonstrated the complex nature of the damage build-up. Analysis of GaN implanted at high temperature has demonstrated the presence of competing processes of layer-by-layer damage build-up and defect annihilation. Using a capping layer and annealing in a sealed quartz capsule filled with dry nitrogen can preserve the integrity of the GaN's surface. In this work the ion-implanted GaN samples were capped with 40 run MOCVD (Metal Organic Chemical Vapor Deposition) grown AlN film prior to annealing. The results of this work showed the advantage of high-temperature annealing of implanted GaN in a quartz capsule with nitrogen ambient, as compared with annealing in argon and nitrogen gas flow. Partial to complete decomposition of the AlN cap and underlying GaN has been observed by RBS/C and SEM (Scanning electron microscopy) for the samples annealed in flowing argon, as well as for the samples processed in flowing nitrogen. Encapsulation with nitrogen overpressure prevented the decomposition of the AlN capping film and the GaN

  17. Microstructural and opto-electrical properties of chromium nitride films implanted with vanadium ions

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Traverse, A.; Popović, M.; Lieb, K. P.; Zhang, K.; Bibić, N.

    2012-07-01

    We report on modifications of 280-nm thin polycrystalline CrN layers caused by vanadium ion implantation. The CrN layers were deposited at 150°C by d.c. reactive sputtering on Si(100) wafers and then implanted at room temperature with 80-keV V+ ions to fluences of 1×1017 and 2×1017 ions/cm2. Rutherford backscattering spectroscopy, cross-sectional transmission electron microscopy, and X-ray diffraction were used to characterize changes in the structural properties of the films. Their optical and electrical properties were analyzed by infrared spectroscopy in reflection mode and electrical resistivity measurements. CrN was found to keep its cubic structure under the conditions of vanadium ion implantation used here. The initially partially non-metallic CrN layer displays metallic character under implantation, which may be related to the possible formation of Cr1-x V x N.

  18. Detection of deep-level defects and reduced carrier concentration in Mg-ion-implanted GaN before high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Akazawa, Masamichi; Yokota, Naoshige; Uetake, Kei

    2018-02-01

    We report experimental results for the detection of deep-level defects in GaN after Mg ion implantation before high-temperature annealing. The n-type GaN samples were grown on GaN free-standing substrates by metalorganic vapor phase epitaxy. Mg ions were implanted at 50 keV with a small dosage of 1.5×1011 cm-2, which did not change the conduction type of the n-GaN. By depositing Al2O3 and a Ni/Au electrode onto the implanted n-GaN, metal-oxide-semiconductor (MOS) diodes were fabricated and tested. The measured capacitance-voltage (C-V) characteristics showed a particular behavior with a plateau region and a region with an anomalously steep slope. Fitting to the experimental C-V curves by simulation showed the existence of deep-level defects and a reduction of the carrier concentration near the GaN surface. By annealing at 800oC, the density of the deep-level defects was reduced and the carrier concentration partially recovered.

  19. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation

    PubMed Central

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F.; Wang, Jian-Ping

    2016-01-01

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 107 erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25–30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 1017/cm2. PMID:27145983

  20. Synthesis of Fe16N2 compound Free-Standing Foils with 20 MGOe Magnetic Energy Product by Nitrogen Ion-Implantation.

    PubMed

    Jiang, Yanfeng; Mehedi, Md Al; Fu, Engang; Wang, Yongqiang; Allard, Lawrence F; Wang, Jian-Ping

    2016-05-05

    Rare-earth-free magnets are highly demanded by clean and renewable energy industries because of the supply constraints and environmental issues. A promising permanent magnet should possess high remanent magnetic flux density (Br), large coercivity (Hc) and hence large maximum magnetic energy product ((BH)max). Fe16N2 has been emerging as one of promising candidates because of the redundancy of Fe and N on the earth, its large magnetocrystalline anisotropy (Ku > 1.0 × 10(7) erg/cc), and large saturation magnetization (4πMs > 2.4 T). However, there is no report on the formation of Fe16N2 magnet with high Br and large Hc in bulk format before. In this paper, we successfully synthesize free-standing Fe16N2 foils with a coercivity of up to 1910 Oe and a magnetic energy product of up to 20 MGOe at room temperature. Nitrogen ion implantation is used as an alternative nitriding approach with the benefit of tunable implantation energy and fluence. An integrated synthesis technique is developed, including a direct foil-substrate bonding step, an ion implantation step and a two-step post-annealing process. With the tunable capability of the ion implantation fluence and energy, a microstructure with grain size 25-30 nm is constructed on the FeN foil sample with the implantation fluence of 5 × 10(17)/cm(2).

  1. Method of making an ion-implanted planar-buried-heterostructure diode laser

    DOEpatents

    Brennan, Thomas M.; Hammons, Burrell E.; Myers, David R.; Vawter, Gregory A.

    1992-01-01

    Planar-buried-heterostructure, graded-index, separate-confinement-heterostructure semiconductor diode laser 10 includes a single quantum well or multi-quantum well active stripe 12 disposed between a p-type compositionally graded Group III-V cladding lever 14 and an n-type compositionally graded Group III-V cladding layer 16. The laser 10 includes an iion implanted n-type region 28 within the p-type cladding layer 14 and further includes an ion implanted p-type region 26 within the n-type cladding layer 16. The ion implanted regions are disposed for defining a lateral extent of the active stripe.

  2. Evaluation of lattice displacement in Mg - Implanted GaN by Rutherford backscattering spectroscopy

    NASA Astrophysics Data System (ADS)

    Nishikata, N.; Kushida, K.; Nishimura, T.; Mishima, T.; Kuriyama, K.; Nakamura, T.

    2017-10-01

    Evaluation of lattice displacement in Mg-ion implanted GaN is studied by combining elastic recoil detection analysis (ERDA), Rutherford backscattering spectroscopy (RBS) and Photoluminescence (PL) measurements. Mg-ion implantation into GaN single crystal wafer is performed with energies of 30 keV (ion fluence; 3.5 × 1014 cm-2) and 60 keV (6.5 × 1014 cm-2) at room temperature. The ERDA measurements using the 1.5 MeV helium beam can evaluate hydrogen from the surface to ∼300 nm. The hydrogen concentration for un-implanted and as-implanted GaN is 3.1 × 1014 cm-2 and 6.1 × 1014 cm-2 at around 265 nm in depth. χmin (the ratio of aligned and random yields) near the surface of the 〈0 0 0 1〉 direction for Ga is 1.61% for un-implanted and 2.51% for Mg-ion implanted samples. On the other hand, the value of χmin for N is 10.08% for un-implanted and 11.20% for Mg-ion implanted samples. The displacement concentration of Ga and N estimated from these χmin values is 4.01 × 1020 cm-3 and 5.46 × 1020 cm-3, respectively. This suggests that Ga vacancy (VGa), N vacancy (VN), Ga interstitial (Gai), and N interstitial (Ni) is introduced in Mg-ion implanted GaN. A strong emission at around 400 nm in as-implanted GaN is related to a VN donor and some acceptor pairs. It is suggested that the origin of the very high resistivity after the Mg-ion implantation is attributed to the carrier compensation effect due to the deep level of Ni as a non-radiative center.

  3. Oxygen-related vacancy-type defects in ion-implanted silicon

    NASA Astrophysics Data System (ADS)

    Pi, X. D.; Burrows, C. P.; Coleman, P. G.; Gwilliam, R. M.; Sealy, B. J.

    2003-10-01

    Czochralski silicon samples implanted to a dose of 5 × 1015 cm-2 with 0.5 MeV O and to a dose of 1016 cm-2 with 1 MeV Si, respectively, have been studied by positron annihilation spectroscopy. The evolution of divacancies to vacancy (V)-O complexes is out-competed by V-interstitial (I) recombination at 400 and 500 °C in the Si- and O-implanted samples; the higher oxygen concentration makes the latter temperature higher. The defective region shrinks as the annealing temperature increases as interstitials are injected from the end of the implantation range (Rp). VmOn (m> n) are formed in the shallow region most effectively at 700 °C for both Si and O implantation. VxOy (x< y) are produced near Rp by the annealing. At 800 °C, implanted Si ions diffuse and reduce m and implanted O ions diffuse and increase n in VmOn. All oxygen-related vacancy-type defects appear to begin to dissociate at 950 °C, with the probable formation of oxygen clusters. At 1100 °C, oxygen precipitates appear to form just before Rp in O-implanted silicon.

  4. Nanostructured multielement (TiHfZrNbVTa)N coatings before and after implantation of N+ ions (1018 cm-2): Their structure and mechanical properties

    NASA Astrophysics Data System (ADS)

    Pogrebnjak, A. D.; Bondar, O. V.; Borba, S. O.; Abadias, G.; Konarski, P.; Plotnikov, S. V.; Beresnev, V. M.; Kassenova, L. G.; Drodziel, P.

    2016-10-01

    Multielement high entropy alloy (HEA) nitride (TiHfZrNbVTa)N coatings were deposited by vacuum arc and their structural and mechanical stability after implantation of high doses of N+ ions, 1018 cm-2, were investigated. The crystal structure and phase composition were characterized by X-ray diffraction (XRD) and Transmission Electron Microscopy, while depth-resolved nanoindentation tests were used to determine the evolution of hardness and elastic modulus along the implantation depth. XRD patterns show that coatings exhibit a main phase with fcc structure, which preferred orientation varies from (1 1 1) to (2 0 0), depending on the deposition conditions. First-principles calculations reveal that the presence of Nb atoms could favor the formation of solid solution with fcc structure in multielement HEA nitride. TEM results showed that amorphous and nanostructured phases were formed in the implanted coating sub-surface layer (∼100 nm depth). Concentration of nitrogen reached 90 at% in the near-surface layer after implantation, and decreased at higher depth. Nanohardness of the as-deposited coatings varied from 27 to 38 GPa depending on the deposition conditions. Ion implantation led to a significant decrease of the nanohardness to 12 GPa in the implanted region, while it reaches 24 GPa at larger depths. However, the H/E ratio is ⩾0.1 in the sub-surface layer due to N+ implantation, which is expected to have beneficial effect on the wear properties.

  5. Tribological characteristics of nitrogen (N+) implanted iron

    NASA Technical Reports Server (NTRS)

    Jones, W. R.; Ferrante, J.

    1982-01-01

    The effect of implantation of nitrogen ions (1.5 MeV) on the friction and wear characteristics of pure ion sliding against M-50 steel (unimplanted) was studied in a pin-on-disk sliding friction apparatus. Test conditions included room temperature (25 C), a dry air atmosphere, a load of 1/2 kg (4.9 N), sliding velocities of 0.043 to 0.078 m/sec (15 to 25 rpm), a pure hydrocarbon lubricant (n-hexadecane), or a U.S.P. mineral oil and nitrogen ion implantation doses of 5x10 to the 15th power and 5x10 to the 17th power ions/sq cm. No differences in wear rates were observed in the low dose experiments. In the high dose experiments, small reductions in initial (40 percent) and steady state (20 percent) wear rates were observed for nitrogen implanted iron riders as compared with unimplanted controls. No differences in average friction coefficients were noted for either dose. Auger electron spectroscopy combined with argon ion bombardment revealed a subsurface Gaussian nitrogen distribution with a maximum concentration of 6 atomic percent at a depth of 0.8 microns. Similar analysis within the wear scar of an implanted rider after 20 microns of wear yielded only background nitrogen concentration. No inward migration of nitrogen ions was observed.

  6. A study of the electrical properties of p-n junctions formed by ion-implantation into gallium arsenide

    NASA Technical Reports Server (NTRS)

    Lin, A. H.

    1972-01-01

    In the process of ion implantation, ion beams bombard the surface and create undesirable surface effects. The surface effects were investigated, and surface leakage currents were shown to be reduced by surface treatment. I-V characteristics and C-V measurements were obtained for the Zn-GaAs and Zn-(In,Ga)As junction is considered as a p-i-n heterojunction, without generation-recombination current. The Zn-GaAs junction is considered as a p-n homojunction with appreciable generation-recombination currents.

  7. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    NASA Astrophysics Data System (ADS)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  8. Compositional, structural, and optical changes of polyimide implanted by 1.0 MeV Ni+ ions

    NASA Astrophysics Data System (ADS)

    Mikšová, R.; Macková, A.; Pupikova, H.; Malinský, P.; Slepička, P.; Švorčík, V.

    2017-09-01

    The ion irradiation leads to deep structural and compositional changes in the irradiated polymers. Ni+ ions implanted polymers were investigated from the structural and compositional changes point of view and their optical properties were investigated. Polyimide (PI) foils were implanted with 1.0 MeV Ni+ ions at room temperature with fluencies of 1.0 × 1013-1.0 × 1015 cm-2 and two different ion implantation currents densities (3.5 and 7.2 nA/cm2). Rutherford Back-Scattering (RBS) and Elastic Recoil Detection Analysis (ERDA) were used for determination of oxygen and hydrogen escape in implanted PI. Atomic Force Microscopy (AFM) was used to follow surface roughness modification after the ion implantation and UV-Vis spectroscopy was employed to check the optical properties of the implanted PI. The implanted PI structural changes were analysed using Attenuated Total Reflection Fourier Transform Infrared Spectroscopy (ATR-FTIR). High energy Ni-ion implantation causes only a minor release of hydrogen and oxygen close to the polymer sub-surface region in about 60 nm thick layer penetrated by the ion beam; especially at ion fluencies below 1.0 × 1014 cm-2. The mostly pronounced structural changes of the Ni implanted PI were found for the samples implanted above ion fluence 1.0 × 1015 cm-2 and at the ion current density 7.2 nA/cm2, where the optical band gap significantly decreases and the reduction of more complex structural unit of PI monomer was observed.

  9. Homojunction silicon solar cells doping by ion implantation

    NASA Astrophysics Data System (ADS)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  10. Ion implantation of indium gallium arsenide

    NASA Astrophysics Data System (ADS)

    Almonte, Marlene Isabel

    The ternary compound In0.53Ga0.47As, lattice-matched to Inp, is a semiconductor alloy of technological importance for numerous electronic and optoelectronic device applications. One of these applications includes photodiodes to be developed for the 1.3--1.55 mum wavelength range where silica fibers have their lowest optical loss. With a rapid increase in its use there is an essential need to understand the effects of ion implantation of this alloy semiconductor for implant isolation purposes in which highly resistive layers are required. Due to the small band gap (0.75 eV at 300K) of In0.53Ga0.47As, the estimated maximum resistivity is of the order of 1000 O-cm. Implant isolation can be achieved by the implantation of either inert noble gas ions or electrically active ions. Ion bombardment with inert species introduces defects which trap charge carriers. In the case of implant isolation by electrically active ions, the implanted impurities form an electronic level located close to the middle of the bandgap. Studies of the effects of implantation in In0.53Ga0.47 As due to damage by implantation of Ne+ ions and to compensation by implantation of Fe+ ions are reported in this thesis. The former only involves lattice damage related effects while the latter leads to damage and dopant induced compensation. From the Ne+ implantation results it appears that the damage related energy levels in In0.53 Ga0.47M produced by ion bombardment of chemically inactive species, are not sufficiently deep to lead to effective isolation. A higher resistivity of the order of 770 O-cm is achieved with Fe+ implantation, indicating that Fe introduces an energy level deep in the bandgap. The changes in the electrical properties of the layers are correlated to the lattice damage (damage induced effects) and/or the diffusion of the compensating dopants (dopant induced compensation). Structural characterization of the layers is performed with channeling Rutherford Backscattering Spectrometry

  11. Upconversion luminescence from Er-N codoped of ZnO nanowires prepared by ion implantation method

    NASA Astrophysics Data System (ADS)

    Zhong, Kun; Xu, Jie; Su, Jing; Chen, Yu lin

    2011-02-01

    Nitrogen and erbium co-doped of ZnO nanowires (NWs) are fabricated by ion implantation and subsequent annealing in air. The incorporation of Er3+ and N+ ions is verified by energy dispersive X-ray spectroscopy (EDS) and Raman spectra. The samples exhibit upconversion photoluminescence around ∼550 nm and ∼660 nm under an excitation at 980 nm. It is discovered that the N-doped can drastically increase the upconversion photoluminescence intensity by modifying the local structure around Er3+ in ZnO matrix. The enhancement of the PL intensity by the N-doped is caused by the formation of ErO6-xNx octahedron complexes. With the increase of the annealing temperature (Ta), the Er3+ ions diffuse towards the surface of the NWs, which benefits the red emission and evokes the variation of intensity ratio owing to the existence of some organic groups.

  12. Tailoring the structural and optical properties of TiN thin films by Ag ion implantation

    NASA Astrophysics Data System (ADS)

    Popović, M.; Novaković, M.; Rakočević, Z.; Bibić, N.

    2016-12-01

    Titanium nitride (TiN) thin films thickness of ∼260 nm prepared by dc reactive sputtering were irradiated with 200 keV silver (Ag) ions to the fluences ranging from 5 × 1015 ions/cm2 to 20 × 1015 ions/cm2. After implantation TiN layers were annealed 2 h at 700 °C in a vacuum. Ion irradiation-induced microstructural changes were examined by using Rutherford backscattering spectrometry, X-ray diffraction and transmission electron microscopy, while the surface topography was observed using atomic force microscopy. Spectroscopic ellipsometry was employed to get insights on the optical and electronic properties of TiN films with respect to their microstructure. The results showed that the irradiations lead to deformation of the lattice, increasing disorder and formation of new Ag phase. The optical results demonstrate the contribution of surface plasmon resonace (SPR) of Ag particles. SPR position shifted in the range of 354.3-476.9 nm when Ag ion fluence varied from 5 × 1015 ions/cm2 to 20 × 1015 ions/cm2. Shift in peak wavelength shows dependence on Ag particles concentration, suggesting that interaction between Ag particles dominate the surface plasmon resonance effect. Presence of Ag as second metal in the layer leads to overall decrease of optical resistivity of TiN.

  13. Evaluation of stabilization techniques for ion implant processing

    NASA Astrophysics Data System (ADS)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  14. Ion implantation in ices and its relevance to the icy moons of the external planets

    NASA Astrophysics Data System (ADS)

    Strazzulla, G.; Baratta, G. A.; Fulvio, D.; Garozzo, M.; Leto, G.; Palumbo, M. E.; Spinella, F.

    2007-08-01

    Solid, atmosphere-less objects in the Solar System are continuously irradiated by energetic ions mostly in the keV-MeV energy range. Being the penetration depth of the incoming ions usually much lower than the thickness of the target, they are stopped into the ice. They deposit energy in the target induce the breaking of molecular bonds. The recombination of fragments produce different molecules. Reactive ions (e.g., H, C, N, O, S) induce all of the effects of any other ion, but in addition have a chance, by implantation in the target, to form new species containing the projectile. An ongoing research program performed at our laboratory has the aim to investigate ion implantation of reactive ions in many relevant ice mixtures. The results obtained so far indicate that some molecular species observed on icy planetary surfaces could not be native of that object but formed by implantation of reactive ions. In particular we present data obtained after: • C, N and S implantation in water ice • H implantation in carbon and sulfur dioxide

  15. Multiple Ion Implantation Effects on Wear and Wet Ability of Polyethylene Based Polymers

    NASA Astrophysics Data System (ADS)

    Torrisi, L.; Visco, A. M.; Campo, N.

    2004-10-01

    Polyethylene based polymers were ion implanted with multiple irradiations of different ions (N+, Ar+ and Kr+) at energies between 30 keV and 300 keV and doses ranging between 1013 and 1016 ions/cm2. The ion implantation dehydrogenises the polyethylene inducing cross-link effects in the residual polymer carbons. At high doses the irradiated surface show properties similar to graphite surfaces. The depth of the modified layers depends on the ion range in polyethylene at the incident ion energy. The chemical modification depends on the implanted doses and on the specie of the incident ions. A "pin-on-disc" machine was employed to measure the polymer wear against AISI-316 L stainless steel. A "contact-angle-test" machine was employed to measure the wet ability of the polymer surface for 1 μl pure water drop. Measurements demonstrate that the multiple ion implantation treatments decrease the surface wear and the surface wetting and produce a more resistant polymer surface. The properties of the treated surfaces improves the polymer functionality for many bio-medical applications, such as those relative to the polyethylene friction discs employed in knee and hip prosthesis joints. The possibility to use multiply ion implantations of polymers with traditional ion implanters and with laser ion sources producing plasmas is investigated.

  16. Ion implanted dielectric elastomer circuits

    NASA Astrophysics Data System (ADS)

    O'Brien, Benjamin M.; Rosset, Samuel; Anderson, Iain A.; Shea, Herbert R.

    2013-06-01

    Starfish and octopuses control their infinite degree-of-freedom arms with panache—capabilities typical of nature where the distribution of reflex-like intelligence throughout soft muscular networks greatly outperforms anything hard, heavy, and man-made. Dielectric elastomer actuators show great promise for soft artificial muscle networks. One way to make them smart is with piezo-resistive Dielectric Elastomer Switches (DES) that can be combined with artificial muscles to create arbitrary digital logic circuits. Unfortunately there are currently no reliable materials or fabrication process. Thus devices typically fail within a few thousand cycles. As a first step in the search for better materials we present a preliminary exploration of piezo-resistors made with filtered cathodic vacuum arc metal ion implantation. DES were formed on polydimethylsiloxane silicone membranes out of ion implanted gold nano-clusters. We propose that there are four distinct regimes (high dose, above percolation, on percolation, low dose) in which gold ion implanted piezo-resistors can operate and present experimental results on implanted piezo-resistors switching high voltages as well as a simple artificial muscle inverter. While gold ion implanted DES are limited by high hysteresis and low sensitivity, they already show promise for a range of applications including hysteretic oscillators and soft generators. With improvements to implanter process control the promise of artificial muscle circuitry for soft smart actuator networks could become a reality.

  17. Mechanical properties of pulsed laser-deposited hydroxyapatite thin films implanted at high energy with N + and Ar + ions. Part II: nano-scratch tests with spherical tipped indenter

    NASA Astrophysics Data System (ADS)

    Pelletier, H.; Nelea, V.; Mille, P.; Muller, D.

    2004-02-01

    In this study we report a method to improve the adherence of hydroxyapatite (HA) thin films, using an ion beam implantation treatment. Crystalline HA films were grown by pulsed laser deposition technique (PLD), using an excimer KrF * laser. The films were deposited at room temperature in vacuum on Ti-5Al-2.5Fe alloy substrates previously coated with a ceramic TiN buffer layer and then annealed in ambient air at (500-600) °C. After deposition the films were implanted with N + and Ar + ions accelerated at high energy (1-1.5 MeV range) at a fixed dose of 10 16 cm -2. The intrinsic mechanical resistance and adherence to the TiN buffer layer of the implanted HA films have been evaluated by nano-scratch tests. We used for measurements a spherical indenter with a tip radius of 5 μm. Different scratch tests have been performed on implanted and unimplanted areas of films to put into evidence the effects of N + and Ar + ion implantation process on the films properties. Results show an enhancement of the dynamic mechanical properties in the implanted zones and influence of the nature of the implanted species. The best results are obtained for films implanted with nitrogen.

  18. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  19. Characterization of Defects in N-type 4H-SiC After High-Energy N Ion Implantation by RBS-Channeling and Raman Spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kummari, Venkata C.; Reinert, Tilo; Jiang, Weilin

    Implantation with 1 MeV N ions was performed at room temperature in n-type 4H-SiC(0001) to four implantation fluences (or doses in dpa (displacements per atom) at the damage peak) of 1.5×1013(0.0034), 7.8×1013(0.018), 1.5×1014(0.034), and 7.8×1014(0.18) ions/cm2, respectively. The evolution of disorder was studied using Rutherford backscattering spectrometry in channeling mode (RBS-C) and Raman spectroscopy. The disorder in the Si sub-lattice was found to be less than 10% for the dpa of 0.0034 and 0.0178 and increased to 40% and 60% for the dpa of 0.034 and 0.178 respectively. Raman Spectroscopy was performed using a green laser of wavelength 532 nmmore » as excitation source. The normalized Raman Intensity, In shows disorder of 41%, 69%, 77% and 100% for the dpa of 0.0034, 0.017, 0.034 and 0.178 respectively. In this paper, the characterizations of the defects produced due to the Nitrogen implantation in 4H-SiC are presented and the results are discussed.« less

  20. Long-range effect of ion implantation of Raex and Hardox steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Droździel, A.; Wiertel, M.

    2016-09-01

    Ion implantation involves introduction of ionized atoms of any element (nitrogen) to metals thanks to the high kinetic energy that they acquired in the electric field. The distribution of nitrogen ions implanted at E = 65 keV energy and D = 1.1017 N+ /cm2 fluence in the steel sample and vacancies produced by them was calculated using the SRIM program. This result was confirmed by RBS measurements. The initial maximum range of the implanted nitrogen ions is ∼⃒0.17 μm. This value is relatively small compared to the influence of nitriding on the thickness surface layer of modified steel piston rings. Measurements of the friction coefficient during the pin-on-disc tribological test were performed under dry friction conditions. The friction coefficient of the implanted sample increased to values characteristic of an unimplanted sample after ca. 1500 measurement cycles. The depth of wear trace is ca. 2.4 μm. This implies that the thickness of the layer modified by the implantation process is ∼⃒2.4 μm and exceeds the initial range of the implanted ions by an order of magnitude. This effect, referred to as a long-range implantation effect, is caused by migration of vacancies and nitrogen atoms into the sample. This phenomenon makes ion implantation a legitimate process of modification of the surface layer in order to enhance the tribological properties of critical components of internal combustion engines such as steel piston rings.

  1. Effect of ion implantation on the tribology of metal-on-metal hip prostheses.

    PubMed

    Bowsher, John G; Hussain, Azad; Williams, Paul; Nevelos, Jim; Shelton, Julia C

    2004-12-01

    Nitrogen ion implantation (which considerably hardens the surface of the bearing) may represent one possible method of reducing the wear of metal-on-metal (MOM) hip bearings. Currently there are no ion-implanted MOM bearings used clinically. Therefore a physiological hip simulator test was undertaken using standard test conditions, and the results compared to previous studies using the same methods. N2-ion implantation of high carbon cast Co-Cr-Mo-on-Co-Cr-Mo hip prostheses increased wear by 2-fold during the aggressive running-in phase compared to untreated bearing surfaces, plus showing no wear reductions during steady-state conditions. Although 2 specimens were considered in the current study, it would appear that ion implantation has no clinical benefit for MOM.

  2. Low energy implantation of boron with decaborane ions

    NASA Astrophysics Data System (ADS)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  3. Nitrogen ion implantation into various materials using 28 GHz electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Chang Seouk; School of Mechanical Engineering, Pusan National University, Pusan 609-735; Lee, Byoung-Seob

    2016-02-15

    The installation of the 28 GHz electron cyclotron resonance ion source (ECRIS) ion implantation beamline was recently completed at the Korea Basic Science Institute. The apparatus contains a beam monitoring system and a sample holder for the ion implantation process. The new implantation system can function as a multipurpose tool since it can implant a variety of ions, ranging hydrogen to uranium, into different materials with precise control and with implantation areas as large as 1–10 mm{sup 2}. The implantation chamber was designed to measure the beam properties with a diagnostic system as well as to perform ion implantation withmore » an in situ system including a mass spectrometer. This advanced implantation system can be employed in novel applications, including the production of a variety of new materials such as metals, polymers, and ceramics and the irradiation testing and fabrication of structural and functional materials to be used in future nuclear fusion reactors. In this investigation, the first nitrogen ion implantation experiments were conducted using the new system. The 28 GHz ECRIS implanted low-energy, multi-charged nitrogen ions into copper, zinc, and cobalt substrates, and the ion implantation depth profiles were obtained. SRIM 2013 code was used to calculate the profiles under identical conditions, and the experimental and simulation results are presented and compared in this report. The depths and ranges of the ion distributions in the experimental and simulation results agree closely and demonstrate that the new system will enable the treatment of various substrates for advanced materials research.« less

  4. Photoluminescence of ion-implanted GaN

    NASA Technical Reports Server (NTRS)

    Pankove, J. I.; Hutchby, J. A.

    1976-01-01

    Thirty-five elements were implanted in GaN. Their photoluminescence spectra were measured and compared to those of an unimplanted control sample. Most impurities emit a peak at about 2.15 eV. Mg, Zn, Cd, Ca, As, Hg, and Ag have more characteristic emissions. Zn provides the most efficient recombination center. A set of midgap states is generated during the damage-annealing treatment.

  5. Compositional transformations in ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Abdul-Kader, A. M.; Turos, A.; Grambole, D.; Jagielski, J.; Piątkowska, A.; Madi, N. K.; Al-Maadeed, M.

    2005-10-01

    Changes of surface layer composition produced by ion bombardment of polyethylene and polypropylene samples were studied. These materials are under consideration for load bearing surfaces in biological and technical applications. To improve their tribological properties, surface layers are usually modified by ionizing radiation. Therefore, to study the mechanism of transformations induced by ion beam bombardment selected polymers were implanted with H, He and Ar ions to the fluences ranging from 1 × 1013 to 2 × 1016/cm2. RBS and NRA techniques were applied for sample analysis. Important hydrogen release was observed with increasing ion dose and was correlated with the ion stopping power. Another important effect observed was the rapid oxidation of samples, which apparently occurs after exposure of implanted samples to the air. Up to 10 at.% of oxygen can be incorporated in the implanted layer.

  6. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  7. Spectroscopic ellipsometry study of N+ ion-implanted ethylene-norbornene films

    NASA Astrophysics Data System (ADS)

    Šiljegović, M.; Kačarević-Popović, Z. M.; Stchakovsky, M.; Radosavljević, A. N.; Korica, S.; Novaković, M.; Popović, M.

    2014-05-01

    The optical properties of 150 keV N+ implanted ethylene-norbornene (TOPAS 6017S-04) copolymer were investigated using phase modulated spectroscopic ellipsometry (PMSE) and ultraviolet-visible (UV-Vis) spectroscopy in the ranges of 0.6-6.5 eV and of 1.5-6.2 eV, respectively. The single-effective-oscillator model was used to fit the calculated data to the experimental ellipsometric spectra. The results show that the oscillator and dispersion energies decrease with increasing ion fluence up to 1015 cm-2, and then these parameters increase with further fluence increasing. Analysis of the UV-Vis absorption spectra revealed the presence of indirect electronic transitions with the band gap energy in the range of 1.3 to 2.8 eV. It was found that both the band gap energy and the energy width of the distribution of localized band tail states decrease, while the values of Tauc coefficient increase with increasing the ion fluence. From the ellipsometric data we found that the real part of the dielectric function increased about 7% after irradiation with 1015 cm-2, and decreased about 10% in samples modified with 1016 cm-2.

  8. Rutherford Backscattering Spectrometry studies of 100 keV nitrogen ion implanted polypropylene polymer

    NASA Astrophysics Data System (ADS)

    Chawla, Mahak; Aggarwal, Sanjeev; Sharma, Annu

    2017-09-01

    The effect of nitrogen ion implantation on the structure and composition in polypropylene (PP) polymer has been studied. Implantation was carried out using 100 keV N+ ions at different fluences of 1 × 1015, 1 × 1016 and 1 × 1017 ions cm-2 with beam current density of ∼0.65 μA cm-2. Surface morphological changes in the pre- and post-implanted PP specimens have been studied using Rutherford Backscattering Spectrometry (RBS) and UV-Visible Spectroscopy. The spatial distribution of implantation induced modification in the form of carbonization and dehydrogenation in the near surface region of PP matrix, the projected range, retained dose of implanted nitrogen, the various elements present in the implanted layers and their differential cross-sections have been analyzed using RBS spectra. RUMP simulation yielded an increase in the concentration of carbon near the surface from 33 at.% (virgin) to 42 at.% at fluence of 1 × 1017 N+ cm-2. Further, optical absorption has been found to increase with a shift in the absorption edge from UV towards visible region with increasing fluence. UV-Vis absorption spectra also indicate a drastic decrease in optical energy gap from 4.12 eV (virgin) to 0.25 eV (1 × 1017 N+ cm-2) indicating towards the formation of carbonaceous network in the implanted region. All these changes observed using UV-Visible have been further correlated with the outcomes of the RBS characterization.

  9. Ion implantation of solar cell junctions without mass analysis

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D.; Tonn, D. G.

    1981-01-01

    This paper is a summary of an investigation to determine the feasibility of producing solar cells by means of ion implantation without the use of mass analysis. Ion implants were performed using molecular and atomic phosphorus produced by the vaporization of solid red phosphorus and ionized in an electron bombardment source. Solar cell junctions were ion implanted by mass analysis of individual molecular species and by direct unanalyzed implants from the ion source. The implant dose ranged from 10 to the 14th to 10 to the 16th atoms/sq cm and the energy per implanted atom ranged from 5 KeV to 40 KeV in this study.

  10. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  11. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  12. Laser-induced thermo-lens in ion-implanted optically-transparent polymer

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Ivanov, Victor G.; Hadjichristov, Georgi B.

    2009-10-01

    A strong laser-induced thermo-lens (LITL) effect is found in optically-transparent ion-implanted polymer upon irradiation by a cw laser with a power up to 100 mW (λ = 532 nm). The effect is observed in bulk polymethylmethacrylate (PMMA) implanted with silicon ions (Si+). A series of PMMA specimens is examined, subjected to low-energy (50 keV) Si+ implantation at various dosages in the range from 1014 to 1017 ions/cm2. The thermo-lensing is unambiguously attributed to the modification of the subsurface region of the polymer upon the ion implantation. Having a gradient refractive-index in-depth profile, the subsurface organic-carbonaceous layer produced in the polymer by ion implantation, is responsible for the LITL effect observed in reflection geometry. The LITL occurs due to optical absorption of the ion-implanted layer of a thickness of about 100 nm buried in a depth ~ 100 nm, and subsequent laser-induced change in the refractive index of the Si+-implanted PMMA. Being of importance as considering photonic applications of ion-implanted optically-transparent polymers, the LITL effect in Si+-implanted PMMA is studied as a function of the implant dose, the incident laser power and incidence angle, and is linked to the structure formed in this ion-implanted plastic.

  13. Electrical and optical properties of nitrile rubber modified by ion implantation

    NASA Astrophysics Data System (ADS)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  14. Self-organized surface ripple pattern formation by ion implantation

    NASA Astrophysics Data System (ADS)

    Hofsäss, Hans; Zhang, Kun; Bobes, Omar

    2016-10-01

    Ion induced ripple pattern formation on solid surfaces has been extensively studied in the past and the theories describing curvature dependent ion erosion as well as redistribution of recoil atoms have been very successful in explaining many features of the pattern formation. Since most experimental studies use noble gas ion irradiation, the incorporation of the ions into the films is usually neglected. In this work we show that the incorporation or implantation of non-volatile ions also leads to a curvature dependent term in the equation of motion of a surface height profile. The implantation of ions can be interpreted as a negative sputter yield; and therefore, the effect of ion implantation is opposite to the one of ion erosion. For angles up to about 50°, implantation of ions stabilizes the surface, whereas above 50°, ion implantation contributes to the destabilization of the surface. We present simulations of the curvature coefficients using the crater function formalism and we compare the simulation results to the experimental data on the ion induced pattern formation using non-volatile ions. We present several model cases, where the incorporation of ions is a crucial requirement for the pattern formation.

  15. Passivated contact formation using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Stradins, Pauls; Nemeth, William

    2018-05-29

    Methods for forming passivated contacts include implanting compound-forming ions into a substrate to about a first depth below a surface of the substrate, and implanting dopant ions into the substrate to about a second depth below the surface. The second depth may be shallower than the first depth. The methods also include annealing the substrate.

  16. Ion Implantation Studies of Titanium Metal Surfaces.

    DTIC Science & Technology

    1981-01-01

    sf.Th. 82-0 327 11,y 604.)___ _ 4 . TITLE (and Subtitle) S. TYPE OF REPORT & PERIOD COVERED Final Ion Implantation Studies of Titanium Metal Suf s 6 ...AD-A113 7ag GEORGIA INST OF TECH ATLANTA SCHOOL OF PHYSICS FIG 11/ 6 ION IMPLANTATION STUDOIES OF TITANIUM METAL SURtFACES. (U) 1901 J R STEVENSON. K...LL0 kpproved ror 82 4 ±s~rutic iui.~o 82r-~~ ION IMPLANTATION STUDIES OF TITANIUM METAL SURFACES SECURITY CLASSIFICATION OIOF THIS PAGE (0fen Date

  17. Modification of polyvinyl alcohol surface properties by ion implantation

    NASA Astrophysics Data System (ADS)

    Pukhova, I. V.; Kurzina, I. A.; Savkin, K. P.; Laput, O. A.; Oks, E. M.

    2017-05-01

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 1014, 1 × 1015 and 1 × 1016 ion/cm2 and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (sbnd Cdbnd O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  18. The mobility and diffusion of ions in gases

    NASA Technical Reports Server (NTRS)

    Mcdaniel, E. W.; Mason, E. A.

    1973-01-01

    Experimental and theoretical aspects of the mobility and diffusion of ions in gases are studied in detail. Some of the subjects discussed include ion-ion interaction, boundary condition and ion and electron behavior. Also discussed in separate chapters are the problems of the diffusion coefficients and the afterglow techniques. Finally, a special chapter studies the kinetic theory of diffusion and mobility, stressing the low-, medium- and high-field theory.

  19. Methods of obtaining a uniform volume concentration of implanted ions

    NASA Astrophysics Data System (ADS)

    Reutov, V. F.

    1998-05-01

    Three simple practical methods of irradiation with high energy particles (>5 MeV/n), providing the conditions of obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to the movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method of obtaining a uniform volume concentration of the implanted ions in a massive sample consists of sample irradiation through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for mechanical tests, for example, the second one - for irradiation in different gaseous media, the third one - for obtaining high concentration of the implanted ions under controlled (regulated) thermal and deformation conditions.

  20. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    NASA Astrophysics Data System (ADS)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  1. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ye, Hui; Ma, Jingming; Feng, Chun; Cheng, Ying; Zhu, Suwen; Cheng, Beijiu

    2009-02-01

    In the process of the fermentation of steroid C11α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar+) and nitrogen ion (N+). The results showed that the optimal ion implantation was N+ with an optimum dose of 2.08 × 1015 ions/cm2, with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation.

  2. Retention of ion-implanted-xenon in olivine: Dependence on implantation dose

    NASA Technical Reports Server (NTRS)

    Melcher, C. L.; Tombrello, T. A.; Burnett, D. S.

    1982-01-01

    The diffusion of Xe in olivine, a major mineral in both meteorites and lunar samples, was studied. Xe ions were implanted at 200 keV into single-crystal synthetic-forsterite targets and the depth profiles were measured by alpha particle backscattering before and after annealing for 1 hour at temperatures up to 1500 C. The fraction of implanted Xe retained following annealing was strongly dependent on the implantation dose. Maximum retention of 100% occurred for an implantion dose of 3 x 10 to the 15th power Xe ions/sq cm. Retention was less at lower doses, with (approximately more than or = 50% loss at one hundred trillion Xe ions/sq cm. Taking the diffusion coefficient at this dose as a lower limit, the minimum activation energy necessary for Xe retention in a 10 micrometer layer for ten million years was calculated as a function of metamorphic temperature.

  3. Graded Microstructure and Mechanical Performance of Ti/N-Implanted M50 Steel with Polyenergy

    PubMed Central

    Jie, Jin; Shao, Tianmin

    2017-01-01

    M50 bearing steels were alternately implanted with Ti+ and N+ ions using solid and gas ion sources of implantation system, respectively. N-implantation was carried out at an energy of about 80 keV and a fluence of 2 × 1017 ions/cm2, and Ti-implantation at an energy of about 40–90 keV and a fluence of 2 × 1017 ions/cm2. The microstructures of modification layers were analyzed by grazing-incidence X-ray diffraction, auger electron spectroscopy, X-ray photoelectron spectroscopy, and transmission electron microscopy. The results showed that the gradient structure was formed under the M50 bearing steel subsurface, along the ion implantation influence zone composed of amorphous, nanocrystalline, and gradient-refinement phases. A layer of precipitation compounds like TiN is formed. In addition, nano-indentation hardness and tribological properties of the gradient structure subsurface were examined using a nano-indenter and a friction and wear tester. The nano-indentation hardness of N + Ti-co-implanted sample is above 12 GPa, ~1.3 times than that of pristine samples. The friction coefficient is smaller than 0.2, which is 22.2% of that of pristine samples. The synergism between precipitation-phase strengthening and gradient microstructure is the main mechanism for improving the mechanical properties of M50 materials. PMID:29048360

  4. N +-implantation induced enhanced adhesion in WC1-x/Ti-6Al-4V

    NASA Astrophysics Data System (ADS)

    Laidani, Nadhira; Dorigoni, Carla; Miotello, Antonio

    1996-12-01

    In this work, the potentiality of the N +-implantation to promote adhesion in WC1-x/Ti-6Al-4V bilayers has been investigated. The WC 1- x films were deposited by rf sputtering in Ar discharge. N +-implantations were performed at 160 keV with ion dose ranging from 5 × 10 15 to 2 × 10 17N +/cm 2. The implantations have been carried out at two sample temperatures: 363 K and 423 K. Adhesion strength was measured by means of the scratch test in conjunction with scanning electron microscopy and energy dispersive spectrometry (EDS). Auger electron spectroscopy (AES), Rutherford backscattering spectrometry (RBS) and X-ray diffraction (XRD) analyses were used to study the chemical, compositional and structural changes of the WC1-x/Ti-6Al-4V interface. As a general result, N +-implantation modifies the adhesion failure mechanism which from adhesive, before implantation, becomes cohesive. The implantation temperature had a strong effect on the critical loads Lc. N +-implantation at 423 K resulted in a slight increase of Lc, from 2N (unimplanted systems) to 5N for all ion doses. This weak improvement of the adhesion strength was associated with the particular interface processes which allowed C, but not W, mixing into the substrate. In this case, TiC bondings formed which contributed to the substrate embrittlement. When the implantations were carried out at 363 K, both C and W underwent mixing with Ti-6Al-4V: this favoured not only an interface composition grading but also a graded chemistry across the interface, with a strong increase of Lc for low ion dose ( Lc = 14N for 1 × 10 16 N +/cm 2). Implantation with higher doses (5 × 10 16N -/cm 2 and 2 × 10 17N +/cm 2) exhibited lower efficiency ( Lc = 7N for 2 × 10 17 N +/cm 2). This ion dose dependence of the adhesion strength was attributed to the formation of different phases across the interface, probably structurally incompatible.

  5. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, K.N.

    1996-09-24

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted. 16 figs.

  6. Pulsed source ion implantation apparatus and method

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted.

  7. High carrier activation of Mg ion-implanted GaN by conventional rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Niwa, Takaki; Fujii, Takahiro; Oka, Tohru

    2017-09-01

    A high activation ratio of Mg ion implantation by conventional rapid thermal annealing (RTA) was demonstrated. To obtain the high activation ratio of Mg ion implantation, the dependence of hole concentration on Mg dose was investigated. A maximum hole concentration and a high activation ratio of 2.3% were obtained at a Mg dose of 2.3 × 1014 cm-2 between 9.2 × 1013 and 2.3 × 1015 cm-2. The ratio is, to the best of our knowledge, the highest ever obtained by conventional RTA.

  8. Reflectivity modification of polymethylmethacrylate by silicon ion implantation

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Ivanov, Victor; Faulques, Eric

    2008-05-01

    The effect of silicon ion implantation on the optical reflection of bulk polymethylmethacrylate (PMMA) was examined in the visible and near UV. A low-energy (30 and 50 keV) Si + beam at fluences in the range from 10 13 to 10 17 cm -2 was used for ion implantation of PMMA. The results show that a significant enhancement of the reflectivity from Si +-implanted PMMA occurs at appropriate implantation energy and fluence. The structural modifications of PMMA by the silicon ion implantation were characterized by means of photoluminescence and Raman spectroscopy. Formation of hydrogenated amorphous carbon (HAC) layer beneath the surface of the samples was established and the corresponding HAC domain size was estimated.

  9. Fabrication of poly(vinyl carbazole) waveguides by oxygen ion implantation

    NASA Astrophysics Data System (ADS)

    Ghailane, Fatima; Manivannan, Gurusamy; Knystautas, Émile J.; Lessard, Roger A.

    1995-08-01

    Polymer waveguides were fabricated by ion implantation involving poly(vinyl carbazole) films. This material was implanted by oxygen ions (O ++ ) of energies ranging from 50 to 250 keV. The ion doses varied from 1010 to 1015 ions / cm2. The conventional prism-film coupler method was used to determine the waveguiding nature of the implanted and unimplanted films. The increase of the surface refractive index in the implanted layer has been studied by measuring the effective refractive index (neff) for different optical modes. Electron spectroscopy chemical analysis measurements were also performed to assess the effect of ion implantation on the polymer matrix.

  10. Controlled deterministic implantation by nanostencil lithography at the limit of ion-aperture straggling

    NASA Astrophysics Data System (ADS)

    Alves, A. D. C.; Newnham, J.; van Donkelaar, J. A.; Rubanov, S.; McCallum, J. C.; Jamieson, D. N.

    2013-04-01

    Solid state electronic devices fabricated in silicon employ many ion implantation steps in their fabrication. In nanoscale devices deterministic implants of dopant atoms with high spatial precision will be needed to overcome problems with statistical variations in device characteristics and to open new functionalities based on controlled quantum states of single atoms. However, to deterministically place a dopant atom with the required precision is a significant technological challenge. Here we address this challenge with a strategy based on stepped nanostencil lithography for the construction of arrays of single implanted atoms. We address the limit on spatial precision imposed by ion straggling in the nanostencil—fabricated with the readily available focused ion beam milling technique followed by Pt deposition. Two nanostencils have been fabricated; a 60 nm wide aperture in a 3 μm thick Si cantilever and a 30 nm wide aperture in a 200 nm thick Si3N4 membrane. The 30 nm wide aperture demonstrates the fabricating process for sub-50 nm apertures while the 60 nm aperture was characterized with 500 keV He+ ion forward scattering to measure the effect of ion straggling in the collimator and deduce a model for its internal structure using the GEANT4 ion transport code. This model is then applied to simulate collimation of a 14 keV P+ ion beam in a 200 nm thick Si3N4 membrane nanostencil suitable for the implantation of donors in silicon. We simulate collimating apertures with widths in the range of 10-50 nm because we expect the onset of J-coupling in a device with 30 nm donor spacing. We find that straggling in the nanostencil produces mis-located implanted ions with a probability between 0.001 and 0.08 depending on the internal collimator profile and the alignment with the beam direction. This result is favourable for the rapid prototyping of a proof-of-principle device containing multiple deterministically implanted dopants.

  11. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    NASA Astrophysics Data System (ADS)

    Bannister, M. E.; Hijazi, H.; Meyer, H. M.; Cianciolo, V.; Meyer, F. W.

    2014-11-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R&D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 1016 cm-2, where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5-6.2 × 1016 cm-2. Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities.

  12. Mechanical stresses and amorphization of ion-implanted diamond

    NASA Astrophysics Data System (ADS)

    Khmelnitsky, R. A.; Dravin, V. A.; Tal, A. A.; Latushko, M. I.; Khomich, A. A.; Khomich, A. V.; Trushin, A. S.; Alekseev, A. A.; Terentiev, S. A.

    2013-06-01

    Scanning white light interferometry and Raman spectroscopy were used to investigate the mechanical stresses and structural changes in ion-implanted natural diamonds with different impurity content. The uniform distribution of radiation defects in implanted area was obtained by the regime of multiple-energy implantation of keV He+ ions. A modification of Bosia's et al. (Nucl. Instrum. Meth. B 268 (2010) 2991) method for determining the internal stresses and the density variation in an ion-implanted diamond layer was proposed that suggests measuring, in addition to the surface swelling of a diamond plate, the radius of curvature of the plate. It is shown that, under multiple-energy implantation of He+, mechanical stresses in the implanted layer may be as high as 12 GPa. It is shown that radiation damage reaches saturation for the implantation fluence characteristic of amorphization of diamond but is appreciably lower than the graphitization threshold.

  13. Development of vertical compact ion implanter for gemstones applications

    NASA Astrophysics Data System (ADS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  14. Ion implantation in group III-nitride semiconductors: a tool for doping and defect studies

    NASA Astrophysics Data System (ADS)

    Zolper, J. C.

    1997-06-01

    Ion implantation is a flexible process technology for introducing an array of doping or compensating impurities into semiconductors. As the crystal quality of the group III-nitride materials continues to improve, ion implantation is playing an enabling role in exploring new dopant species and device structures. In this paper we review the recent developments in ion implantation processing of these materials with a particular emphasis on how this technology has brought new understanding to this materials system. In particular, the use of ion implantation to characterize impurity luminescence, doping, and compensation in III-nitride materials is reviewed. In addition, we address the nature of implantation induced damage in GaN which demonstrates a very strong resistance to amorphization while at the same time forming damage that is not easily removed by thermal annealing. Finally, we review the coupling of implantation with high temperature rapid thermal annealing to better understand the thermal stability of these materials and the redistribution properties of the common dopant (Si, O, Be, Mg, Ca, and Zn).

  15. Enhancement of Ag nanoparticles concentration by prior ion implantation

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Wang, Jun; Liu, Changlong

    2017-09-01

    Thermally grown SiO2 layer on Si substrates were singly or sequentially implanted with Zn or Cu and Ag ions at the same fluence of 2 × 1016/cm2. The profiles of implanted species, structure, and spatial distribution of the formed nanoparticles (NPs) have been characterized by the cross-sectional transmission electron microscope (XTEM) and Rutherford backscattering spectrometry (RBS). It is found that pre-implantation of Zn or Cu ions could suppress the self sputtering of Ag atoms during post Ag ion implantation, which gives rise to fabrication of Ag NPs with a high density. Moreover, it has also been demonstrated that the suppressing effect strongly depends on the applied energy and mobility of pre-implanted ions. The possible mechanism for the enhanced Ag NPs concentration has been discussed in combination with SRIM simulations. Both vacancy-like defects acting as the increased nucleation sites for Ag NPs and a high diffusivity of prior implanted ions in SiO2 play key roles in enhancing the deposition of Ag implants.

  16. Structures and optical properties of \\text{H}_{2}^{+} -implanted GaN epi-layers

    NASA Astrophysics Data System (ADS)

    Li, B. S.; Wang, Z. G.

    2015-06-01

    The implantation damage build-up and optical properties of GaN epitaxial films under \\text{H}2+ ion implantation have been investigated by a combination of Rutherford backscattering in channeling geometry, Raman spectroscopy, UV-visible spectroscopy and transmission electron microscopy. GaN epitaxial films were implanted with 134 keV \\text{H}2+ ions to doses ranging from 3.75   ×   1016 to 1.75   ×   1017 \\text{H}2+  cm-2 at room temperature or the same dose of 1.5   ×   1017 \\text{H}2+  cm-2 at room temperature, 573 and 723 K. The dependence of lattice disorder induced by \\text{H}2+ -implantation on the ion dose can be divided into a three-step damage process. A strong influence of the H concentration on the defect accumulation is discussed. The decrease in relative Ga disorder induced by \\text{H}2+ -implantation is linear with increasing implantation temperature. The absorption coefficient of GaN epitaxial films increases with increasing ion dose, leading to the decrease in Raman scattering spectra of Ga-N vibration. With increasing implantation doses up to 5   ×   1016 \\text{H}2+  cm-2, nanoscale hydrogen bubbles are observed in the H deposition peak region. Interstitial-type dislocation loops are observed in the damaged layer located near the damage peak region, and the geometry of the dislocation loops produced by H implantation is analyzed. The surface layer is almost free of lattice disorder induced by \\text{H}2+ -implantation.

  17. Damaging Effect of Low Energy N+ Implantation on Aspergillus niger Spores

    NASA Astrophysics Data System (ADS)

    Wang, Lisheng; Cai, Kezhou; Cheng, Maoji; Chen, Lijuan; Liu, Xuelan; Zhang, Shuqing; Yu, Zengliang

    2007-06-01

    The mutant effects of a keV range nitrogen ion (N+) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N+ implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms.

  18. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  19. Electrical and optical properties of nitrile rubber modified by ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    S, Najidha; Predeep, P.

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease withmore » increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.« less

  20. Evaluation of electron beam stabilization for ion implant processing

    NASA Astrophysics Data System (ADS)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  1. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Peercy, P.S.; Land, C.E.

    1980-06-13

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Ions that are implanted include H/sup +/, He/sup +/, Ar/sup +/, and a preferred co-implant of Ar/sup +/ and Ne/sup +/. The positive ion implantation advantageously serves to shift the band gap energy threshold of the PLZT material from near-uv light to visible blue light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to these positive ions of sufficient density and with sufficient energy to provide an image. The PLZT material may have a lanthanum content ranging from 5 to 10%; a lead zirconate content ranging from 62 to 70 mole %; and a lead titanate content ranging from 38 to 30%. The region of ion implantation is in a range from 0.1 to 2 microns below the surface of the PLZT plate. Density of ions is in the range from 1 x 10/sup 12/ to 1 x 10/sup 17/ ions/cm/sup 2/ and having an energy in the range from 100 to 500 keV.

  2. Graded Microstructure and Mechanical Performance of Ti/N-Implanted M50 Steel with Polyenergy.

    PubMed

    Jie, Jin; Shao, Tianmin

    2017-10-19

    M50 bearing steels were alternately implanted with Ti⁺ and N⁺ ions using solid and gas ion sources of implantation system, respectively. N-implantation was carried out at an energy of about 80 keV and a fluence of 2 × 10 17 ions/cm², and Ti-implantation at an energy of about 40-90 keV and a fluence of 2 × 10 17 ions/cm². The microstructures of modification layers were analyzed by grazing-incidence X-ray diffraction, auger electron spectroscopy, X-ray photoelectron spectroscopy, and transmission electron microscopy. The results showed that the gradient structure was formed under the M50 bearing steel subsurface, along the ion implantation influence zone composed of amorphous, nanocrystalline, and gradient-refinement phases. A layer of precipitation compounds like TiN is formed. In addition, nano-indentation hardness and tribological properties of the gradient structure subsurface were examined using a nano-indenter and a friction and wear tester. The nano-indentation hardness of N + Ti-co-implanted sample is above 12 GPa, ~1.3 times than that of pristine samples. The friction coefficient is smaller than 0.2, which is 22.2% of that of pristine samples. The synergism between precipitation-phase strengthening and gradient microstructure is the main mechanism for improving the mechanical properties of M50 materials.

  3. Optical reflectivity study of silicon ion implanted poly(methyl methacrylate)

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Stefanov, Ivan L.; Florian, Bojana I.; Blaskova, Gergana D.; Ivanov, Victor G.; Faulques, Eric

    2009-11-01

    The optical reflectivity (both specular and off-specular) of poly(methyl methacrylate) (PMMA) implanted with silicon ions (Si +) at energy of 50 keV, is studied in the spectral range 0.25-25 μm. The effect from the Si + implantation on the reflectivity of two PMMA materials is examined in the dose range from 10 14 to 10 17 ions/cm 2 and is linked to the structure formed in this ion implanted plastic. As compared to the pristine PMMA, an enhancement of the reflectivity of Si + implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation. The ion-produced subsurface organic interface is also probed by laser-induced thermo-lens.

  4. Ohmic contacts to n-GaN formed by ion-implanted Si into p-GaN

    NASA Astrophysics Data System (ADS)

    Bao, Xichang; Xu, Jintong; Zhang, Wenjing; Wang, Ling; Chu, Kaihui; Li, Chao; Li, Xiangyang

    2009-07-01

    In this paper, we report the ohmic contact to n-GaN fabricated by implanting silicon into Mg-doped GaN using an alloy of Ti/Al/Ti/Au metallization. The used materials were grown on (001) sapphire substrates by metal-organic chemical-vapor deposition (MOCVD). The layer structure was comprised of a GaN buffer layer and followed by a 2 μm thickness Mg-doped GaN (Na=5×1017cm-3) and then double silicon implantation was performed in order to convert p-type GaN into n-type GaN films. The as-implanted samples were then thermal annealed at 1150 °C for 5 min in N2 ambient. The carrier concentration and Hall mobility were 3.13×1018 cm3 and 112 cm2/ (VÂ.s) measured by Hall method. Multilayer electrode of Ti (50 nm)/Al (50 nm)/Ti (30 nm)/Au (30 nm) was deposited on n-GaN using an electron-beam evaporation and contacts were formed by a N2 annealing technique ranging from 600 to 900 °C. After annealing lower than 700 °C, the contacts exhibited a rectifying behavior and became ohmic contact only after high temperature processes (>=700 °C). Specific contact resistance was as low as 9.58×10-4 ΩÂ.cm2 after annealing at 800 °C for 60 seconds. While annealing temperature is higher than 800 °C, the specific contact resistance becomes worse. This phenomenon is caused by the surface morphology degradation.

  5. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    NASA Astrophysics Data System (ADS)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  6. Challenge for more precise e- and ion-transport in gases and liquids

    NASA Astrophysics Data System (ADS)

    White, Ron

    2016-09-01

    The full potential of technologies driven by non-equilibrium electron and ion processes in gases, liquids and soft-matter can only be realised once the basic physics has been mastered. The central component in this pursuit is an ever increasing need for the precise determination of electron and ion transport in such media. Over the last few decades, the group at James Cook University and collaborators have developed a suite of multi-term Boltzmann equation solutions to treat temporal and spatial non-locality for electrons and ions in electric and magnetic fields in gaseous systems. In this presentation, we will highlight recent developments including (i) a space-time multi-term solution of Boltzmann's equation; (ii) a unified treatment of electron and ion solutions of Boltzmann's equation which avoids mass ratio expansions; (iii) the treatment dense gases and liquids, including coherent scattering, screened potentials and (self) trapped bubble state effects, the latter of which can give rise to fractional transport behaviour, and (iv) the application to consider the self-consistency of cross-sections for electrons in biomolecules. Contributors: G. Boyle, P. Stokes, M. Casey, N. Garland, D. Cocks, D. Konovalov, S. Dujko, R. E. Robson, K. F. Ness, M. Brunger, S. Buckman, J. de Urquijo and Z. Lj. Petrovic. Support: Australian Research Council.

  7. Physical and Tribological Characteristics of Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Heidger, S.; Korenyi-Both, A. L.; Jayne, D. T.; Herrera-Fierro, P.; Shogrin, B.; Wilbur, P. J.; Wu, R. L. C.; Garscadden, A.; Barnes, P. N.

    1994-01-01

    Unidirectional sliding friction experiments were conducted with a natural, polished diamond pin in contact with both as-deposited and carbon-ion-implanted diamond films in ultrahigh vacuum. Diamond films were deposited on silicon, silicon carbide, and silicon nitride by microwave-plasma-assisted chemical vapor deposition. The as-deposited diamond films were impacted with carbon ions at an accelerating energy of 60 keV and a current density of 50 micron A/cm(exp 2) for approximately 6 min, resulting in a dose of 1.2 x 10(exp 17) carbon ions/cm(exp 2). The results indicate that the carbon ion implantation produced a thin surface layer of amorphous, nondiamond carbon. The nondiamond carbon greatly decreased both friction and wear of the diamond films. The coefficients of friction for the carbon-ion-implanted, fine-grain diamond films were less than 0.1, factors of 20 to 30 lower than those for the as-deposited, fine-grain diamond films. The coefficients of friction for the carbon-ion-implanted, coarse-grain diamond films were approximately 0.35, a factor of five lower than those for the as-deposited, coarse-grain diamond films. The wear rates for the carbon-ion-implanted, diamond films were on the order of 10(exp -6) mm(exp 3)/Nm, factors of 30 to 80 lower than that for the as-deposited diamond films, regardless of grain size. The friction of the carbon-ion-implanted diamond films was greatly reduced because the amorphous, nondiamond carbon, which had a low shear strength, was restricted to the surface layers (less than 0.1 micron thick) and because the underlying diamond materials retained their high hardness. In conclusion, the carbon-ion-implanted, fine-grain diamond films can be used effectively as wear resistant, self-lubricating coatings for ceramics, such as silicon nitride and silicon carbide, in ultrahigh vacuum.

  8. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    PubMed

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  9. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    NASA Astrophysics Data System (ADS)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  10. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  11. Plasma ion implantation technology at Hughes Research Laboratories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matossian, J.N.

    1994-03-01

    The plasma ion implantation (PII) project at Hughes Research Laboratories (HRL) has as its main objective the evaluation and application of PII technology to improve the tribological properties of metal and nonmetal materials used in aerospace, defense, and commercial applications. The HRL PII facility consists of a 4-ft-diam[times]8-ft-long vacuum chamber capable of implanting objects weighing up to 7000 lbs, and a high-power (100-kW), high-voltage (100-kV) pulse modulator to provide voltage pulses for implantation. Advanced plasma sources have been developed to produce atomic, as well as molecular, nitrogen and oxygen ions, and PII processes have been developed to treat metal andmore » nonmetal materials. The HRL PII facility has been operational since 1989 and has been used for prototype demonstrations of PII technology to achieve (1) a 2--3[times] improved wear life of Co/WC drill bits used for printed-wiring-board fabrication, (2) an 8[times] reduced wear rate for TiN-coated cutting tools, and (3) a 2[times] increased surface hardness for a 7000-lb polymer object, 3 ft by 5 ft by 1 ft.« less

  12. Photosensitivity enhancement of PLZT ceramics by positive ion implantation

    DOEpatents

    Land, Cecil E.; Peercy, Paul S.

    1983-01-01

    The photosensitivity of lead lanthanum zirconate titanate (PLZT) ceramic material used in high resolution, high contrast, and non-volatile photoferroelectric image storage and display devices is enhanced significantly by positive ion implantation of the PLZT near its surface. Implanted ions include H.sup.+, He.sup.+, Ne.sup.+, Ar.sup.+, as well as chemically reactive ions from Fe, Cr, and Al. The positive ion implantation advantageously serves to shift the absorption characteristics of the PLZT material from near-UV light to visible light. As a result, photosensitivity enhancement is such that the positive ion implanted PLZT plate is sensitive even to sunlight and conventional room lighting, such as fluorescent and incandescent light sources. The method disclosed includes exposing the PLZT plate to the positive ions at sufficient density, from 1.times.10.sup.12 to 1.times.10.sup.17, and with sufficient energy, from 100 to 500 KeV, to provide photosensitivity enhancement. The PLZT material may have a lanthanum content ranging from 5 to 10%, a lead zirconate content of 62 to 70 mole %, and a lead titanate content of 38 to 30%. The ions are implanted at a depth of 0.1 to 2 microns below the surface of the PLZT plate.

  13. Surface insulating properties of titanium implanted alumina ceramics by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Zhu, Mingdong; Song, Falun; Li, Fei; Jin, Xiao; Wang, Xiaofeng; Wang, Langping

    2017-09-01

    The insulating property of the alumina ceramic in vacuum under high voltage is mainly limited by its surface properties. Plasma immersion ion implantation (PIII) is an effective method to modify the surface chemical and physical properties of the alumina ceramic. In order to improve the surface flashover voltage of the alumina ceramic in vacuum, titanium ions with an energy of about 20 keV were implanted into the surface of the alumina ceramic using the PIII method. The surface properties of the as-implanted samples, such as the chemical states of the titanium, morphology and surface resistivity, were characterized by X-ray photoelectron spectroscopy, scanning electron microscope and electrometer, respectively. The surface flashover voltages of the as-implanted alumina samples were measured by a vacuum surface flashover experimental system. The XPS spectra revealed that a compound of Ti, TiO2 and Al2O3 was formed in the inner surface of the alumina sample. The electrometer results showed that the surface resistivity of the implanted alumina decreased with increased implantation time. In addition, after the titanium ion implantation, the maximum hold-off voltage of alumina was increased to 38.4 kV, which was 21.5% higher than that of the unimplanted alumina ceramic.

  14. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, Bill R.; Ashley, Paul R.; Buchal, Christopher J.

    1989-01-01

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO.sub.3 crystals are implanted with high concentrations of Ti dopant at ion energies of about 350 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000.degree. C. produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality single crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguides properties.

  15. Method of fabricating optical waveguides by ion implantation doping

    DOEpatents

    Appleton, B.R.; Ashley, P.R.; Buchal, C.J.

    1987-03-24

    A method for fabricating high-quality optical waveguides in optical quality oxide crystals by ion implantation doping and controlled epitaxial recrystallization is provided. Masked LiNbO/sub 3/ crystals are implanted with high concentrations of Ti dopant at ion energies of about 360 keV while maintaining the crystal near liquid nitrogen temperature. Ion implantation doping produces an amorphous, Ti-rich nonequilibrium phase in the implanted region. Subsequent thermal annealing in a water-saturated oxygen atmosphere at up to 1000/degree/C produces solid-phase epitaxial regrowth onto the crystalline substrate. A high-quality crystalline layer results which incorporates the Ti into the crystal structure at much higher concentrations than is possible by standard diffusion techniques, and this implanted region has excellent optical waveguiding properties.

  16. P-type single-crystalline ZnO films obtained by (Na,N) dual implantation through dynamic annealing process

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2018-02-01

    Single-crystalline ZnO films were grown by plasma-assisted molecular beam epitaxy technique on c-plane sapphire substrates. The films have been implanted with fixed fluence of 130 keV Na and 90 keV N ions at 460 °C. It is observed that dually-implanted single crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 1.24 × 1016-1.34 × 1017 cm-3, hole mobilities between 0.65 and 8.37 cm2 V-1 s-1, and resistivities in the range of 53.3-80.7 Ω cm by Hall-effect measurements. There are no other secondary phase appearing, with (0 0 2) (c-plane) orientation after ion implantation as identified by the X-ray diffraction pattern. It is obtained that Na and N ions were successfully implanted and activated as acceptors measured by XPS and SIMS results. Also compared to other similar studies, lower amount of Na and N ions make p-type characteristics excellent as others deposited by traditional techniques. It is concluded that Na and N ion implantation and dynamic annealing are essential in forming p-type single-crystalline ZnO films.

  17. Production technology for high efficiency ion implanted solar cells

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Greenwald, A. C.; Josephs, R. H.

    1978-01-01

    Ion implantation is being developed for high volume automated production of silicon solar cells. An implanter designed for solar cell processing and able to properly implant up to 300 4-inch wafers per hour is now operational. A machine to implant 180 sq m/hr of solar cell material has been designed. Implanted silicon solar cells with efficiencies exceeding 16% AM1 are now being produced and higher efficiencies are expected. Ion implantation and transient processing by pulsed electron beams are being integrated with electrostatic bonding to accomplish a simple method for large scale, low cost production of high efficiency solar cell arrays.

  18. Bulk unipolar diodes formed in GaAs by ion implantation

    NASA Astrophysics Data System (ADS)

    Hutchinson, S.; Kelly, M. J.; Gwilliam, R.; Sealy, B. J.; Carr, M.

    1999-01-01

    In an attempt to emulate epitaxially manufactured semiconductor multilayers for microwave device applications, we have produced a camel diode structure in GaAs for the first time, using the tail of a Mg + implant into a molecular beam epitaxially grown n +-n --n + structure. Using a range of ion energies and doses, samples are observed to exhibit bulk unipolar diode characteristics. With low dose and energy, a diode with barrier height of ˜0.8 V and ideality factor ˜1.25 is achieved. 'Punch through' diode characteristics are obtained at high ion dose and energy, some with knee voltages in excess of 7 V.

  19. A role for ion implantation in quantum computing

    NASA Astrophysics Data System (ADS)

    Jamieson, David N.; Prawer, Steven; Andrienko, Igor; Brett, David A.; Millar, Victoria

    2001-04-01

    We propose to create arrays of phosphorus atoms in silicon for quantum computing using ion implantation. Since the implantation of the ions is essentially random, the yield of usefully spaced atoms is low and therefore some method of registering the passage of a single ion is required. This can be accomplished by implantation of the ions through a thin surface layer consisting of resist. Changes to the chemical and/or electrical properties of the resist will be used to mark the site of the buried ion. For chemical changes, the latent damage will be developed and the atomic force microscope (AFM) used to image the changes in topography. Alternatively, changes in electrical properties (which obviate the need for post-irradiation chemical etching) will be used to register the passage of the ion using scanning tunneling microscopy (STM), the surface current imaging mode of the AFM. We address the central issue of the contrast created by the passage of a single ion through resist layers of PMMA and C 60.

  20. Application of laser driven fast high density plasma blocks for ion implantation

    NASA Astrophysics Data System (ADS)

    Sari, Amir H.; Osman, F.; Doolan, K. R.; Ghoranneviss, M.; Hora, H.; Höpfl, R.; Benstetter, G.; Hantehzadeh, M. H.

    2005-10-01

    The measurement of very narrow high density plasma blocks of high ion energy from targets irradiated with ps-TW laser pulses based on a new skin depth interaction process is an ideal tool for application of ion implantation in materials, especially of silicon, GaAs, or conducting polymers, for micro-electronics as well as for low cost solar cells. A further application is for ion sources in accelerators with most specifications of many orders of magnitudes advances against classical ion sources. We report on near band gap generation of defects by implantation of ions as measured by optical absorption spectra. A further connection is given for studying the particle beam transforming of n-type semiconductors into p-type and vice versa as known from sub-threshold particle beams. The advantage consists in the use of avoiding aggressive or rare chemical materials when using the beam techniques for industrial applications.

  1. High-intensity low energy titanium ion implantation into zirconium alloy

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  2. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, Rolf H.; Zhang, Shengtao

    1997-01-01

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, end sodium sulfur.

  3. Formation of Porous Germanium Layers by Silver-Ion Implantation

    NASA Astrophysics Data System (ADS)

    Stepanov, A. L.; Vorob'ev, V. V.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Yu. N.

    2018-04-01

    We propose a method for the formation of porous germanium ( P-Ge) layers containing silver nanoparticles by means of high-dose implantation of low-energy Ag+ ions into single-crystalline germanium ( c-Ge). This is demonstrated by implantation of 30-keV Ag+ ions into a polished c-Ge plate to a dose of 1.5 × 1017 ion/cm2 at an ion beam-current density of 5 μA/cm2. Examination by high-resolution scanning electron microscopy (SEM), atomic-force microscopy (AFM), X-ray diffraction (XRD), energy-dispersive X-ray (EDX) microanalysis, and reflection high-energy electron diffraction (RHEED) showed that the implantation of silver ions into c-Ge surface led to the formation of a P-Ge layer with spongy structure comprising a network of interwoven nanofibers with an average diameter of ˜10-20 nm Ag nanoparticles on the ends of fibers. It is also established that the formation of pores during Ag+ ion implantation is accompanied by effective sputtering of the Ge surface.

  4. Room-temperature ferromagnetism observed in C-/N-/O-implanted MgO single crystals

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Ye, Bonian; Hao, Yingping; Liu, Jiandang; Zhang, Jie; Zhang, Lijuan; Kong, Wei; Weng, Huimin; Ye, Bangjiao

    2013-01-01

    MgO single crystals were implanted with 70 keV C/N/O ions at room temperature with respective doses of 2 × 1016 and 2 × 1017 ions/cm2. All samples with high-dose implantation showed room temperature hysteresis in magnetization loops. Magnetization and slow positron annihilation measurements confirmed that room temperature ferromagnetism in O-implanted samples was attributed to the presence of Mg vacancies. Furthermore, the introduction of C or N played more effective role in ferromagnetic performance than Mg vacancies. Moreover, the magnetic moment possibly occurred from the localized wave function of unpaired electrons and the exchange interaction formed a long-range magnetic order.

  5. Ion implantation of highly corrosive electrolyte battery components

    DOEpatents

    Muller, R.H.; Zhang, S.

    1997-01-14

    A method of producing corrosion resistant electrodes and other surfaces in corrosive batteries using ion implantation is described. Solid electrically conductive material is used as the ion implantation source. Battery electrode grids, especially anode grids, can be produced with greatly increased corrosion resistance for use in lead acid, molten salt, and sodium sulfur. 6 figs.

  6. Multicycle rapid thermal annealing technique and its application for the electrical activation of Mg implanted in GaN

    NASA Astrophysics Data System (ADS)

    Feigelson, B. N.; Anderson, T. J.; Abraham, M.; Freitas, J. A.; Hite, J. K.; Eddy, C. R.; Kub, F. J.

    2012-07-01

    No reliable results were reported up-to-date on electrical activation of Mg implanted GaN without co-doping with other ions. The main reason of the poor ion-implanted activation in GaN is lack of the adequate GaN annealing technique. We have developed a new approach, Multicycle Rapid Thermal Annealing to overcome this limitation and enable longer annealing times at high temperature. We have applied this new technique to Mg-implanted GaN, and demonstrated p-type conductivity.

  7. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, J.R.

    1988-08-16

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner. 7 figs.

  8. Method and apparatus for plasma source ion implantation

    DOEpatents

    Conrad, John R.

    1988-01-01

    Ion implantation into surfaces of three-dimensional targets is achieved by forming an ionized plasma about the target within an enclosing chamber and applying a pulse of high voltage between the target and the conductive walls of the chamber. Ions from the plasma are driven into the target object surfaces from all sides simultaneously without the need for manipulation of the target object. Repetitive pulses of high voltage, typically 20 kilovolts or higher, causes the ions to be driven deeply into the target. The plasma may be formed of a neutral gas introduced into the evacuated chamber and ionized therein with ionizing radiation so that a constant source of plasma is provided which surrounds the target object during the implantation process. Significant increases in the surface hardness and wear characteristics of various materials are obtained with ion implantation in this manner.

  9. Application of ion implantation in tooling industry

    NASA Astrophysics Data System (ADS)

    Straede, Christen A.

    1996-06-01

    In papers published during the last half of the 1980s it is often stated that the application of ion beams to non-semiconductor purposes seems ready for full-scale industrial exploitation. However, progress with respect to commercialisation of ion implantation has been slower than predicted, although the process is quite clearly building up niche markets, especially in the tooling industry. It is the main purpose of this paper to discuss the implementation of the process in the tooling market, and to describe strategies used to ensure its success. The basic idea has been to find niches where ion implantation out-performs other processes both technically and in prices. For instance, it has been clearly realised that one should avoid competing with physical vapour deposition or other coating techniques in market areas where they perform excellently, and instead find niches where the advantages of the ion implantation technique can be fully utilised. The paper will present typical case stories in order to illustrate market niches where the technique has its greatest successes and potential.

  10. Using different drift gases to change separation factors (alpha) in ion mobility spectrometry

    PubMed

    Asbury; Hill

    2000-02-01

    The use of different drift gases to alter separation factors (alpha) in ion mobility spectrometry has been demonstrated. The mobility of a series of low molecular weight compounds and three small peptides was determined in four different drift gases. The drift gases chosen were helium, argon, nitrogen, and carbon dioxide. These drift gases provide a range of polarizabilities and molecular weights. In all instances, the compounds showed the greatest mobility in helium and the lowest mobility in carbon dioxide; however the percentage change of mobility for each compound was different, effectively changing the alpha value. The alpha value changes were primarily due to differences in drift gas polarizability but were also influenced by the mass of the drift gas. In addition, gas-phase ion radii were calculated in each of the different drift gases. These radii were then plotted against drift gas polarizability producing linear plots with r2 values greater than 0.99. The intercept of these plots provides the gas-phase radius of an ion in a nonpolarizing environment, whereas the slope is indicative of the magnitude of the ion's mobility change related to polarizability. It therefore, should be possible to separate any two compounds that have different slopes with the appropriate drift gas.

  11. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAXmore » data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.« less

  12. More-reliable SOS ion implantations

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  13. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE PAGES

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.; ...

    2017-12-19

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  14. Ion Implantation Doping of Inertial Confinement Fusion Targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, S. J.; Lee, J. R. I.; van Buuren, T.

    Controlled doping of inertial confinement fusion (ICF) targets is needed to enable nuclear diagnostics of implosions. Here in this study, we demonstrate that ion implantation with a custom-designed carousel holder can be used for azimuthally uniform doping of ICF fuel capsules made from a glow discharge polymer (GDP). Particular emphasis is given to the selection of the initial wall thickness of GDP capsules as well as implantation and postimplantation annealing parameters in order to minimize capsule deformation during a postimplantation thermal treatment step. In contrast to GDP, ion-implanted high-density carbon exhibits excellent thermal stability and ~100% implantation efficiency for themore » entire range of ion doses studied (2 × 10 14 to 1 × 10 16 cm -2) and for annealing temperatures up to 700°C. Lastly, we demonstrate a successful doping of planar Al targets with isotopes of Kr and Xe to doses of ~10 17 cm -2.« less

  15. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  16. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  17. Ion implantation effects in 'cosmic' dust grains

    NASA Technical Reports Server (NTRS)

    Bibring, J. P.; Langevin, Y.; Maurette, M.; Meunier, R.; Jouffrey, B.; Jouret, C.

    1974-01-01

    Cosmic dust grains, whatever their origin may be, have probably suffered a complex sequence of events including exposure to high doses of low-energy nuclear particles and cycles of turbulent motions. High-voltage electron microscope observations of micron-sized grains either naturally exposed to space environmental parameters on the lunar surface or artificially subjected to space simulated conditions strongly suggest that such events could drastically modify the mineralogical composition of the grains and considerably ease their aggregation during collisions at low speeds. Furthermore, combined mass spectrometer and ionic analyzer studies show that small carbon compounds can be both synthesized during the implantation of a mixture of low-energy D, C, N ions in various solids and released in space by ion sputtering.

  18. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Kai; Wang, Yibo; Li, Zhuguo, E-mail: lizg@sjtu.edu.cn

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enrichedmore » region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.« less

  19. Tailoring the structural and magnetic properties of masked CoPt thin films using ion implantation

    NASA Astrophysics Data System (ADS)

    Kumar, Durgesh; Gupta, Surbhi; Jin, Tianli; Nongjai, R.; Asokan, K.; Piramanayagam, S. N.

    2018-05-01

    The effects of ion implantations through a mask on the structural and magnetic properties of Co80Pt20 films were investigated. The mask was patterned using the self-assembly of diblock copolymers. For implantation, high (40 keV for 14N+ and 100 keV for 40Ar+) and low (7.5 keV for 14N+ and 4.5 keV for 40Ar+) energy 14N+ and 40Ar+ ions were used to modify the structural and magnetic properties of these films. X-ray diffraction and TRIM simulations were performed for understanding the structural changes due to ion implantations. These results revealed the intermixing of Co atoms in lower layers and lattice expansion in Co80Pt20 magnetic and Ru layers. A lateral straggling of Co caused an increase in the exchange coupling in the masked region. Depletion of Co atoms in Co80Pt20 layer caused a decrease in the anisotropy constant, which were further confirmed by the alternating gradient force magnetometer and magnetic force microscopy results. The magnetic force microscopy images showed an increase in domain width and domain wall width confirming the above-mentioned effects.

  20. Comparison of monomode KTiOPO4 waveguide formed by C3+ ion implantation and Rb+ ion exchange

    NASA Astrophysics Data System (ADS)

    Cui, Xiao-Jun; Wang, Liang-Ling

    2017-02-01

    In this work, we report on the formation and characterization of monomode KTiOPO4 waveguide at 1539 nm by 6.0 MeV C3+ ion implantation with the dose of 2×1015 ions/cm2 and Rb+-K+ ion exchange, respectively. The relative intensity of light as a function of effective refractive index of TM modes at 633 nm and 1539 nm for KTiOPO4 waveguide formed by two different methods were compared with the prism coupling technique. The refractive index (nz) profile for the ion implanted waveguide was reconstructed by reflectivity calculation method, and one for the ion exchanged waveguide was by inverse Wentzel-Kramers-Brillouin. The nuclear energy loss versus penetration depth of the C3+ ions implantation into KTiOPO4 was simulated using the Stopping Range of Ions in Matter software. The Rutherford Backscattering Spectrometry spectrum of KTiOPO4 waveguide was analyzed after ions exchanged. The results showed that monomode waveguide at 1539 nm can be formed by ion implantation and Rb+ -K+ ion exchange, respectively.

  1. PMMA and polystyrene films modification under ion implantation studied by spectroscopic ellipsometry

    NASA Astrophysics Data System (ADS)

    Leontyev, A. V.; Kovalev, V. I.; Khomich, A. V.; Komarov, Fadei F.; Grigoryev, V. V.; Kamishan, A. S.

    2004-05-01

    We have applied spectroscopic ellipsometry with binary polarization modulation to study the refractive index n(λ) and extinction coefficient k(λ) spectra of as-deposited and irradiated with nitrogen ions polymethylmethacrylate (PMMA) and polystyrene (PS) films in 300-1030 nm range. The results of performed investigation confirmed the possibility and estimate restrictions of the ion implantation for local change the refractive index of polymeric materials.

  2. An estimating formula for ion-atom association rates in gases

    NASA Technical Reports Server (NTRS)

    Chatterjee, B. K.; Johnsen, R.

    1990-01-01

    A simple estimating formula is derived for rate coefficients of three-body ion atom association in gases and compare its predictions to experimental data on ion association and three-body radiative charge transfer reactions of singly- and doubly-charged rare-gas ions. The formula appears to reproduce most experimental data quite well. It may be useful for estimating the rates of reactions that have not been studied in the laboratory.

  3. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    NASA Astrophysics Data System (ADS)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  4. Protonated ions as systemic trapping agents for noble gases: From electronic structure to radiative association.

    PubMed

    Ozgurel, O; Pauzat, F; Pilmé, J; Ellinger, Y; Bacchus-Montabonel, M-C; Mousis, O

    2017-10-07

    The deficiencies of argon, krypton, and xenon observed in the atmosphere of Titan as well as anticipated in some comets might be related to a scenario of sequestration by H 3 + in the gas phase at the early evolution of the solar nebula. The chemical process implied is a radiative association, evaluated as rather efficient in the case of H 3 + , especially for krypton and xenon. This mechanism of chemical trapping might not be limited to H 3 + only, considering that the protonated ions produced in the destruction of H 3 + by its main competitors present in the primitive nebula, i.e., H 2 O, CO, and N 2 , might also give stable complexes with the noble gases. However the effective efficiency of such processes is still to be proven. Here, the reactivity of the noble gases Ar, Kr, and Xe, with all protonated ions issued from H 2 O, CO, and N 2 , expected to be present in the nebula with reasonably high abundances, has been studied with quantum simulation method dynamics included. All of them give stable complexes and the rate coefficients of their radiative associations range from 10 -16 to 10 -19 cm 3 s -1 , which is reasonable for such reactions and has to be compared to the rates of 10 -16 to 10 -18 cm 3 s -1 , obtained with H 3 + . We can consider this process as universal for all protonated ions which, if present in the primitive nebula as astrophysical models predict, should act as sequestration agents for all three noble gases with increasing efficiency from Ar to Xe.

  5. P-type single-crystalline ZnO films obtained by (N,O) dual implantation through dynamic annealing process

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2016-12-01

    Single-crystalline ZnO films were grown on a-plane sapphire substrates by plasma-assisted molecular beam epitaxy technique. The films have been implanted with fixed fluence of 120 keV N and 130 keV O ions at 460 °C. Hall measurements show that the dually-implanted single-crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 2.1 × 1018-1.1 × 1019 cm-3, hole mobilities between 1.6 and 1.9 cm2 V-1 s-1, and resistivities in the range of 0.353-1.555 Ω cm. The ZnO films exhibit (002) (c-plane) orientation as identified by the X-ray diffraction pattern. It is confirmed that N ions were effectively implanted by SIMS results. Raman spectra, polarized Raman spectra, and X-ray photoelectron spectroscopy results reflect that the concentration of oxygen vacancies is reduced, which is attributed to O ion implantation. It is concluded that N and O implantation and dynamic annealing play a critical role in forming p-type single-crystalline ZnO films.

  6. Heavy doping of CdTe single crystals by Cr ion implantation

    NASA Astrophysics Data System (ADS)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  7. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Arisawa, You; Sawano, Kentarou; Usami, Noritaka

    2017-06-01

    The influence of ion implantation energies on compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si substrates was investigated. It was found that relaxation ratio can be enhanced over 100% at relatively low implantation energies, and compressive strain in the topmost Si layer is maximized at 45 keV due to large lattice mismatch. Cross-sectional transmission electron microscope images revealed that defects are localized around the hetero-interface between the Si1-xCx layer and the Ar+-implanted Si substrate when the implantation energy is 45 keV, which decreases the amount of defects in the topmost Si layer and the upper part of the Si1-xCx buffer layer.

  8. Depth profiling of high energy nitrogen ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals

    NASA Astrophysics Data System (ADS)

    Erić, M.; Petrović, S.; Kokkoris, M.; Lagoyannis, A.; Paneta, V.; Harissopulos, S.; Telečki, I.

    2012-03-01

    This work reports on the experimentally obtained depth profiles of 4 MeV 14N2+ ions implanted in the <1 0 0>, <1 1 0> and randomly oriented silicon crystals. The ion fluence was 1017 particles/cm2. The nitrogen depth profiling has been performed using the Nuclear Reaction Analysis (NRA) method, via the study of 14N(d,α0)12C and 14N(d,α1)12C nuclear reactions, and with the implementation of SRIM 2010 and SIMNRA computer simulation codes. For the randomly oriented silicon crystal, change of the density of silicon matrix and the nitrogen "bubble" formation have been proposed as the explanation for the difference between the experimental and simulated nitrogen depth profiles. During the implantation, the RBS/C spectra were measured on the nitrogen implanted and on the virgin crystal spots. These spectra provide information on the amorphization of the silicon crystals induced by the ion implantation.

  9. Ion implantation for manufacturing bent and periodically bent crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to producemore » X-ray beams.« less

  10. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reichel, Christian, E-mail: christian.reichel@ise.fraunhofer.de; National Renewable Energy Laboratory; Feldmann, Frank

    Passivated contacts (poly-Si/SiO{sub x}/c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF{sub 2}), the ion implantation dose (5 × 10{sup 14 }cm{sup −2} to 1 × 10{sup 16 }cm{sup −2}), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells.more » Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV{sub oc}) of 725 and 720 mV, respectively. For p-type passivated contacts, BF{sub 2} implantations into intrinsic a-Si yield well passivated contacts and allow for iV{sub oc} of 690 mV, whereas implanted B gives poor passivation with iV{sub oc} of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V{sub oc} of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF{sub 2} implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V{sub oc} of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts.« less

  11. Rapid-relocation model for describing high-fluence retention of rare gases implanted in solids

    NASA Astrophysics Data System (ADS)

    Wittmaack, K.

    2009-09-01

    It has been known for a long time that the maximum areal density of inert gases that can be retained in solids after ion implantation is significantly lower than expected if sputter erosion were the only limiting factor. The difference can be explained in terms of the idea that the trapped gas atoms migrate towards the surface in a series of detrapping-trapping events so that reemission takes place well before the receding surface has advanced to the original depth of implantation. Here it is shown that the fluence dependent shift and shape of implantation profiles, previously determined by Rutherford backscattering spectrometry (RBS), can be reproduced surprisingly well by extending a simple retention model originally developed to account only for the effect of surface recession by sputtering ('sputter approximation'). The additional migration of inert gas atoms is formally included by introducing an effective shift parameter Yeff as the sum of the sputtering yield Y and a relocation efficiency Ψrel. The approach is discussed in detail for 145 keV Xe + implanted in Si at normal incidence. Yeff was found to increase with increasing fluence, to arrive at a maximum equivalent to about twice the sputtering yield. At the surface one needs to account for Xe depletion and the limited depth resolution of RBS. The (high-fluence) effect of implanted Xe on the range distributions is discussed on the basis of SRIM calculations for different definitions of the mean target density, including the case of volume expansion (swelling). To identify a 'range shortening' effect, the implanted gas atoms must be excluded from the definition of the depth scale. The impact-energy dependence of the relocation efficiency was derived from measured stationary Xe concentrations. Above some characteristic energy (˜20 keV for Ar, ˜200 keV for Xe), Y exceeds Ψrel. With decreasing energy, however, Ψrel increases rapidly. Below 2-3 keV more than 90% of the reemission of Ar and Xe is estimated

  12. Plasma immersion ion implantation modification of surface properties of polymer material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Husein, I.F.; Zhou, Y.; Qin, S.

    1997-12-01

    The use of plasma immersion ion implantation (PIII) as a novel method for the treatment of polymer surfaces is investigated. The effect of PIII treatment on the coefficient of friction, contact angle modification, and surface energy of silicone and EPDM (ethylene-propylene-diene monomer) rubber are investigated as a function of pulse voltage, treatment time, and gas species. Low energy (0--8 keV) and high dose ({approximately}10{sup 17}--10{sup 18} ions/cm{sup 2}) implantation of N{sub 2}, Ar, and CF{sub 4} is performed using an inductively coupled plasma source (ICP) at low pressure (0.2 mTorr). PIII treatment reduces the coefficient of friction ({micro}) of siliconemore » rubber from {mu} = 0.464 to the range {mu} = 0.176--0.274, and {mu} of EPDM rubber decreases from 0.9 to the range {mu} = 0.27--0.416 depending on processing conditions. The contact angle of water and diiodomethylene decreases after implantation and increases at higher doses for both silicone and EPDM rubber.« less

  13. Less-Costly Ion Implantation of Solar Cells

    NASA Technical Reports Server (NTRS)

    Fitzgerald, D. J.

    1984-01-01

    Experiments point way toward more relaxed controls over ion-implanation dosage and uniformity in solar-cell fabrication. Data indicate cell performance, measured by output current density at fixed voltage, virtually same whether implant is particular ion species or broad-beam mixture of several species.

  14. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    NASA Astrophysics Data System (ADS)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  15. Development of the ion source for cluster implantation

    NASA Astrophysics Data System (ADS)

    Kulevoy, T. V.; Seleznev, D. N.; Kozlov, A. V.; Kuibeda, R. P.; Kropachev, G. N.; Alexeyenko, O. V.; Dugin, S. N.; Oks, E. M.; Gushenets, V. I.; Hershcovitch, A.; Jonson, B.; Poole, H. J.

    2014-02-01

    Bernas ion source development to meet needs of 100s of electron-volt ion implanters for shallow junction production is in progress in Institute for Theoretical and Experimental Physics. The ion sources provides high intensity ion beam of boron clusters under self-cleaning operation mode. The last progress with ion source operation is presented. The mechanism of self-cleaning procedure is described.

  16. Erbium ion implantation into different crystallographic cuts of lithium niobate

    NASA Astrophysics Data System (ADS)

    Nekvindova, P.; Svecova, B.; Cajzl, J.; Mackova, A.; Malinsky, P.; Oswald, J.; Kolistsch, A.; Spirkova, J.

    2012-02-01

    Single crystals like lithium niobate are frequently doped with optically active rare-earth or transition-metal ions for a variety of applications in optical devices such as solid-state lasers, amplifiers or sensors. To exploit the potential of the Er:LiNbO 3, one must ensure high intensity of the 1.5 μm luminescence as an inevitable prerequisite. One of the important factors influencing the luminescence properties of a lasing ion is the crystal field of the surrounding, which is inevitably determined by the crystal structure of the pertinent material. From that point it is clear that it cannot be easy to affect the resulting luminescence properties - intensity or position of the luminescence band - without changing the structure of the substrate. However, there is a possibility to utilise a potential of the ion implantation of the lasing ions, optionally accompanied with a sensitising one, that can, besides the doping, also modify the structure of the treated area od the crystal. This effect can be eventually enhanced by a post-implantation annealing that may help to recover the damaged structure and hence to improve the desired luminescence. In this paper we are going to report on our experiments with ion-implantation technique followed with subsequent annealing could be a useful way to influence the crystal field of LN. Optically active Er:LiNbO 3 layers were fabricated by medium energy implantation under various experimental conditions. The Er + ions were implanted at energies of 330 and 500 keV with fluences ranging from 1.0 × 10 15 to 1.0 × 10 16 ion cm -2 into LiNbO 3 single-crystal cuts of both common and special orientations. The as-implanted samples were annealed in air and oxygen at two different temperatures (350 and 600 °C) for 5 h. The depth concentration profiles of the implanted erbium were measured by Rutherford Backscattering Spectroscopy (RBS) using 2 MeV He + ions. The photoluminescence spectra of the samples were measured to determine the

  17. Defects in Arsenic Implanted p + -n- and n + -p- Structures Based on MBE Grown CdHgTe Films

    NASA Astrophysics Data System (ADS)

    Izhnin, I. I.; Fitsych, E. I.; Voitsekhovskii, A. V.; Korotaev, A. G.; Mynbaev, K. D.; Varavin, V. S.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Bonchyk, A. Yu.; Savytskyy, H. V.; Świątek, Z.

    2018-02-01

    Complex studies of the defect structure of arsenic-implanted (with the energy of 190 keV) Cd x Hg 1-x Te ( x = 0.22) films grown by molecular-beam epitaxy are carried out. The investigations were performed using secondary-ion mass spectroscopy, transmission electron microscopy, optical reflection in the visible region of the spectrum, and electrical measurements. Radiation donor defects were studied in n +- p- and n +- n-structures obtained by implantation and formed on the basis of p-type and n-type materials, respectively, without activation annealing. It is shown that in the layer of the distribution of implanted ions, a layer of large extended defects with low density is formed in the near-surface region followed by a layer of smaller extended defects with larger density. A different character of accumulation of electrically active donor defects in the films with and without a protective graded-gap surface layer has been revealed. It is demonstrated that p +- n- structures are formed on the basis of n-type material upon activation of arsenic in the process of postimplantation thermal annealing with 100% activation of impurity and complete annihilation of radiation donor defects.

  18. Application of TXRF for ion implanter dose matching experiments

    NASA Astrophysics Data System (ADS)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  19. Annealing temperature effects on the magnetic properties and induced defects in C/N/O implanted MgO

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Ye, Bonian; Hao, Yingping; Liu, Jiandang; Kong, Wei; Ye, Bangjiao

    2013-02-01

    Virgin MgO single crystals were implanted with 70 keV C/N/O ions at room temperature to a dose of 2 × 1017/cm2. After implantation the samples showed room temperature hysteresis in magnetization loops. The annealing effects on the magnetic properties and induced defects of these samples were determined by vibrating sample magnetometer and positron annihilation spectroscopy, respectively. The experimental results indicate that ferromagnetism can be introduced to MgO single crystals by doping with C, N or introduction of Mg related vacancy defects. However, the Mg vacancies coexistence with C or N ions in the C-/N-implanted samples may play a negative role in magnetic performance in these MgO samples. The rapid increase of magnetic moment in O-implanted sample is attributed to the formation of new type of vacancy defects.

  20. The Effect of Low Energy Nitrogen Ion Implantation on Graphene Nanosheets

    NASA Astrophysics Data System (ADS)

    Mishra, Mukesh; Alwarappan, Subbiah; Kanjilal, Dinakar; Mohanty, Tanuja

    2018-03-01

    Herein, we report the effect 50 keV nitrogen ion implantation at varying fluence on the optical properties of graphene nanosheets (number of layers < 5). Initially, graphene nanosheets synthesized by the direct liquid exfoliation of graphite layers were deposited on a cleaned Si-substrate by drop cast method. These graphene nanosheets are implanted with 50 keV nitrogen-ion beam at six different fluences. Raman spectroscopic results show that the D, D' and G peak get broadened up to the nitrogen ion fluence of 1 × 1015 ions/cm2, while 2D peak of graphene nanosheets disappeared for nitrogen-ions have fluence more than 1014 ions/cm2. However, further increase of fluence causes the indistinguishable superimposition of D, D' and G peaks. Surface contact potential value analysis for ion implanted graphene nanosheets shows the increase in defect concentration from 1.15 × 1012 to 1.98 × 1014 defects/cm2 with increasing the nitrogen ion fluence, which resembles the Fermi level shift towards conduction band. XRD spectra confirmed that the crystallinity of graphene nanosheets was found to tamper with increasing fluence. These results revealed that the limit of nitrogen ion implantation resistant on the vibrational behaviors for graphene nanosheets was 1015 ions/cm2 that opens up the scope of application of graphene nanosheets in device fabrication for ion-active environment and space applications.

  1. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    NASA Astrophysics Data System (ADS)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  2. Bias in bonding behavior among boron, carbon, and nitrogen atoms in ion implanted a-BN, a-BC, and diamond like carbon films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genisel, Mustafa Fatih; Uddin, Md. Nizam; Say, Zafer

    2011-10-01

    In this study, we implanted N{sup +} and N{sub 2}{sup +} ions into sputter deposited amorphous boron carbide (a-BC) and diamond like carbon (DLC) thin films in an effort to understand the chemical bonding involved and investigate possible phase separation routes in boron carbon nitride (BCN) films. In addition, we investigated the effect of implanted C{sup +} ions in sputter deposited amorphous boron nitride (a-BN) films. Implanted ion energies for all ion species were set at 40 KeV. Implanted films were then analyzed using x-ray photoelectron spectroscopy (XPS). The changes in the chemical composition and bonding chemistry due to ion-implantationmore » were examined at different depths of the films using sequential ion-beam etching and high resolution XPS analysis cycles. A comparative analysis has been made with the results from sputter deposited BCN films suggesting that implanted nitrogen and carbon atoms behaved very similar to nitrogen and carbon atoms in sputter deposited BCN films. We found that implanted nitrogen atoms would prefer bonding to carbon atoms in the films only if there is no boron atom in the vicinity or after all available boron atoms have been saturated with nitrogen. Implanted carbon atoms also preferred to either bond with available boron atoms or, more likely bonded with other implanted carbon atoms. These results were also supported by ab-initio density functional theory calculations which indicated that carbon-carbon bonds were energetically preferable to carbon-boron and carbon-nitrogen bonds.« less

  3. Energy-loss straggling of 2-10 MeV/u Kr ions in gases

    NASA Astrophysics Data System (ADS)

    Vockenhuber, Christof; Jensen, Jens; Julin, Jaakko; Kettunen, Heikki; Laitinen, Mikko; Rossi, Mikko; Sajavaara, Timo; Osmani, Orkhan; Schinner, Andreas; Sigmund, Peter; Whitlow, Harry J.

    2013-07-01

    Measurements have been performed on a time-of-flight setup at the Jyväskylä K130 cyclotron, aiming at energy-loss straggling of heavy ions in gases. Theoretical predictions based on recently developed theory as well as an empirical interpolation formula predict that straggling can be more than ten times higher than Bohr straggling in the MeV/u regime. Our measurements with up to 9.3 MeV/u Kr ions on He, N2, Ne and Kr targets confirm this feature. Our calculations show the relative contributions of linear straggling, bunching including packing, and charge exchange. Our results for stopping cross sections are compatible with values from the literature.

  4. The influence of nitrogen ion implantation on the tribological properties of piston rings made of Hardox and Raex steels

    NASA Astrophysics Data System (ADS)

    Budzyński, P.; Kamiński, M.; Pyszniak, K.

    2016-09-01

    The implantation of nitrogen, carbon, and oxygen can be used for enhancing the tribological properties of critical components for internal combustion engines. Hardox and Raex steels have very similar strength parameters as for steel used for piston rings in internal combustion engines. An essential criterion when selecting material for the production of piston rings is a low friction factor and a low wear index. The aim of this study was to determine the extent to which these parameters can be enhanced by nitrogen ion implantation. Samples were implanted with nitrogen ions with 65 keV energy and the fluence of implanted ions set to 1.1017 N + /cm2. Friction and wear measurements were performed on a pin-on disc stand. The results demonstrate that implantation with nitrogen ions significantly reduces the friction factor and wear of Hardox 450 and Raex 400 steels. Implantation can and should be used for enhancing the tribological properties of steel used for friction elements in internal combustion engines, particularly when heat treatment is excluded. Final elements can be subjected to implantation, as the process does not change their dimensions.

  5. Characterisation of slab waveguides, fabricated in CaF2 and Er-doped tungsten-tellurite glass by MeV energy N+ ion implantation, using spectroscopic ellipsometry and m-line spectroscopy

    NASA Astrophysics Data System (ADS)

    Bányász, I.; Berneschi, S.; Lohner, T.; Fried, M.; Petrik, P.; Khanh, N. Q.; Zolnai, Z.; Watterich, A.; Bettinelli, M.; Brenci, M.; Nunzi-Conti, G.; Pelli, S.; Righini, G. C.; Speghini, A.

    2010-05-01

    Slab waveguides were fabricated in Er-doped tungsten-tellurite glass and CaF2 crystal samples via ion implantation. Waveguides were fabricated by implantation of MeV energy N+ ions at the Van de Graaff accelerator of the Research Institute for Particle and Nuclear Physics, Budapest, Hungary. Part of the samples was annealed. Implantations were carried out at energies of 1.5 MeV (tungsten-tellurite glass) and 3.5 MeV (CaF2). The implanted doses were between 5 x 1012 and 8 x 1016 ions/cm2. Refractive index profile of the waveguides was measured using SOPRA ES4G and Woollam M-2000DI spectroscopic ellipsometers at the Research Institute for Technical Physics and Materials Science, Budapest. Functionality of the waveguides was tested using a home-made instrument (COMPASSO), based on m-line spectroscopy and prism coupling technique, which was developed at the Materials and Photonics Devices Laboratory (MDF Lab.) of the Institute of Applied Physics in Sesto Fiorentino, Italy. Results of both types of measurements were compared to depth distributions of nuclear damage in the samples, calculated by SRIM 2007 code. Thicknesses of the guiding layer and of the implanted barrier obtained by spectroscopic ellipsometry correspond well to SRIM simulations. Irradiationinduced refractive index modulation saturated around a dose of 8 x 1016 ions/cm2 in tungsten-tellurite glass. Annealing of the implanted waveguides resulted in a reduction of the propagation loss, but also reduced the number of supported guiding modes at the lower doses. We report on the first working waveguides fabricated in an alkali earth halide crystal implanted by MeV energy medium-mass ions.

  6. Microfabrication Method using a Combination of Local Ion Implantation and Magnetorheological Finishing

    NASA Astrophysics Data System (ADS)

    Han, Jin; Kim, Jong-Wook; Lee, Hiwon; Min, Byung-Kwon; Lee, Sang Jo

    2009-02-01

    A new microfabrication method that combines localized ion implantation and magnetorheological finishing is proposed. The proposed technique involves two steps. First, selected regions of a silicon wafer are irradiated with gallium ions by using a focused ion beam system. The mechanical properties of the irradiated regions are altered as a result of the ion implantation. Second, the wafer is processed by using a magnetorheological finishing method. During the finishing process, the regions not implanted with ion are preferentially removed. The material removal rate difference is utilized for microfabrication. The mechanisms of the proposed method are discussed, and applications are presented.

  7. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  8. Semiconductor Ion Implanters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacKinnon, Barry A.; Ruffell, John P.

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion. Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intelmore » product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.« less

  9. Ion beam sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1976-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion beam sputtered surfaces.

  10. Ion-beam-sputter modification of the surface morphology of biological implants

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Banks, B. A.

    1977-01-01

    The surface chemistry and texture of materials used for biological implants may significantly influence their performance and biocompatibility. Recent interest in the microscopic control of implant surface texture has led to the evaluation of ion-beam sputtering as a potentially useful surface roughening technique. Ion sources, similar to electron-bombardment ion thrusters designed for propulsive applications, are used to roughen the surfaces of various biocompatible alloys or polymer materials. These materials are typically used for dental implants, orthopedic prostheses, vascular prostheses, and artificial heart components. Masking techniques and resulting surface textures are described along with progress concerning evaluation of the biological response to the ion-beam-sputtered surfaces.

  11. Modification of Wetting Properties of PMMA by Immersion Plasma Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mireault, N.; Ross, G. G.

    Advancing and receding contact angles below 5° have been obtained on PMMA surfaces with the implantation of argon and oxygen ions. The ion implantations were performed by means of the Immersion Plasma Ion Implantation (IPII) technique, a hybrid between ion beams and immersion plasmas. Characterization of treated PMMA surfaces by means of XPS and its combination with chemical derivatization (CD-XPS) have revealed the depletion of oxygen and the creation of dangling bonds, together with the formation of new chemical functions such as -OOH, -COOH and C=C. These observations provide a good explanation for the strong increase of the wetting properties of the PMMA surfaces.

  12. Low-temperature positron annihilation study of B+-ion implanted PMMA

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T. S.; Tsmots, V. M.; Voloshanska, S. Ya.; Šauša, O.; Nuzhdin, V. I.; Valeev, V. F.; Osin, Y. N.; Stepanov, A. L.

    2014-08-01

    Temperature dependent positron annihilation lifetime spectroscopy (PALS) measurements in the range of 50-300 K are carried out to study positronium formation in 40 KeV B+-ion implanted polymethylmethacrylate (B:PMMA) with two ion doses of 3.13 × 1015 and 3.75 × 1016 ions/cm2. The investigated samples show the various temperature trends of ortho-positronium (o-Ps) lifetime τ3 and intensity I3 in PMMA before and after ion implantation. Two transitions in the vicinity of ˜150 and ˜250 K, ascribed to γ and β transitions, respectively, are observed in the PMMA and B:PMMA samples in consistent with reference data for pristine sample. The obtained results are compared with room temperature PALS study of PMMA with different molecular weight (Mw) which known from literature. It is found that B+-ion implantation leads to decreasing Mw in PMMA at lower ion dose. At higher ion dose the local destruction of polymeric structure follows to broadening of lifetime distribution (hole size distribution).

  13. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source.

    PubMed

    Pilz, W; Laufer, P; Tajmar, M; Böttger, R; Bischoff, L

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi 2 + ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  14. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    NASA Astrophysics Data System (ADS)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  15. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He + ion implantation

    NASA Astrophysics Data System (ADS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-05-01

    He + ion implanted collagen-coated tubes with a fluence of 1 × 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2. Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and was inhibited with fluences of 1 × 10 13, 1 × 10 15 and 1 × 10 16 ions/cm 2. Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 × 10 13 ions/cm 2. On the 1 × 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 × 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface.

  16. Down to 2 nm Ultra Shallow Junctions : Fabrication by IBS Plasma Immersion Ion Implantation Prototype PULSION registered

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Torregrosa, Frank; Etienne, Hasnaa; Mathieu, Gilles

    Classical beam line implantation is limited in low energies and cannot achieve P+/N junctions requirements for <45nm node. Compared to conventional beam line ion implantation, limited to a minimum of about 200 eV, the efficiency of Plasma Immersion Ion Implantation (PIII) is no more to prove for the realization of Ultra Shallow Junctions (USJ) in semiconductor applications: this technique allows to get ultimate shallow profiles (as implanted) thanks to no lower limitation of energy and offers high dose rate. In the field of the European consortium NANOCMOS, Ultra Shallow Junctions implanted on a semi-industrial PIII prototype (PULSION registered ) designedmore » by the French company IBS, have been studied. Ultra shallow junctions implanted with BF3 at acceleration voltages down to 20V were realized. Contamination level, homogeneity and depth profile are studied. The SIMS profiles obtained show the capability to make ultra shallow profiles (as implanted) down to 2nm.« less

  17. Plasma immersion ion implantation for reducing metal ion release

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diaz, C.; Garcia, J. A.; Maendl, S.

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment.more » Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.« less

  18. X-ray photoelectron study of Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Tsvetkova, T.; Balabanov, S.; Bischoff, L.; Krastev, V.; Stefanov, P.; Avramova, I.

    2010-11-01

    X-ray photoelectron spectroscopy was used to characterize different polymer materials implanted with low energy Si+ ions (E=30 keV, D= 1.1017 cm-2). Two kinds of polymers were studied - ultra-high-molecular-weight poly-ethylene (UHMWPE), and poly-methyl-methacrylate (PMMA). The non-implanted polymer materials show the expected variety of chemical bonds: carbon-carbon, carbon being three- and fourfold coordinated, and carbon-oxygen in the case of PMMA samples. The X-ray photoelectron and Raman spectra show that Si+ ion implantation leads to the introduction of additional disorder in the polymer material. The X-ray photoelectron spectra of the implanted polymers show that, in addition to already mentioned bonds, silicon creates new bonds with the host elements - Si-C and Si-O, together with additional Si dangling bonds as revealed by the valence band study of the implanted polymer materials.

  19. The effects of ion implantation on the tribology of perfluoropolyether-lubricated 440C stainless steel couples

    NASA Technical Reports Server (NTRS)

    Shogrin, Bradley; Jones, William R., Jr.; Wilbur, Paul J.; Pilar, Herrera-Fierro; Williamson, Don L.

    1995-01-01

    The lubricating lifetime of thin films of a perfluoropolyether (PFPE) based on hexafluoropropene oxide in the presence of ion implanted 440C stainless steel is presented. Stainless steel discs, either unimplanted or implanted with N2, C, Ti, Ti + N2, or Ti + C had a thin film of PFPE (60-400 A) applied to them reproducibly (+/- 20 percent) and uniformly (+/- 15 percent) using a device developed for this study. The lifetimes of these films were quantified by measuring the number of sliding-wear cycles required to induce an increase in the friction coefficient from an initial value characteristic of the lubricated wear couple to a final, or failure value, characteristic of an unlubricated, unimplanted couple. The tests were performed in a dry nitrogen atmosphere (less than 1 percent RH) at room temperature using a 3 N normal load with a relative sliding speed of 0.05 m/s. The lubricated lifetime of the 440C couple was increased by an order of magnitude by implanting the disc with Ti. Ranked from most to least effective, the implanted species were: Ti; Ti+C; unimplanted; N2; C approximately equals Ti+N2. The mechanism postulated to explain these results involves the formation of a passivating or reactive layer which inhibits or facilitates the production of active sites. The corresponding surface microstructures induced by ion implantation, obtained using x-ray diffraction and conversion electron Mossbauer spectroscopy, ranked from most to least effective in enhancing lubricant lifetime were: amorphous Fe-Cr-Ti; amorphous Fe-Cr-Ti-C + TiC; unimplanted; epsilon-(Fe,Cr)(sub x)N, x = 2 or 3; amorphous Fe-Cr-C approximately equals amorphous Fe-Cr-Ti-N.

  20. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    NASA Astrophysics Data System (ADS)

    Nikolaev, A. G.; Yushkov, G. Yu.; Oks, E. M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E. S.; Brown, I. G.

    2014-08-01

    Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal-gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the "inverse" concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  1. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    NASA Astrophysics Data System (ADS)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  2. System OptimizatIon of the Glow Discharge Optical Spectroscopy Technique Used for Impurity Profiling of ION Implanted Gallium Arsenide.

    DTIC Science & Technology

    1980-12-01

    AFIT/GEO/EE/80D-1 I -’ SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ...EE/80D-1 (\\) SYSTEM OPTIMIZATION OF THE GLOW DISCHARGE OPTICAL SPECTROSCOPY TECHNIQUE USED FOR IMPURITY PROFILING OF ION IMPLANTED GALLIUM ARSENIDE...semiconductors, specifically annealed and unan- nealed ion implanted gallium arsenide (GaAs). Methods to improve the sensitivity of the GDOS system have

  3. Comparative study of CAVET with dielectric and p-GaN gate and Mg ion-implanted current blocking layer

    NASA Astrophysics Data System (ADS)

    Mandal, Saptarshi; Agarwal, Anchal; Ahmadi, Elaheh; Mahadeva Bhat, K.; Laurent, Matthew A.; Keller, Stacia; Chowdhury, Srabanti

    2017-08-01

    In this work, a study of two different types of current aperture vertical electron transistor (CAVET) with ion-implanted blocking layer are presented. The device fabrication and performance limitation of a CAVET with a dielectric gate is discussed, and the breakdown limiting structure is evaluated using on-wafer test structures. The gate dielectric limited the device breakdown to 50V, while the blocking layer was able to withstand over 400V. To improve the device performance, an alternative CAVET structure with a p-GaN gate instead of dielectric is designed and realized. The pGaN gated CAVET structure increased the breakdown voltage to over 400V. Measurement of test structures on the wafer showed the breakdown was limited by the blocking layer instead of the gate p-n junction.

  4. Photoreflectance Study of Boron Ion-Implanted (100) Cadmium Telluride

    NASA Technical Reports Server (NTRS)

    Amirtharaj, P. M.; Odell, M. S.; Bowman, R. C., Jr.; Alt, R. L.

    1988-01-01

    Ion implanted (100) cadmium telluride was studied using the contactless technique of photoreflectance. The implantations were performed using 50- to 400-keV boron ions to a maximum dosage of 1.5 x 10(16)/sq cm, and the annealing was accomplished at 500 C under vacuum. The spectral measurements were made at 77 K near the E(0) and E(1) critical points; all the spectra were computer-fitted to Aspnes' theory. The spectral line shapes from the ion damaged, partially recovered and undamaged, or fully recovered regions could be identified, and the respective volume fraction of each phase was estimated.

  5. Threshold switching in SiGeAsTeN chalcogenide glass prepared by As ion implantation into sputtered SiGeTeN film

    NASA Astrophysics Data System (ADS)

    Liu, Guangyu; Wu, Liangcai; Song, Zhitang; Liu, Yan; Li, Tao; Zhang, Sifan; Song, Sannian; Feng, Songlin

    2017-12-01

    A memory cell composed of a selector device and a storage device is the basic unit of phase change memory. The threshold switching effect, main principle of selectors, is a universal phenomenon in chalcogenide glasses. In this work, we put forward a safe and controllable method to prepare a SiGeAsTeN chalcogenide film by implanting As ions into sputtered SiGeTeN films. For the SiGeAsTeN material, the phase structure maintains the amorphous state, even at high temperature, indicating that no phase transition occurs for this chalcogenide-based material. The electrical test results show that the SiGeAsTeN-based devices exhibit good threshold switching characteristics and the switching voltage decreases with the increasing As content. The decrease in valence alternation pairs, reducing trap state density, may be the physical mechanism for lower switch-on voltage, which makes the SiGeAsTeN material more applicable in selector devices through component optimization.

  6. Electronic excitation effects on nanoparticle formation in insulators under heavy-ion implantation

    NASA Astrophysics Data System (ADS)

    Kishimoto, N.; Plaksin, O. A.; Masuo, K.; Okubo, N.; Umeda, N.; Takeda, Y.

    2006-01-01

    Kinetic processes of nanoparticle formation by ion implantation was studied for the insulators of a-SiO2, LiNbO3, MgO · 2.4(Al2O3) and PMMA, either by changing ion flux or by using a co-irradiation technique of ions and photons. Under Cu-implantation of 60 keV Cu-, nanoparticles spontaneously formed without thermal annealing, indicating radiation-induced diffusion of implants. The high-flux implantation caused instable behaviors of nanoparticle morphology in a-SiO2, LiNbO3 and PMMA, i.e. enhanced atomic rearrangement or loss of nanoparticles. The spinel MgO · 2.4(Al2O3) also showed nanoparticle precipitation at 60 keV, but the precipitation tendency is less than the others. Combined irradiation of 3 MeV Cu ions and photons of 2.3 eV or 3.5 eV indicates that the electronic excitation during ion implantation significantly enhances nanoparticle precipitation, greatly depending on photon energy and fluence. The selectivity for photons can be applied to control nanoparticle precipitation.

  7. Adhesive and abrasive wear mechanisms in ion implanted metals

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1985-03-01

    The distinction between adhesive and abrasive wear processes was introduced originally by Burwell during the nineteen-fifties, though some authors prefer to classify wear according to whether it is mild or severe. It is argued here that, on the basis of the performance of a variety of ion implanted metal surfaces, exposed to different modes of wear, the Burwell distinction is a valid one which, moreover, enables us to predict under which circumstances a given treatment will perform well. It is shown that, because wear rates under abrasive conditions are very sensitive to the ratio of the hardness of the surface to that of the abrasive particles, large increases in working life are attainable as a result of ion implantation. Under adhesive wear conditions, the wear rate appears to fall inversely as the hardness increases, and it is advantageous to implant species which will create and retain a hard surface oxide or other continuous film in order to reduce metal-metal contact. By the appropriate combination of physico-chemical changes in an implanted layer it has been possible to reduce wear rates by up to three orders of magnitude. Such rates compensate for the shallow depths achievable by ion implantation.

  8. Role of stresses in annealing of ion-implantation damage in Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seshan, K.; EerNisse, E.P.

    Recent results showing a crystallographic orientation dependence of growth kinetics, secondary defects, and stress relief in annealing of ion-implanted Si are shown to be self-consistent if interpreted in terms of the influence of stresses upon annealing processes. The stress influence proposed is microplastic shear which is induced in (112) directions on (111) planes inclined to the implant surface by the biaxial stress created in the implant region by ion-implantation damage. The shear stresses are shown to be dependent on crystallographic orientation in a manner consistent with the model.

  9. Persistent photoconductivity in oxygen-ion implanted KNbO3 bulk single crystal

    NASA Astrophysics Data System (ADS)

    Tsuruoka, R.; Shinkawa, A.; Nishimura, T.; Tanuma, C.; Kuriyama, K.; Kushida, K.

    2016-12-01

    Persistent Photoconductivity (PPC) in oxygen-ion implanted KNbO3 ([001] oriented bulk single crystals; perovskite structure; ferroelectric with a band gap of 3.16 eV) is studied in air at room temperature to prevent the degradation of its crystallinity caused by the phase transition. The residual hydrogens in un-implanted samples are estimated to be 5×1014 cm-2 from elastic recoil detection analysis (ERDA). A multiple-energy implantation of oxygen ions into KNbO3 is performed using energies of 200, 400, and 600 keV (each ion fluence:1.0×1014 cm-2). The sheet resistance varies from >108 Ω/□ for an un-implanted sample to 1.9×107 Ω/□ for as-implanted one, suggesting the formation of donors due to hydrogen interstitials and oxygen vacancies introduced by the ion implantation. The PPC is clearly observed with ultraviolet and blue LEDs illumination rather than green, red, and infrared, suggesting the release of electrons from the metastable conductive state below the conduction band relating to the charge states of the oxygen vacancy.

  10. The effects of ion implantation on the beaks of orthodontic pliers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mizrahi, E.; Cleaton-Jones, P.E.; Luyckz, S.

    1991-06-01

    The surface of stainless steel may be hardened by bombarding the material with a stream of nitrogen ions generated by a nuclear accelerator. In the present study this technique was used to determine the hardening effect of ion implantation on the beaks of stainless steel orthodontic pliers. Ten orthodontic pliers (Dentarum 003 094) were divided into two equal groups, designated control and experimental. The beaks of the experimental pliers were subjected to ion implantation, after which the tips of the beaks of all the pliers were stressed in an apparatus attached to an Instron testing machine. A cyclical load ofmore » 500 N was applied to the handles of the pliers, while a 0.9 mm (0.036 inch) round, stainless steel wire was held between the tips of the beaks. The effect of the stress was assessed by measurement with a traveling microscope of the gap produced between the tips of the beaks. Measurements were taken before loading and after 20, 40, 60, and 80 cycles. Statistical analysis of variance and the two-sample t tests indicated that there was a significant increase in the size of the gap as the pliers were stressed from 0 to 80 cycles (p less than 0.001). Furthermore, the mean gap was significantly greater in the control group than in the experimental group (p less than 0.001). This study suggests that ion implantation increases the hardness of the tips of the beaks of orthodontic pliers.« less

  11. Molecular carbon nitride ion beams for enhanced corrosion resistance of stainless steel

    NASA Astrophysics Data System (ADS)

    Markwitz, A.; Kennedy, J.

    2017-10-01

    A novel approach is presented for molecular carbon nitride beams to coat stainless surfaces steel using conventional safe feeder gases and electrically conductive sputter targets for surface engineering with ion implantation technology. GNS Science's Penning type ion sources take advantage of the breaking up of ion species in the plasma to assemble novel combinations of ion species. To test this phenomenon for carbon nitride, mixtures of gases and sputter targets were used to probe for CN+ ions for simultaneous implantation into stainless steel. Results from mass analysed ion beams show that CN+ and a variety of other ion species such as CNH+ can be produced successfully. Preliminary measurements show that the corrosion resistance of stainless steel surfaces increased sharply when implanting CN+ at 30 keV compared to reference samples, which is interesting from an application point of view in which improved corrosion resistance, surface engineering and short processing time of stainless steel is required. The results are also interesting for novel research in carbon-based mesoporous materials for energy storage applications and as electrode materials for electrochemical capacitors, because of their high surface area, electrical conductivity, chemical stability and low cost.

  12. Chemical characterization of 4140 steel implanted by nitrogen ions

    NASA Astrophysics Data System (ADS)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  13. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  14. NMR detection of short-lived β-emitter 12N implanted in water

    NASA Astrophysics Data System (ADS)

    Sugihara, T.; Mihara, M.; Shimaya, J.; Matsuta, K.; Fukuda, M.; Ohno, J.; Tanaka, M.; Yamaoka, S.; Watanabe, K.; Iwakiri, S.; Yanagihara, R.; Tanaka, Y.; Du, H.; Onishi, K.; Kambayashi, S.; Minamisono, T.; Nishimura, D.; Izumikawa, T.; Ozawa, A.; Ishibashi, Y.; Kitagawa, A.; Sato, S.; Torikoshi, M.; Momota, S.

    2017-11-01

    The beta-detected nuclear magnetic resonance ( β-NMR) in liquid H2O has been observed for the first time using a short-lived β-ray emitter 12N ( I π = 1+, T 1/2=11 ms). A nuclear spin polarized 12N beam with an energy of about 20 MeV/nucleon was implanted into an enclosed water sample. About 50 % of implanted 12N ions maintained nuclear polarization and exhibited a β-NMR spectrum. The chemical shift of 12N in H2O relative to 12N in Pt was deduced to be -(3.6±0.5) × 102 ppm.

  15. Super-hard cubic BN layer formation by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Komarov, F. F.; Pilko, V. V.; Yakushev, V. A.; Tishkov, V. S.

    1994-11-01

    Microcrystalline and amorphous boron thin films were implanted with nitrogen ions at energies from 25 to 125 keV and with doses from 2 × 10 17 to 1 × 10 18 at.cm 2 at temperatures below 200°C. The structure of boron nitride phases after ion implantation, formation of phases and phase transformations were investigated by TEM and TED methods. The cubic boron nitride phase is revealed. The microhardness of the formed films was satisfactorily explained in terms of chemical compound formation by polyenergetic ion implantation. The influence of the copper impurity on the formation of the cubic boron nitride phase is demonstrated. It has also been shown that low concentrations of copper promote cubic BN boundary formation.

  16. Breeding of D(-)-lactic acid high producing strain by low-energy ion implantation and preliminary analysis of related metabolism.

    PubMed

    Xu, Ting-Ting; Bai, Zhong-Zhong; Wang, Li-Juan; He, Bing-Fang

    2010-01-01

    The low-energy nitrogen ion beam implantation technique was used in the breeding of mutant D(-)-lactic-acid-producing strains. The wild strain Sporolactobacillus sp. DX12 was mutated by an N(+) ion beam with energy of 10keV and doses ranging from 0.4 x 10(15) to 6.60 x 10(15) ions/cm(2). Combined with an efficient screening method, an efficient mutant Y2-8 was selected after two times N(+) ion beam implantation. By using the mutant Y2-8, 121.6g/l of D-lactic acid was produced with the molar yields of 162.1% to the glucose. The yield of D-lactic acid by strain Y2-8 was 198.8% higher than the wild strain. Determination of anaerobic metabolism by Biolog MT2 was used to analyze the activities of the concerned enzymes in the lactic acid metabolic pathway. The results showed that the activities of the key enzymes responded on the substrates such as 6-phosphofructokinase, pyruvate kinase, and D-lactate dehydrogenase were considerably higher in the mutants than the wild strain. These might be affected by ion beam implantation.

  17. Enhanced light extraction efficiency of GaN-based light-emittng diodes by nitrogen implanted current blocking layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Yong Deok; Oh, Seung Kyu; Park, Min Joo

    Highlights: • A nitrogen implanted current-blocking layer was successfully demonstrated. • Light-extraction efficiency and radiant intensity was increased by more than 20%. • Ion implantation was successfully implemented in GaN based light-emitting diodes. - Abstract: GaN-based light emitting diodes (LEDs) with a nitrogen implanted current-blocking layer (CBL) were successfully demonstrated for improving the light extraction efficiency (LEE) and radiant intensity. The LEE and radiant intensity of the LEDs with a shallow implanted CBL with nitrogen was greatly increased by more than 20% compared to that of a conventional LED without the CBL due to an increase in the effective currentmore » path, which reduces light absorption at the thick p-pad electrode. Meanwhile, deep implanted CBL with a nitrogen resulted in deterioration of the LEE and radiant intensity because of formation of crystal damage, followed by absorption of the light generated at the multi-quantum well(MQW). These results clearly suggest that ion implantation method, which is widely applied in the fabrication of Si based devices, can be successfully implemented in the fabrication of GaN based LEDs by optimization of implanted depth.« less

  18. Characterization of silicon-gate CMOS/SOS integrated circuits processed with ion implantation

    NASA Technical Reports Server (NTRS)

    Woo, D. S.

    1977-01-01

    Progress in developing the application of ion implantation techniques to silicon gate CMOS/SOS processing is described. All of the conventional doping techniques such as in situ doping of the epi-film and diffusion by means of doped oxides are replaced by ion implantation. Various devices and process parameters are characterized to generate an optimum process by the use of an existing SOS test array. As a result, excellent circuit performance is achieved. A general description of the all ion implantation process is presented.

  19. Ion Implantation with in-situ Patterning for IBC Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graff, John W.

    2014-10-24

    Interdigitated back-side Contact (IBC) solar cells are the highest efficiency silicon solar cells currently on the market. Unfortunately the cost to produce these solar cells is also very high, due to the large number of processing steps required. Varian believes that only the combination of high efficiency and low cost can meet the stated goal of $1/Wp. The core of this program has been to develop an in-situ patterning capability for an ion implantation system capable of producing patterned doped regions for IBC solar cells. Such a patterning capable ion implanter can reduce the number of process steps required tomore » manufacture IBC cells, and therefore significantly reduce the cost. The present program was organized into three phases. Phase I was to select a patterning approach and determine the patterning requirements for IBC cells. Phase II consists of construction of a Beta ion implantation system containing in-situ patterning capability. Phase III consists of shipping and installation of the ion implant system in a customer factory where it will be tested and proven in a pilot production line.« less

  20. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  1. Plasma Immersion Ion Implantation for Interdigitated Back Passivated Contact (IBPC) Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo

    2016-11-21

    We present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam-line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm-2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures grown on n-Cz wafers with PH3more » PIII doping gave implied open circuit voltage (iVoc) values of 730 mV with Jo values of 2 fA/cm2. Samples doped with B2H6 gave iVoc values of 690 mV and Jo values of 24 fA/cm2, outperforming BF3 doping, which gave iVoc values in the 660-680 mV range. Samples were further characterized by photoluminescence and SIMS depth profiles. Initial IBPC cell results are presented.« less

  2. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  3. Gene expression profiles in promoted-growth rice seedlings that germinated from the seeds implanted by low-energy N+ beam

    PubMed Central

    Ya, Huiyuan; Chen, Qiufang; Wang, Weidong; Chen, Wanguang; Qin, Guangyong; Jiao, Zhen

    2012-01-01

    The stimulation effect that some beneficial agronomic qualities have exhibited in present-generation plants have also been observed due to ion implantation on plants. However, there is relatively little knowledge regarding the molecular mechanism of the stimulation effects of ion-beam implantation. In order to extend our current knowledge about the functional genes related to this stimulation effect, we have reported a comprehensive microarray analysis of the transcriptome features of the promoted-growth rice seedlings germinating from seeds implanted by a low-energy N+ beam. The results showed that 351 up-regulated transcripts and 470 down-regulated transcripts, including signaling proteins, kinases, plant hormones, transposable elements, transcription factors, non-coding protein RNA (including miRNA), secondary metabolites, resistance proteins, peroxidase and chromatin modification, are all involved in the stimulating effects of ion-beam implantation. The divergences of the functional catalog between the vacuum and ion implantation suggest that ion implantation is the principle cause of the ion-beam implantation biological effects, and revealed the complex molecular networks required to adapt to ion-beam implantation stress in plants, including enhanced transposition of transposable elements, promoted ABA biosynthesis and changes in chromatin modification. Our data will extend the current understanding of the molecular mechanisms and gene regulation of stimulation effects. Further research on the candidates reported in this study should provide new insights into the molecular mechanisms of biological effects induced by ion-beam implantation. PMID:22843621

  4. Synergistic effect of nanotopography and bioactive ions on peri-implant bone response

    PubMed Central

    Su, Yingmin; Komasa, Satoshi; Li, Peiqi; Nishizaki, Mariko; Chen, Luyuan; Terada, Chisato; Yoshimine, Shigeki; Nishizaki, Hiroshi; Okazaki, Joji

    2017-01-01

    Both bioactive ion chemistry and nanoscale surface modifications are beneficial for enhanced osseointegration of endosseous implants. In this study, a facile synthesis approach to the incorporation of bioactive Ca2+ ions into the interlayers of nanoporous structures (Ca-nano) formed on a Ti6Al4V alloy surface was developed by sequential chemical and heat treatments. Samples with a machined surface and an Na+ ion-incorporated nanoporous surface (Na-nano) fabricated by concentrated alkali and heat treatment were used in parallel for comparison. The bone response was investigated by microcomputed tomography assessment, sequential fluorescent labeling analysis, and histological and histomorphometric evaluation after 8 weeks of implantation in rat femurs. No significant differences were found in the nanotopography, surface roughness, or crystalline properties of the Ca-nano and Na-nano surfaces. Bone–implant contact was better in the Ca-nano and Na-nano implants than in the machined implant. The Ca-nano implant was superior to the Na-nano implant in terms of enhancing the volume of new bone formation. The bone formation activity consistently increased for the Ca-nano implant but ceased for the Na-nano implant in the late healing stage. These results suggest that Ca-nano implants have promising potential for application in dentistry and orthopedics. PMID:28184162

  5. Intrinsic point-defect balance in self-ion-implanted ZnO.

    PubMed

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  6. Observations of Ag diffusion in ion implanted SiC

    DOE PAGES

    Gerczak, Tyler J.; Leng, Bin; Sridharan, Kumar; ...

    2015-03-17

    The nature and magnitude of Ag diffusion in SiC has been a topic of interest in connection with the performance of tristructural isotropic (TRISO) coated particle fuel for high temperature gas-cooled nuclear reactors. Ion implantation diffusion couples have been revisited to continue developing a more complete understanding of Ag fission product diffusion in SiC. Ion implantation diffusion couples fabricated from single crystal 4H-SiC and polycrystalline 3C-SiC substrates and exposed to 1500–1625°C, were investigated in this study by transmission electron microscopy and secondary ion mass spectrometry (SIMS). The high dynamic range of SIMS allowed for multiple diffusion régimes to be investigated,more » including enhanced diffusion by implantation-induced defects and grain boundary (GB) diffusion in undamaged SiC. Lastly, estimated diffusion coefficients suggest GB diffusion in bulk SiC does not properly describe the release observed from TRISO fuel.« less

  7. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  8. High Curie temperature drive layer materials for ion-implanted magnetic bubble devices

    NASA Technical Reports Server (NTRS)

    Fratello, V. J.; Wolfe, R.; Blank, S. L.; Nelson, T. J.

    1984-01-01

    Ion implantation of bubble garnets can lower the Curie temperature by 70 C or more, thus limiting high temperature operation of devices with ion-implanted propagation patterns. Therefore, double-layer materials were made with a conventional 2-micron bubble storage layer capped by an ion-implantable drive layer of high Curie temperature, high magnetostriction material. Contiguous disk test patterns were implanted with varying doses of a typical triple implant. Quality of propagation was judged by quasistatic tests on 8-micron period major and minor loops. Variations of magnetization, uniaxial anisotropy, implant dose, and magnetostriction were investigated to ensure optimum flux matching, good charged wall coupling, and wide operating margins. The most successful drive layer compositions were in the systems (SmDyLuCa)3(FeSi)5O12 and (BiGdTmCa)3(FeSi)5O12 and had Curie temperatures 25-44 C higher than the storage layers.

  9. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu Chenglong; Xin Yunchang; Tian Xiubo

    2007-03-15

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has threemore » layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO{sub 2} with some Mg(OH){sub 2}. The middle layer that is 50 nm thick comprises predominantly TiO{sub 2} and MgO with minor contributions from MgAl{sub 2}O{sub 4} and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti{sub 3}Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37{+-}1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased {beta}-Mg{sub 12}Al{sub 17} phase.« less

  10. Formation and photoluminescence of GaAs{sub 1−x}N{sub x} dilute nitride achieved by N-implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Kun, E-mail: k.gao@hzdr.de; Helm, M.; Technische Universität Dresden, 01062 Dresden

    2014-07-07

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs{sub 1−x}N{sub x} by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about x{sub imp1} = 0.38% and x{sub imp2} = 0.76%. The GaAs{sub 1−x}N{sub x} layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs{sub 1−x}N{sub x} samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice bymore » FLA for x{sub imp1} = 0.38% and x{sub imp2} = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.« less

  11. Lithium Nitride Synthesized by in situ Lithium Deposition and Ion Implantation for Boron Neutron Capture Therapy

    NASA Astrophysics Data System (ADS)

    Ishitama, Shintaro; Baba, Yuji; Fujii, Ryo; Nakamura, Masaru; Imahori, Yoshio

    Li3N synthesis on Li deposition layer was conducted without H2O and O2 by in situ lithium deposition in high vacuum chamber of 10-6 Pa and ion implantation techniques and the thermo-chemical stability of the Li3N/Li/Cu tri-layered target for Boron Neutron Capture Therapy (BNCT) under laser heating and air exposure was characterized by X-ray photoelectron spectroscopy (XPS). Following conclusions were derived; (1) Li3N/Li/Cu tri-layered target with very low oxide and carbon contamination was synthesized by in situ lithium vacuum deposition and N2+ ion implantation without H2O and O2 additions, (2) The starting temperature of evaporation of Li3N/Li/Cu tri-layered target increased by 120K compared to that of the Li/Cu target and (3) Remarkable oxidation and carbon contamination were observed on the surface of Li3N/Li/Cu after air exposure and these contaminated compositions was not removed by Ar+ heavy sputtering.

  12. Cole-cole analysis and electrical conduction mechanism of N{sup +} implanted polycarbonate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chawla, Mahak; Shekhawat, Nidhi; Aggarwal, Sanjeev, E-mail: write2sa@gmail.com

    2014-05-14

    In this paper, we present the analysis of the dielectric (dielectric constant, dielectric loss, a.c. conductivity) and electrical properties (I–V characteristics) of pristine and nitrogen ion implanted polycarbonate. The samples of polycarbonate were implanted with 100 keV N{sup +} ions with fluence ranging from 1 × 10{sup 15} to 1 × 10{sup 17} ions cm{sup −2}. The dielectric measurements of these samples were performed in the frequency range of 100 kHz to 100 MHz. It has been observed that dielectric constant decreases whereas dielectric loss and a.c. conductivity increases with increasing ion fluence. An analysis of real and imaginary parts of dielectric permittivity has beenmore » elucidated using Cole-Cole plot of the complex permittivity. With the help of Cole-Cole plot, we determined the values of static dielectric constant (ε{sub s}), optical dielectric constant (ε{sub ∞}), spreading factor (α), average relaxation time (τ{sub 0}), and molecular relaxation time (τ). The I–V characteristics were studied using Keithley (6517) electrometer. The electrical conduction behaviour of pristine and implanted polycarbonate specimens has been explained using various models of conduction.« less

  13. Effect of post-implantation annealing on Al-N isoelectronic trap formation in silicon: Al-N pair formation and defect recovery mechanisms

    NASA Astrophysics Data System (ADS)

    Mori, Takahiro; Morita, Yukinori; Matsukawa, Takashi

    2018-05-01

    The effect of post-implantation annealing (PIA) on Al-N isoelectronic trap (IET) formation in silicon has been experimentally investigated to discuss the Al-N IET formation and implantation-induced defect recovery mechanisms. We performed a photoluminescence study, which indicated that self-interstitial clusters and accompanying vacancies are generated in the ion implantation process. It is supposed that Al and N atoms move to the vacancy sites and form stable Al-N pairs in the PIA process. Furthermore, the PIA process recovers self-interstitial clusters while transforming their atomic configuration. The critical temperature for the formation/dissociation of Al-N pairs was found to be 450 °C, with which we describe the process integration for devices utilizing Al-N IET technology.

  14. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-03-01

    A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C1- implantation dose was increased to 1 × 1016 ions/cm2, and the effects of C1-, C2- and O1- implantation result in only small differences in the water contact angle at 3 × 1015 ions/cm2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Sisbnd CH3, Sisbnd Osbnd Si, Csbnd H) of RTV SR and generates hydrophilic functional groups (sbnd COOH, sbnd OH, Sisbnd (O)x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  15. Low temperature deactivation of Ge heavily n-type doped by ion implantation and laser thermal annealing

    NASA Astrophysics Data System (ADS)

    Milazzo, R.; Impellizzeri, G.; Piccinotti, D.; De Salvador, D.; Portavoce, A.; La Magna, A.; Fortunato, G.; Mangelinck, D.; Privitera, V.; Carnera, A.; Napolitani, E.

    2017-01-01

    Heavy doping of Ge is crucial for several advanced micro- and optoelectronic applications, but, at the same time, it still remains extremely challenging. Ge heavily n-type doped at a concentration of 1 × 1020 cm-3 by As ion implantation and melting laser thermal annealing (LTA) is shown here to be highly metastable. Upon post-LTA conventional thermal annealing As electrically deactivates already at 350 °C reaching an active concentration of ˜4 × 1019 cm-3. No significant As diffusion is detected up to 450 °C, where the As activation decreases further to ˜3 × 1019 cm-3. The reason for the observed detrimental deactivation was investigated by Atom Probe Tomography and in situ High Resolution X-Ray Diffraction measurements. In general, the thermal stability of heavily doped Ge layers needs to be carefully evaluated because, as shown here, deactivation might occur at very low temperatures, close to those required for low resistivity Ohmic contacting of n-type Ge.

  16. Optimization of single keV ion implantation for the construction of single P-donor devices

    NASA Astrophysics Data System (ADS)

    Yang, Changyi; Jamieson, David N.; Hopf, Toby; Andresen, Soren E.; Hearne, Sean M.; Hudson, Fay E.; Pakes, Christopher I.; Mitic, Mladen; Gauja, Eric; Tamanyan, Grigori; Dzurak, Andrew S.; Prawer, Steven; Clark, Robert G.

    2005-02-01

    We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the "top down" strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.

  17. Extended defects and hydrogen interactions in ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (<650°C) defect dissolution and defect injection dominates, resulting in increased junction depths. At higher anneal temperatures, however, repair dominates over defect injection resulting in shallower junctions. Hydrogenation experiments shows that hydrogen enhances dopant activation and reduces TED at low anneal temperatures (<550°C). At anneal temperatures >550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at

  18. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    NASA Astrophysics Data System (ADS)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  19. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    PubMed

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  20. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    NASA Astrophysics Data System (ADS)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  1. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru; Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk; Nikonenko, Elena, E-mail: vilatomsk@mail.ru

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a differentmore » effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.« less

  2. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    NASA Astrophysics Data System (ADS)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  3. Doping of two-dimensional MoS2 by high energy ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  4. Demonstration and Analysis of Materials Processing by Ablation Plasma Ion Implantation (APII)

    NASA Astrophysics Data System (ADS)

    Qi, B.; Gilgenbach, R. M.; Lau, Y. Y.; Jones, M. C.; Lian, J.; Wang, L. M.; Doll, G. L.; Lazarides, A.

    2001-10-01

    Experiments have demonstrated laser-ablated Fe ion implantation into Si substrates. Baseline laser deposited films (0 kV) showed an amorphous Fe-Si film overlying the Si substrate with a top layer of nanocrystalline Fe. APII films exhibited an additional Fe ion-induced damage layer, extending 7.6 nm below the Si surface. The overlying Fe-Si layer and Fe top layer were amorphized by fast ions. Results were confirmed by XPS vs Ar ion etching time for depth profile of the deposited films. XPS showed primarily Fe (top layer), transitioning to roughly equal Fe/Si , then mostly Si with lower Fe (implanted region). These data clearly prove Fe ion implantation into Si, verifying the feasibility of APII as an ion acceleration and implantation process [1]. SRIM simulations predict about 20 percent deeper Fe ion penetration than data, due to:(a) Subsequent ions must pass through the Fe film deposited by earlier ions, and (b) the bias voltage has a slow rise and fall time. Theoretical research has developed the scaling laws for APII [2]. Recently, a model has successfully explained the shortening of the decay time in the high voltage pulse with the laser ablation plasma. This reduces the theoretical RC time constant, which agrees with the experimental data. * Research supported by National Science Foundation Grant CTS-9907106 [1] Appl. Phys. Lett. 78, 3785 (2001) [2] Appl. Phys. Lett. 78, 706 (2001)),

  5. Interferometric pump-probe characterization of the nonlocal response of optically transparent ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Hadjichristov, Georgi B.

    2012-03-01

    Optical interferometric technique is applied to characterize the nonlocal response of optically transparent ion implanted polymers. The thermal nonlinearity of the ion-modified material in the near-surface region is induced by continuous wave (cw) laser irradiation at a relatively low intensity. The interferometry approach is demonstrated for a subsurface layer of a thickness of about 100 nm formed in bulk polymethylmethacrylate (PMMA) by implantation with silicon ions at an energy of 50 keV and fluence in the range 1014-1017 cm-2. The laser-induced thermooptic effect in this layer is finely probed by interferometric imaging. The interference phase distribution in the plane of the ion implanted layer is indicative for the thermal nonlinearity of the near-surface region of ion implanted optically transparent polymeric materials.

  6. Development and experimental study of large size composite plasma immersion ion implantation device

    NASA Astrophysics Data System (ADS)

    Falun, SONG; Fei, LI; Mingdong, ZHU; Langping, WANG; Beizhen, ZHANG; Haitao, GONG; Yanqing, GAN; Xiao, JIN

    2018-01-01

    Plasma immersion ion implantation (PIII) overcomes the direct exposure limit of traditional beam-line ion implantation, and is suitable for the treatment of complex work-piece with large size. PIII technology is often used for surface modification of metal, plastics and ceramics. Based on the requirement of surface modification of large size insulating material, a composite full-directional PIII device based on RF plasma source and metal plasma source is developed in this paper. This device can not only realize gas ion implantation, but also can realize metal ion implantation, and can also realize gas ion mixing with metal ions injection. This device has two metal plasma sources and each metal source contains three cathodes. Under the condition of keeping the vacuum unchanged, the cathode can be switched freely. The volume of the vacuum chamber is about 0.94 m3, and maximum vacuum degree is about 5 × 10-4 Pa. The density of RF plasma in homogeneous region is about 109 cm-3, and plasma density in the ion implantation region is about 1010 cm-3. This device can be used for large-size sample material PIII treatment, the maximum size of the sample diameter up to 400 mm. The experimental results show that the plasma discharge in the device is stable and can run for a long time. It is suitable for surface treatment of insulating materials.

  7. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  8. Temperature dependences of the photoluminescence intensities of centers in silicon implanted with erbium and oxygen ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sobolev, N. A., E-mail: nick@sobolev.ioffe.rssi.ru; Shtel’makh, K. F.; Kalyadin, A. E.

    2015-12-15

    Low-temperature photoluminescence in n-Cz-Si after the implantation of erbium ions at an elevated temperature and subsequent implantation of oxygen ions at room temperature is studied. So-called X and W centers formed from self-interstitial silicon atoms, H and P centers containing oxygen atoms, and Er centers containing Er{sup 3+} ions are observed in the photoluminescence spectra. The energies of enhancing and quenching of photoluminescence for these centers are determined. These energies are determined for the first time for X and H centers. In the case of P and Er centers, the values of the energies practically coincide with previously published data.more » For W centers, the energies of the enhancing and quenching of photoluminescence depend on the conditions of the formation of these centers.« less

  9. Fabrication and Characterization of Thin Film Ion Implanted Composite Materials for Integrated Nonlinear Optical Devices

    NASA Technical Reports Server (NTRS)

    Sarkisov, S.; Curley, M.; Williams, E. K.; Wilkosz, A.; Ila, D.; Poker, D. B.; Hensley, D. K.; Smith, C.; Banks, C.; Penn, B.; hide

    1998-01-01

    Ion implantation has been shown to produce a high density of metal colloids within the layer regions of glasses and crystalline materials. The high-precipitate volume fraction and small size of metal nanoclusters formed leads to values for the third-order susceptibility much greater than those for metal doped solids. This has stimulated interest in use of ion implantation to make nonlinear optical materials. On the other side, LiNbO3 has proved to be a good material for optical waveguides produced by MeV ion implantation. Light confinement in these waveguides is produced by refractive index step difference between the implanted region and the bulk material. Implantation of LiNbO3 with MeV metal ions can therefore result into nonlinear optical waveguide structures with great potential in a variety of device applications. We describe linear and nonlinear optical properties of a waveguide structure in LiNbO3-based composite material produced by silver ion implantation in connection with mechanisms of its formation.

  10. Ion-Implanted Diamond Films and Their Tribological Properties

    NASA Technical Reports Server (NTRS)

    Wu, Richard L. C.; Miyoshi, Kazuhisa; Korenyi-Both, Andras L.; Garscadden, Alan; Barnes, Paul N.

    1993-01-01

    This paper reports the physical characterization and tribological evaluation of ion-implanted diamond films. Diamond films were produced by microwave plasma, chemical vapor deposition technique. Diamond films with various grain sizes (0.3 and 3 microns) and roughness (9.1 and 92.1 nm r.m.s. respectively) were implanted with C(+) (m/e = 12) at an ion energy of 160 eV and a fluence of 6.72 x 10(exp 17) ions/sq cm. Unidirectional sliding friction experiments were conducted in ultrahigh vacuum (6.6 x 10(exp -7)Pa), dry nitrogen and humid air (40% RH) environments. The effects of C(+) ion bombardment on fine and coarse-grained diamond films are as follows: the surface morphology of the diamond films did not change; the surface roughness increased (16.3 and 135.3 nm r.m.s.); the diamond structures were damaged and formed a thin layer of amorphous non-diamond carbon; the friction coefficients dramatically decreased in the ultrahigh vacuum (0.1 and 0.4); the friction coefficients decreased slightly in the dry nitrogen and humid air environments.

  11. Ultrahigh-current-density metal-ion implantation and diamondlike-hydrocarbon films for tribological applications

    NASA Astrophysics Data System (ADS)

    Wilbur, P. J.

    1993-09-01

    The metal-ion-implantation system used to implant metals into substrates are described. The metal vapor required for operation is supplied by drawing sufficient electron current from the plasma discharge to an anode-potential crucible so a solid, pure metal placed in the crucible will be heated to the point of vaporization. The ion-producing, plasma discharge is initiated within a graphite-ion-source body, which operates at high temperature, by using an argon flow that is turned off once the metal vapor is present. Extraction of ion beams several cm in diameter at current densities ranging to several hundred micro-A/sq cm on a target 50 cm downstream of the ion source were demonstrated using Mg, Ag, Cr, Cu, Si, Ti, V, B, and Zr. These metals were implanted into over 100 substrates (discs, pins, flats, wires). A model describing thermal stresses induced in materials (e.g. ceramic plates) during high-current-density implantation is presented. Tribological and microstructural characteristics of iron and 304-stainless-steel samples implanted with Ti or B are examined. Diamondlike-hydrocarbon coatings were applied to steel surfaces and found to exhibit good tribological performance.

  12. Method For Plasma Source Ion Implantation And Deposition For Cylindrical Surfaces

    DOEpatents

    Fetherston, Robert P. , Shamim, Muhammad M. , Conrad, John R.

    1997-12-02

    Uniform ion implantation and deposition onto cylindrical surfaces is achieved by placing a cylindrical electrode in coaxial and conformal relation to the target surface. For implantation and deposition of an inner bore surface the electrode is placed inside the target. For implantation and deposition on an outer cylindrical surface the electrode is placed around the outside of the target. A plasma is generated between the electrode and the target cylindrical surface. Applying a pulse of high voltage to the target causes ions from the plasma to be driven onto the cylindrical target surface. The plasma contained in the space between the target and the electrode is uniform, resulting in a uniform implantation or deposition of the target surface. Since the plasma is largely contained in the space between the target and the electrode, contamination of the vacuum chamber enclosing the target and electrodes by inadvertent ion deposition is reduced. The coaxial alignment of the target and the electrode may be employed for the ion assisted deposition of sputtered metals onto the target, resulting in a uniform coating of the cylindrical target surface by the sputtered material. The independently generated and contained plasmas associated with each cylindrical target/electrode pair allows for effective batch processing of multiple cylindrical targets within a single vacuum chamber, resulting in both uniform implantation or deposition, and reduced contamination of one target by adjacent target/electrode pairs.

  13. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    NASA Astrophysics Data System (ADS)

    Fedorov, A. V.; van Huis, M. A.; van Veen, A.

    2002-05-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2. The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of Tmax=1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3×10 11 s -1.

  14. Use of low-energy hydrogen ion implants in high-efficiency crystalline-silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Sigh, R.; Mu, H. C.

    1986-01-01

    The use of low-energy hydrogen implants in the fabrication of high-efficiency crystalline silicon solar cells was investigated. Low-energy hydrogen implants result in hydrogen-caused effects in all three regions of a solar cell: emitter, space charge region, and base. In web, Czochralski (Cz), and floating zone (Fz) material, low-energy hydrogen implants reduced surface recombination velocity. In all three, the implants passivated the space charge region recombination centers. It was established that hydrogen implants can alter the diffusion properties of ion-implanted boron in silicon, but not ion-implated arsenic.

  15. Ion radiation albedo effect: influence of surface roughness on ion implantation and sputtering of materials

    NASA Astrophysics Data System (ADS)

    Li, Yonggang; Yang, Yang; Short, Michael P.; Ding, Zejun; Zeng, Zhi; Li, Ju

    2017-01-01

    In fusion devices, ion retention and sputtering of materials are major concerns in the selection of compatible plasma-facing materials (PFMs), especially in the context of their microstructural conditions and surface morphologies. We demonstrate how surface roughness changes ion implantation and sputtering of materials under energetic ion irradiation. Using a new, sophisticated 3D Monte Carlo (MC) code, IM3D, and a random rough surface model, ion implantation and the sputtering yields of tungsten (W) with a surface roughness varying between 0-2 µm have been studied for irradiation by 0.1-1 keV D+, He+ and Ar+ ions. It is found that both ion backscattering and sputtering yields decrease with increasing roughness; this is hereafter called the ion radiation albedo effect. This effect is mainly dominated by the direct, line-of-sight deposition of a fraction of emitted atoms onto neighboring asperities. Backscattering and sputtering increase with more oblique irradiation angles. We propose a simple analytical formula to relate rough-surface and smooth-surface results.

  16. Metal Ion-Loaded Nanofibre Matrices for Calcification Inhibition in Polyurethane Implants

    PubMed Central

    Singh, Charanpreet; Wang, Xungai

    2017-01-01

    Pathologic calcification leads to structural deterioration of implant materials via stiffening, stress cracking, and other structural disintegration mechanisms, and the effect can be critical for implants intended for long-term or permanent implantation. This study demonstrates the potential of using specific metal ions (MI)s for inhibiting pathological calcification in polyurethane (PU) implants. The hypothesis of using MIs as anti-calcification agents was based on the natural calcium-antagonist role of Mg2+ ions in human body, and the anti-calcification effect of Fe3+ ions in bio-prosthetic heart valves has previously been confirmed. In vitro calcification results indicated that a protective covering mesh of MI-doped PU can prevent calcification by preventing hydroxyapatite crystal growth. However, microstructure and mechanical characterisation revealed oxidative degradation effects from Fe3+ ions on the mechanical properties of the PU matrix. Therefore, from both a mechanical and anti-calcification effects point of view, Mg2+ ions are more promising candidates than Fe3+ ions. The in vitro MI release experiments demonstrated that PU microphase separation and the structural design of PU-MI matrices were important determinants of release kinetics. Increased phase separation in doped PU assisted in consistent long-term release of dissolved MIs from both hard and soft segments of the PU. The use of a composite-sandwich mesh design prevented an initial burst release which improved the late (>20 days) release rate of MIs from the matrix. PMID:28644382

  17. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    NASA Astrophysics Data System (ADS)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  18. Production yield of rare-earth ions implanted into an optical crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kornher, Thomas, E-mail: t.kornher@physik.uni-stuttgart.de; Xia, Kangwei; Kolesov, Roman

    2016-02-01

    Rare-earth (RE) ions doped into desired locations of optical crystals might enable a range of novel integrated photonic devices for quantum applications. With this aim, we have investigated the production yield of cerium and praseodymium by means of ion implantation. As a measure, the collected fluorescence intensity from both implanted samples and single centers was used. With a tailored annealing procedure for cerium, a yield up to 53% was estimated. Praseodymium yield amounts up to 91%. Such high implantation yield indicates a feasibility of creation of nanopatterned rare-earth doping and suggests strong potential of RE species for on-chip photonic devices.

  19. Evidence for the formation of SiGe nanoparticles in Ge-implanted Si 3N 4

    DOE PAGES

    Mirzaei, S.; Kremer, F.; Feng, R.; ...

    2017-03-14

    SiGe nanoparticles were formed in an amorphous Si 3N 4 matrix by Ge + ion implantation and thermal annealing. The size of the nanoparticles was determined by transmission electron microscopy and their atomic structure by x-ray absorption spectroscopy. Nanoparticles were observed for excess Ge concentrations in the range from 9 to 12 at. % after annealing at temperatures in the range from 700 to 900 °C. The average nanoparticle size increased with excess Ge concentration and annealing temperature and varied from an average diameter of 1.8±0.2 nm for the lowest concentration and annealing temperature to 3.2±0.5 nm for the highestmore » concentration and annealing temperature. Our study demonstrates that the structural properties of embedded SiGe nanoparticles in amorphous Si 3N 4 are sensitive to the implantation and post implantation conditions. Furthermore, we demonstrate that ion implantation is a novel pathway to fabricate and control the SiGe nanoparticle structure and potentially useful for future optoelectronic device applications.« less

  20. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1986-03-06

    34 ASME, J. Lub. Technology 105, pp. 534-541 (1983). 89. J. M. Lambert, P. A. Treado, D . Trbojevic , R. G. Allas, A. R. Knudson, G. W. Reynolds, and F. R...Singer and R.G. Vardiman D . In Situ Auger Analysis Of Surface Composition During High Fluence Ion Implantation...Niobium Implantation Of Iron Films ..............................................37 B. D . Sartwell and D.A. Baldwin F. Sputtering And Migration During Ta

  1. N+ ion-target interactions in PPO polymer: A structural characterization

    NASA Astrophysics Data System (ADS)

    Das, A.; Dhara, S.; Patnaik, A.

    1999-01-01

    N + ion beam induced effects on the spin coated amorphous poly(2,6-dimethyl phenylene oxide) (PPO) films in terms of chemical structure and electronic and vibrational properties were investigated using Fourier Transform Infrared spectroscopy (FTIR) and Ultraviolet-Visible (UV-VIS) spectroscopy. Both techniques revealed that the stability of PPO was very weak towards 100 keV N + ions revealing the threshold fluence to be 10 14 ions/cm 2 for fragmentation of the polymer. FTIR analysis showed disappearance of all characteristic IR bands at a total fluence of 10 14 ions/cm 2 except for the band CC at 1608 cm -1 which was found to shift to a lower wave number along with an enhancement in the full width half maximum (FWHM) value with increasing fluence. A new bond appeared due to oxidation as a shoulder at 1680 cm -1 in FTIR spectra indicating the presence of CO type bond as a result of N + implantation on PPO films. The optical band gap ( Eg) deduced from absorption spectra, was observed to decrease from 4.4 to 0.5 eV with fluence. The implantation induced carbonaceous clusters, determined using Robertson's formula for the optical band gap, were found to consist of ˜160 fused hexagonal aromatic rings at the maximum energy fluence. An enhanced absorption coefficient as a function of fluence indicated incorporation of either much larger concentration of charge carriers or their mobility than that of the pristine sample. Calculated band tail width from Urbach band tail region for the implanted samples pointed the band edge sharpness to be strongly dependent on fluence indicating an increased disorder with increasing fluence.

  2. Range of plasma ions in cold cluster gases near the critical point

    NASA Astrophysics Data System (ADS)

    Zhang, G.; Quevedo, H. J.; Bonasera, A.; Donovan, M.; Dyer, G.; Gaul, E.; Guardo, G. L.; Gulino, M.; La Cognata, M.; Lattuada, D.; Palmerini, S.; Pizzone, R. G.; Romano, S.; Smith, H.; Trippella, O.; Anzalone, A.; Spitaleri, C.; Ditmire, T.

    2017-05-01

    We measure the range of plasma ions in cold cluster gases by using the Petawatt laser at the University of Texas-Austin. The produced plasma propagated in all directions some hitting the cold cluster gas not illuminated by the laser. From the ratio of the measured ion distributions at different angles we can estimate the range of the ions in the cold cluster gas. It is much smaller than estimated using popular models, which take only into account the slowing down of charged particles in uniform matter. We discuss the ion range in systems prepared near a liquid-gas phase transition.

  3. Angle Control on the Optima HE/XE Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Edward; Satoh, Shu

    2008-11-03

    The Optima HE/XE is the latest generation of high energy ion implanter from Axcelis, combining proven RF linear accelerator technology with new single wafer processing. The architecture of the implanter is designed to provide a parallel beam at the wafer plane over the full range of implant energies and beam currents. One of the advantages of this system is the ability to control both the horizontal and vertical implant angles for each implant. Included in the design is the ability to perform in situ measurements of the horizontal and vertical angles of the beam in real time. The method ofmore » the horizontal and vertical angle measurements is described in this paper.« less

  4. Growth of rutile TiO2 nanorods in Ti and Cu ion sequentially implanted SiO2 and the involved mechanisms

    NASA Astrophysics Data System (ADS)

    Mu, Xiaoyu; Liu, Xiaoyu; Wang, Xiaohu; Dai, Haitao; Liu, Changlong

    2018-01-01

    TiO2 in nanoscale exhibits unique physicochemical and optoelectronic properties and has attracted much more interest of the researchers. In this work, TiO2 nanostructures are synthesized in amorphous SiO2 slices by implanting Ti ions, or sequentially implanting Ti and Cu ions combined with annealing at high temperature. The morphology, structure, spatial distribution and optical properties of the formed nanostructures have been investigated in detail. Our results clearly show that the thermal growth of TiO2 nanostructures in SiO2 substrate is significantly enhanced by presence of post Cu ion implantation, which depends strongly on the applied Cu ion fluence, as well as the annealing atmosphere. Due to the formation of Cu2O in the substrate, rutile TiO2 nanorods of large size have been well fabricated in the Ti and Cu sequentially implanted SiO2 after annealing in N2 atmosphere, in which Cu2O plays a role as a catalyst. Moreover, the sample with well-fabricated TiO2 nanorods exhibits a narrowed band gap, an enhanced optical absorption in visible region, and catalase-/peroxidase-like catalytic characteristics. Our findings provide an effective route to fabricate functional TiO2 nanorods in SiO2 via ion implantation.

  5. Controlled removal of ceramic surfaces with combination of ions implantation and ultrasonic energy

    DOEpatents

    Boatner, Lynn A.; Rankin, Janet; Thevenard, Paul; Romana, Laurence J.

    1995-01-01

    A method for tailoring or patterning the surface of ceramic articles is provided by implanting ions to predetermined depth into the ceramic material at a selected surface location with the ions being implanted at a fluence and energy adequate to damage the lattice structure of the ceramic material for bi-axially straining near-surface regions of the ceramic material to the predetermined depth. The resulting metastable near-surface regions of the ceramic material are then contacted with energy pulses from collapsing, ultrasonically-generated cavitation bubbles in a liquid medium for removing to a selected depth the ion-damaged near-surface regions containing the bi-axially strained lattice structure from the ceramic body. Additional patterning of the selected surface location on the ceramic body is provided by implanting a high fluence of high-energy, relatively-light ions at selected surface sites for relaxing the bi-axial strain in the near-surface regions defined by these sites and thereby preventing the removal of such ion-implanted sites by the energy pulses from the collapsing ultrasonic cavitation bubbles.

  6. Structural Changes in Polymer Films by Fast Ion Implantation

    NASA Astrophysics Data System (ADS)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  7. Ion implantation disorder in strained-layer superlattices

    NASA Astrophysics Data System (ADS)

    Arnold, G. W.; Picraux, S. T.; Peercy, P. S.; Myers, D. R.; Biefeld, R. M.; Dawson, L. R.

    Cantilever beam bending and RBS channeling measurements have been used to examine implantation induced disorder and stress buildup in InO 2GaO 8As/GaAs SLS structures. The critical fluence for saturation of compressive stress occurs prior to amorphous layer formation and is followed by stress relief. For all the ions the maximum ion induced stress scales with energy density into atomic processes and stress relief occurs above approximately 1x10 to the 20th keV/1 cubic cm. Stress relief is more pronounced for the SLSs than for bulk GaAs. Stress relief may lead to slip or other forms of inelastic material flow in SLSs, which would be undesirable for active regions in device applications. Such material flow may be avoided by limiting maximum fluences or by multiple step or simultaneous implantation and annealing for high fluences.

  8. High definition surface micromachining of LiNbO 3 by ion implantation

    NASA Astrophysics Data System (ADS)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  9. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  10. A feasibility study of ion implantation techniques for mass spectrometer calibration

    NASA Technical Reports Server (NTRS)

    Koslin, M. E.; Krycuk, G. A.; Schatz, J. G., Jr.; White, F. A.; Wood, G. M.

    1978-01-01

    An experimental study was undertaken to examine the feasibility of using ion-implanted filaments doped with either an alkali metal or noble gas for in situ recalibration of onboard mass spectrometers during extended space missions. Implants of rubidium and krypton in rhenium ribbon filaments were subsequently tested in a bakeable 60 deg sector mass spectrometer operating in the static mode. Surface ionization and electron impact ion sources were both used, each yielding satisfactory results. The metallic implant with subsequent ionization provided a means of mass scale calibration and determination of system operating parameters, whereas the noble gas thermally desorbed into the system was more suited for partial pressure and sensitivity determinations.

  11. Nonlinear effects in defect production by atomic and molecular ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    David, C., E-mail: david@igcar.gov.in; Dholakia, Manan; Chandra, Sharat

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al{sub 3}, resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed duemore » to Al{sub 4} implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations.« less

  12. Localization of carbon atoms and extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions

    NASA Astrophysics Data System (ADS)

    Jadan, M.; Chelyadinskii, A. R.; Odzhaev, V. B.

    2013-02-01

    The possibility to control the localization of implanted carbon in sites and interstices in silicon immediately during the implantation has been demonstrated. The formation of residual extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions has been shown. It has been found that the formation of residual defects can be suppressed due to annihilation of point defects at C atoms (the Watkins effect). The positive effect is attained if implanted carbon is localized over lattice sites, which is provided by its implantation with the effective current density of the scanning ion beam no lower than 1.0 μA cm-2.

  13. Dose Control System in the Optima XE Single Wafer High Energy Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Satoh, Shu; Yoon, Jongyoon; David, Jonathan

    2011-01-07

    Photoresist outgassing can significantly compromise accurate dosimetry of high energy implants. High energy implant even at a modest beam current produces high beam powers which create significantly worse outgassing than low and medium energy implants and the outgassing continues throughout the implant due to the low dose in typical high energy implant recipes. In the previous generation of high energy implanters, dose correction by monitoring of process chamber pressure during photoresist outgassing has been used. However, as applications diversify and requirements change, the need arises for a more versatile photoresist correction system to match the versatility of a single wafermore » high energy ion implanter. We have successfully developed a new dosimetry system for the Optima XE single wafer high energy ion implanter which does not require any form of compensation due to the implant conditions. This paper describes the principles and performance of this new dose system.« less

  14. ERDA, RBS, TEM and SEM characterization of microstructural evolution in helium-implanted Hastelloy N alloy

    NASA Astrophysics Data System (ADS)

    Gao, Jie; Bao, Liangman; Huang, Hefei; Li, Yan; Lei, Qiantao; Deng, Qi; Liu, Zhe; Yang, Guo; Shi, Liqun

    2017-05-01

    Hastelloy N alloy was implanted with 30 keV, 5 × 1016 ions/cm2 helium ions at room temperature, and subsequent annealed at 600 °C for 1 h and further annealed at 850 °C for 5 h in vacuum. Using elastic recoil detection analysis (ERDA) and transmission electron microscopy (TEM), the depth profiles of helium concentration and helium bubbles in helium-implanted Hastelloy N alloy were investigated, respectively. The diffusion of helium and molybdenum elements to surface occurred during the vacuum annealing at 850 °C (5 h). It was also observed that bubbles in molybdenum-enriched region were much larger in size than those in deeper region. In addition, it is worth noting that plenty of nano-holes can be observed on the surface of helium-implanted sample after high temperature annealing by scanning electron microscope (SEM). This observation provides the evidence for the occurrence of helium release, which can be also inferred from the results of ERDA and TEM analysis.

  15. Au5+ ion implantation induced structural phase transitions probed through structural, microstructural and phonon properties in BiFeO3 ceramics, using synergistic ion beam energy

    NASA Astrophysics Data System (ADS)

    Dey, Ranajit; Bajpai, P. K.

    2018-04-01

    Implanted Au5+-ion-induced modification in structural and phonon properties of phase pure BiFeO3 (BFO) ceramics prepared by sol-gel method was investigated. These BFO samples were implanted by 15.8 MeV ions of Au5+ at various ion fluence ranging from 1 × 1014 to 5 × 1015 ions/cm2. Effect of Au5+ ions' implantation is explained in terms of structural phase transition coupled with amorphization/recrystallization due to ion implantation probed through XRD, SEM, EDX and Raman spectroscopy. XRD patterns show broad diffuse contributions due to amorphization in implanted samples. SEM images show grains collapsing and mounds' formation over the surface due to mass transport. The peaks of the Raman spectra were broadened and also the peak intensities were decreased for the samples irradiated with 15.8 MeV Au5+ ions at a fluence of 5 × 1015 ion/cm2. The percentage increase/decrease in amorphization and recrystallization has been estimated from Raman and XRD data, which support the synergistic effects being operative due to comparable nuclear and electronic energy losses at 15.8 MeV Au5+ ion implantation. Effect of thermal treatment on implanted samples is also probed and discussed.

  16. Recoil implantation of boron into silicon by high energy silicon ions

    NASA Astrophysics Data System (ADS)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  17. Solar wind noble gases and nitrogen in metal from lunar soil 68501

    NASA Technical Reports Server (NTRS)

    Becker, Richard H.; Pepin, Robert O.

    1994-01-01

    Noble gases and N were analyzed in handpicked metal separates from lunar soil 68501 by a combination of step-wise combustions and pyrolyses. Helium and Ne were found to be unfractionated with respect to one another when normalized to solar abundances, for both the bulk sample and for all but the highest temperature steps. However, they are depleted relative to Ar, Kr and Xe by at least a factor of 5. The heavier gases exhibit mass-dependent fractionation relative to solar system abundance ratios but appear unfractionated, both in the bulk metal and in early temperature steps, when compared to relative abundances derived from lunar ilmenite 71501 by chemical etching, recently put forward as representing the abundance ratios in solar wind. Estimates of the contribution of solar energetic particles (SEP) to the originally implanted solar gases, derived from a basic interpretation of He and Ne isotopes, yield values of about 10%. Analysis of the Ar isotopes requires a minimum of 20% SEP, and Kr isotopes, using our preferred composition for solar wind Kr, yield a result that overlaps both these values. It is possible to reconcile the data from these gases if significant loss of solar wind Ar, Kr and presumably Xe has occurred relative to the SEP component, most likely by erosive processes that are mass independent, although mass-dependent losses (Ar greater than Kr greater than Xe) cannot be excluded. If such losses did occur, the SEP contribution to the solar implanted gases must have been no more than a few percent. Nitrogen is a mixture of indigenous meteoritic N, whose isotopic composition is inferred to be relatively light, and implanted solar N, which has probably undergone diffusive redistribution and fractionation. If the heavy noble gases have not undergone diffusive loss, then N/Ar in the solar wind can be inferred to be at least several times the accepted solar ratio. The solar wind N appears, even after correction for fractionation effects, to have a minimum

  18. Systemic levels of metallic ions released from orthodontic mini-implants.

    PubMed

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  19. Clustering of gold particles in Au implanted CrN thin films: The effect on the SPR peak position

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Popović, M.; Schmidt, E.; Mitrić, M.; Bibić, N.; Rakočević, Z.; Ronning, C.

    2017-12-01

    We report on the formation of gold particles in 280 nm thin polycrystalline CrN layers caused by Au+ ion implantation. The CrN layers were deposited at 150 °C by d.c. reactive sputtering on Si(100) wafers and then implanted at room temperature with 150 keV Au+ ions to fluences of 2 × 1016 cm-2 to 4.1 × 1016 cm-2. The implanted layers were analysed by the means of Rutherford backscattering spectrometry, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry measurements. The results revealed that the Au atoms are situated in the near-surface region of the implanted CrN layers. At the fluence of 2 × 1016 cm-2 the formation of Au particles of ∼200 nm in diameter has been observed. With increasing Au ion fluence the particles coalesce into clusters with dimensions of ∼1.7 μm. The synthesized particles show a strong absorption peak associated with the excitation of surface plasmon resonances (SPR). The position of the SPR peak shifted in the range of 426.8-690.5 nm when the Au+ ion fluence was varied from 2 × 1016 cm-2 to 4.1 × 1016 cm-2. A correlation of the shift in the peak wavelength caused by the change in the particles size and clustering has been revealed, suggesting that the interaction between Au particles dominate the surface plasmon resonance effect.

  20. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    NASA Astrophysics Data System (ADS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  1. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    PubMed Central

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants. PMID:26527099

  2. Mechanical properties improvement of pulsed laser-deposited hydroxyapatite thin films by high energy ion-beam implantation

    NASA Astrophysics Data System (ADS)

    Nelea, V.; Pelletier, H.; Müller, D.; Broll, N.; Mille, P.; Ristoscu, C.; Mihailescu, I. N.

    2002-01-01

    Major problems in the hydroxyapatite (HA), Ca 5(PO 4) 3OH, thin films processing still keep the poor mechanical properties and the lack in density. We present a study on the feasibility of high energy ion-beam implantation technique to densify HA bioceramic films. Crystalline HA films were grown by pulsed laser deposition (PLD) method using an excimer KrF ∗ laser ( λ=248 nm, τ FWHM≥20 ns). The films were deposited on Ti-5Al-2.5Fe alloys substrates previously coated with a ceramic TiN buffer layer. After deposition the films were implanted with Ar + ions at high energy. Optical microscopy (OM), white light confocal microscopy (WLCM), grazing incidence X-ray diffraction (GIXRD) and Berkovich nanoindentation in normal and scratch options have been applied for the characterization of the obtained structures. We put into evidence an enhancement of the mechanical characteristics after implantation, while GIXRD measurements confirm that the crystalline structure of HA phase is preserved. The improvement in mechanical properties is an effect of a densification after ion treatment as a result of pores elimination and grains regrowth.

  3. Design and application of ion-implanted polySi passivating contacts for interdigitated back contact c-Si solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Guangtao; Ingenito, Andrea; Hameren, Nienke van

    2016-01-18

    Ion-implanted passivating contacts based on poly-crystalline silicon (polySi) are enabled by tunneling oxide, optimized, and used to fabricate interdigitated back contact (IBC) solar cells. Both n-type (phosphorous doped) and p-type (boron doped) passivating contacts are fabricated by ion-implantation of intrinsic polySi layers deposited via low-pressure chemical vapor deposition and subsequently annealed. The impact of doping profile on the passivation quality of the polySi doped contacts is studied for both polarities. It was found that an excellent surface passivation could be obtained by confining as much as possible the implanted-and-activated dopants within the polySi layers. The doping profile in the polySimore » was controlled by modifying the polySi thickness, the energy and dose of ion-implantation, and the temperature and time of annealing. An implied open-circuit voltage of 721 mV for n-type and 692 mV for p-type passivating contacts was achieved. Besides the high passivating quality, the developed passivating contacts exhibit reasonable high conductivity (R{sub sh n-type} = 95 Ω/□ and R{sub sh p-type} = 120 Ω/□). An efficiency of 19.2% (V{sub oc} = 673 mV, J{sub sc} = 38.0 mA/cm{sup 2}, FF = 75.2%, and pseudo-FF = 83.2%) was achieved on a front-textured IBC solar cell with polySi passivating contacts as both back surface field and emitter. By improving the front-side passivation, a V{sub OC} of 696 mV was also measured.« less

  4. Improvement of Vitamin K2 Production by Escherichia sp. with Nitrogen Ion Beam Implantation Induction

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Wang, Li; Zheng, Zhiming; Wang, Peng; Zhao, Genhai; Liu, Hui; Gong, Guohong; Wu, Hefang; Liu, Hongxia; Tan, Mu; Li, Zhemin

    2015-02-01

    Low-energy ion implantation as a novel mutagen has been increasingly applied in the microbial mutagenesis for its higher mutation frequency and wider mutation spectra. In this work, N+ ion beam implantation was used to enhance Escherichia sp. in vitamin K2 yield. Optimization of process parameters under submerged fermentation was carried out to improve the vitamin K2 yield of mutant FM5-632. The results indicate that an excellent mutant FM5-632 with a yield of 123.2±1.6 μg/L, that is four times that of the original strain, was achieved by eight successive implantations under the conditions of 15 keV and 60×2.6×1013 ions/cm2. A further optimization increased the yield of the mutant by 39.7%, i.e. 172.1±1.2 μg/L which occurred in the mutant cultivated in the optimal fermentation culture medium composed of (per liter): 15.31 g glycerol, 10 g peptone, 2.89 g yeast extract, 5 g K2HPO4, 1 g NaCl, 0.5 g MgSO4·7H2O and 0.04 g cedar wood oil, incubated at 33 °C, pH 7.0 and 180 rpm for 120 h.

  5. Spectral distribution of UV range diffuse reflectivity for Si+ ion implanted polymers

    NASA Astrophysics Data System (ADS)

    Balabanov, S.; Tsvetkova, T.; Borisova, E.; Avramov, L.; Bischoff, L.

    2008-05-01

    The analysis of the UV range spectral characteristics can supply additional information on the formed sub-surface buried layer with implanted dopants. The near-surface layer (50÷150 nm) of bulk polymer samples have been implanted with silicon (Si+) ions at low energies (E = 30 keV) and a wide range of ion doses (D = 1.1013 ÷ 1, 2.1017 cm-2). The studied polymer materials were: ultra-high-molecular-weight polyethylene (UHMWPE), poly-methyl-metacrylate (PMMA) and poly-tetra-fluor-ethylene (PTFE). The diffuse optical reflectivity spectra Rd = f(λ) of the ion implanted samples have been measured in the UV range (λ = 220÷350 nm). In this paper the dose dependences of the size and sign of the diffuse optical reflectivity changes λRd = f(D) have been analysed.

  6. The formation of magnetic silicide Fe3Si clusters during ion implantation

    NASA Astrophysics Data System (ADS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  7. Determination of migration of ion-implanted Ar and Zn in silica by backscattering spectrometry

    NASA Astrophysics Data System (ADS)

    Szilágyi, E.; Bányász, I.; Kótai, E.; Németh, A.; Major, C.; Fried, M.; Battistig, G.

    2015-03-01

    It is well known that the refractive indices of lots of materials can be modified by ion implantation, which is important for waveguide fabrication. In this work the effect of Ar and Zn ion implantation on silica layers was investigated by Rutherford Backscattering Spectrometry (RBS) and Spectroscopic Ellipsometry (SE). Silica layers produced by chemical vapour deposition technique on single crystal silicon wafers were implanted by Ar and Zn ions with a fluence of 1-2 ×1016 Ar/cm2 and 2.5 ×1016 Zn/cm2, respectively. The refractive indices of the implanted silica layers before and after annealing at 300°C and 600°C were determined by SE. The migration of the implanted element was studied by real-time RBS up to 500°C. It was found that the implanted Ar escapes from the sample at 300°C. Although the refractive indices of the Ar-implanted silica layers were increased compared to the as-grown samples, after the annealing this increase in the refractive indices vanished. In case of the Zn-implanted silica layer both the distribution of the Zn and the change in the refractive indices were found to be stable. Zn implantation seems to be an ideal choice for producing waveguides.

  8. The effects of argon ion bombardment on the corrosion resistance of tantalum

    NASA Astrophysics Data System (ADS)

    Ramezani, A. H.; Sari, A. H.; Shokouhy, A.

    2017-02-01

    Application of ion beam has been widely used as a surface modification method to improve surface properties. This paper investigates the effect of argon ion implantation on surface structure as well as resistance against tantalum corrosion. In this experiment, argon ions with energy of 30 keV and in doses of 1 × 1017-10 × 1017 ions/cm2 were used. The surface bombardment with inert gases mainly produces modified topography and morphology of the surface. Atomic Force Microscopy was also used to patterned the roughness variations prior to and after the implantation phase. Additionally, the corrosion investigation apparatus wear was applied to compare resistance against tantalum corrosion both before and after ion implantation. The results show that argon ion implantation has a substantial impact on increasing resistance against tantalum corrosion. After the corrosion test, scanning electron microscopy (SEM) analyzed the samples' surface morphologies. In addition, the elemental composition is characterized by energy-dispersive X-ray (EDX) analysis. The purpose of this paper was to obtain the perfect condition for the formation of tantalum corrosion resistance. In order to evaluate the effect of the ion implantation on the corrosion behavior, potentiodynamic tests were performed. The results show that the corrosion resistance of the samples strongly depends on the implantation doses.

  9. Cryogenic ion implantation near amorphization threshold dose for halo/extension junction improvement in sub-30 nm device technologies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Hugh; Todorov, Stan; Colombeau, Benjamin

    2012-11-06

    We report on junction advantages of cryogenic ion implantation with medium current implanters. We propose a methodical approach on maximizing cryogenic effects on junction characteristics near the amorphization threshold doses that are typically used for halo implants for sub-30 nm technologies. BF{sub 2}{sup +} implant at a dose of 8 Multiplication-Sign 10{sup 13}cm{sup -2} does not amorphize silicon at room temperature. When implanted at -100 Degree-Sign C, it forms a 30 - 35 nm thick amorphous layer. The cryogenic BF{sub 2}{sup +} implant significantly reduces the depth of the boron distribution, both as-implanted and after anneals, which improves short channelmore » rolloff characteristics. It also creates a shallower n{sup +}-p junction by steepening profiles of arsenic that is subsequently implanted in the surface region. We demonstrate effects of implant sequences, germanium preamorphization, indium and carbon co-implants for extension/halo process integration. When applied to sequences such as Ge+As+C+In+BF{sub 2}{sup +}, the cryogenic implants at -100 Degree-Sign C enable removal of Ge preamorphization, and form more active n{sup +}-p junctions and steeper B and In halo profiles than sequences at room temperature.« less

  10. Synthesis of embedded titanium dioxide nanoparticles by oxygen ion implantation in titanium films

    NASA Astrophysics Data System (ADS)

    Rukade, Deepti. A.; Desai, C. A.; Kulkarni, Nilesh; Tribedi, L. C.; Bhattacharyya, Varsha

    2013-02-01

    Thin films of titanium of 100nm thickness are deposited on fused silica substrates. These films are implanted by oxygen ions with implantation energy of 60keV obtained from ECR based highly charged ion accelerator. The implanted films are later annealed in a tube furnace to establish nanophase formation. The post implanted annealed films are characterized by UV-Visible Spectroscopy and Glancing Angle X-ray Diffraction technique (GAXRD). The phase formed and particle size is determined by GAXRD. Nanoparticle formation is confirmed by the UV-VIS spectroscopic analysis that shows quantum size effects in the form of a blue shift in the band-gap energy of titanium-oxide.

  11. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE PAGES

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; ...

    2015-11-03

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  12. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying withmore » transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.« less

  13. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    PubMed

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  14. A study of the wear behaviour of ion implanted pure iron

    NASA Astrophysics Data System (ADS)

    Goode, P. D.; Peacock, A. T.; Asher, J.

    1983-05-01

    The technique of Thin Layer Activation (TLA) has been used to monitor disc wear in pin-on-disc wear tests. By simultaneously monitoring the pin wear the relationship between the wear rates of the two components of the wear couple has been studied. Tests were carried out using untreated pins wearing against ion implanted and untreated pure iron discs. The ratio of pin/disc volumetric wear rates was found to be constant in tests with unimplanted discs. In the implanted case the ratio was 8 initially, rising to the unimplatned value of 24 by a sliding distance of 25 km. The relationship between pin and disc wear after nitrogen implantation of the disc was approximately independent of dose between values of 7×10 16 and 1.2×10 18 N atoms cm -2. The actual wear rates of both pin and disc were significantly lower after implantation with the greater effects being observed om the unimplanted pin. The effects are explained in terms of the model of oxidative wear. In the unimplanted case the high pin wear relative to disc wear is considered to result from the higher mean temperature of pin asperities. Implantation appears to alter the mean asperity temperatures in such a way as to reduce the oxidation rate of the pin preferentially. Alternatively the effect of the implantation could be to reduce the critical thickness for removal of oxide formed on disc asperities.

  15. Influence of implantation on the electrochemical properties of smooth and porous TiN coatings for stimulation electrodes

    NASA Astrophysics Data System (ADS)

    Meijs, S.; Sørensen, C.; Sørensen, S.; Rechendorff, K.; Fjorback, M.; Rijkhoff, N. J. M.

    2016-04-01

    Objective. To determine whether changes in electrochemical properties of porous titanium nitride (TiN) electrodes as a function of time after implantation are different from those of smooth TiN electrodes. Approach. Eight smooth and 8 porous TiN coated electrodes were implanted in 8 rats. Before implantation, voltage transients, cyclic voltammograms and impedance spectra were recorded in phosphate buffered saline (PBS). After implantation, these measurements were done weekly to investigate how smooth and porous electrodes were affected by implantation. Main results. The electrode capacitance of the porous TiN electrodes decreased more than the capacitance of the smooth electrodes due to acute implantation under fast measurement conditions (such as stimulation pulses). This indicates that protein adhesion presents a greater diffusion limitation for counter-ions for the porous than for the smooth electrodes. The changes in electrochemical properties during the implanted period were similar for smooth and porous TiN electrodes, indicating that cell adhesion poses a similar diffusion limitation for smooth and porous electrodes. Significance. This knowledge can be used to optimize the porous structure of the TiN film, so that the effect of protein adhesion on the electrochemical properties is diminished. Alternatively, an additional coating could be applied on the porous TiN that would prevent or minimize protein adhesion.

  16. Effect of the Graded-Gap Layer Composition on the Formation of n + -n - -p Structures in Boron-Implanted Heteroepitaxial Cd x Hg1- x Te Layers

    NASA Astrophysics Data System (ADS)

    Talipov, N. Kh.; Voitsekhovskii, А. V.; Grigor'ev, D. V.

    2014-07-01

    Processes of formation of n + -n--p-structures in boron-implanted heteroepitaxial (HEL) CdxHg1-xTe (CMT) layers of p-type grown by molecular beam epitaxy (HEL CMT MBE) with different compositions of the upper graded-gap layer are studied. It is shown that the surface composition (xs) of HEL CMT MBE significantly affects both the electrical parameters of the implanted layer and the spatial distribution of radiation defects of donor type. For HEL CMT MBE with the small surface composition xs = 0.22-0.33, it is found that the layer electron concentration (Ns) is decreased after saturation with accumulation of radiation defects, as the dose of B+ ions is increased in the range of D = 1ṡ1011-3ṡ1015 сm-2. An increase of the surface composition up to xs = 0.49-0.56 results in a significant decrease in Ns and a disappearance of the saturation of concentration in the whole dose range. The value of Ns monotonically increases with the energy (E) of boron ions and composition xs. It is found that for B+-ion energies E = 20-100 keV, the depth of the surface n + -layer increases with increasing energy and exceeds the total projected path of boron ions. However, in the energy range E = 100-150 keV, the depth of n+-layer stops increasing with the increase of the surface composition. The depth (dn) of a lightly doped n--layer monotonically decreases with increasing energy of boron ions in the entire range of E = 20-150 keV. With increasing dose (D) of B+ ions in the interval D = 1ṡ1014-1ṡ1015сm-2, deep n--layers with dn = 4-5 μm are formed only in the HEL CMT MBE with xs = 0.22-0.33. For the samples with xs = 0.49-0.56, the depth changes in the interval dn = 1.5-2.5 μm. At D ≤ 3ṡ1013сm-2, n + -n--p-structure is not formed for all surface compositions, if implantation is performed at room temperature. However, implantation at T = 130°C leads to the formation of a deep n--layer. Planar photodiodes with the n-p-junction area of A = 35×35 μm2 made on the basis of

  17. In-Situ RBS Channelling Studies Of Ion Implanted Semiconductors And Insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wendler, E.

    2011-06-01

    The experimental set-up at the ion beam facility in Jena allows the performance of Rutherford backscattering spectrometry (RBS) in channeling configuration at any temperature between 15 K and room temperature without changing the environment or the temperature of the sample. Doing RBS channeling studies at 15 K increases the sensitivity to defects, because the influence of lattice vibrations is reduced. Thus, the very early processes of ion induced damage formation can be studied and the cross section of damage formation per ion in virgin material, P, can be determined. At 15 K ion-beam induced damage formation itself can be investigated,more » because the occurrence of thermal effects can be widely excluded. In AlAs, GaN, and ZnO the cross section P measured at 15 K can be used to estimate the displacement energy for the heavier component, which is in reasonable agreement with other experiments or theoretical calculations. For a given ion species (here Ar ions) the measured cross section P exhibits a quadratic dependence P{proportional_to}P{sub SRIM}{sup 2} with P{sub SRIM} being the value calculated with SRIM using established displacement energies from other sources. From these results the displacement energy of AlN can be estimated to about 40 eV. Applying the computer code DICADA to calculate the depth distribution of displaced lattice atoms from the channeling spectra, indirect information about the type of defects produced during ion implantation at 15 K can be obtained. In some materials like GaN or ZnO the results indicate the formation of extended defects most probably dislocation loops and thus suggest an athermal mobility of defect at 15 K.« less

  18. Hardness depth profile of lattice strained cemented carbide modified by high-energy boron ion implantation

    NASA Astrophysics Data System (ADS)

    Yoshida, Y.; Matsumura, A.; Higeta, K.; Inoue, T.; Shimizu, S.; Motonami, Y.; Sato, M.; Sadahiro, T.; Fujii, K.

    1991-07-01

    The hardness depth profiles of cemented carbides which were implanted with high-energy B + ions have been estimated using a dynamic microhardness tester. The B + implantations into (16% Co)-cemented WC alloys were carried out under conditions where the implantation energies were 1-3 MeV and the fluences 1 × 10 17-1 × 10 18ions/cm 2. The profiles show that the implanted layer becomes harder as fluences are chosen at higher values and there is a peak at a certain depth which depends on the implantation energy. In X-ray diffraction (XRD) studies of the implanted surface the broadened refraction peaks of only WC and Co are detected and the increments of lattice strain and of residual stress in the near-surface region are observed. It is supposed that the hardening effect should be induced by an increase in residual stress produced by lattice strain. The hardness depth profile in successive implantation of ions with different energies agrees with the compounded profile of each one of the implantations. It is concluded that the hardness depth profile can be controlled under adequate conditions of implantation.

  19. Initial Thrust Measurements of Marshall's Ion-ioN Thruster

    NASA Technical Reports Server (NTRS)

    Schloeder, Natalie R.; Scogin, Tyler; Liu, Thomas M.; Walker, Mitchell L. R.; Polzin, Kurt A.; Dankanich, John W.; Aanesland, Ane

    2015-01-01

    Electronegative ion thrusters are a variation of tradition gridded ion thruster technology differentiated by the production and acceleration of both positive and negative ions. Benefits of electronegative ion thrusters include the elimination of lifetime-limiting cathodes from the thruster architecture and the ability to generate appreciable thrust from both charge species. Following the continued development of electronegative ion thruster technology as exhibited by the PEGASES (Plasma Propulsion with Electronegative GASES) thruster, direct thrust measurements are required to push interest in electronegative ion thruster technology forward. For this work, direct thrust measurements of the MINT (Marshall's Ion-ioN Thruster) will be taken on a hanging pendulum thrust stand for propellant mixtures of Sulfur Hexafluoride and Argon at volumetric flow rates of 5-25 sccm at radio frequency power levels of 100-600 watts at a radio frequency of 13.56 MHz. Acceleration grid operation is operated using a square waveform bias of +/-300 volts at a frequency of 25 kHz.

  20. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  1. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    NASA Astrophysics Data System (ADS)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  2. Extended Lindhard-Scharf-Schiott Theory for Ion Implantation Profiles Expressed with Pearson Function

    NASA Astrophysics Data System (ADS)

    Suzuki, Kunihiro

    2009-04-01

    Ion implantation profiles are expressed by the Pearson function with first, second, third, and fourth moment parameters of Rp, ΔRp, γ, and β. We derived an analytical model for these profile moments by solving a Lindhard-Scharf-Schiott (LSS) integration equation using perturbation approximation. This analytical model reproduces Monte Carlo data that were well calibrated to reproduce a vast experimental database. The extended LSS theory is vital for instantaneously predicting ion implantation profiles with any combination of incident ions and substrate atoms including their energy dependence.

  3. Measurements of charge state distributions of 0.74 and 1.4 MeV /u heavy ions passing through dilute gases

    NASA Astrophysics Data System (ADS)

    Scharrer, P.; Düllmann, Ch. E.; Barth, W.; Khuyagbaatar, J.; Yakushev, A.; Bevcic, M.; Gerhard, P.; Groening, L.; Horn, K. P.; Jäger, E.; Krier, J.; Vormann, H.

    2017-04-01

    In many modern heavy-ion accelerator facilities, gas strippers are used to increase the projectile charge state for improving the acceleration efficiency of ion beams to higher energies. For this application, the knowledge on the behavior of charge state distributions of heavy-ions after passing through dilute gases is of special interest. Charge state distributions of uranium (238U), bismuth (209Bi), titanium (50Ti), and argon (40Ar) ion beams with energies of 0.74 MeV /u and 1.4 MeV /u after passing through hydrogen (H2 ), helium (He), carbon dioxide (CO2 ), nitrogen (N2 ), oxygen (O2 ), neon (Ne), and argon (Ar) gases were measured. Gas stripper target thicknesses up to 100 μ g /cm2 were applied. The observed behavior of the charge state distributions, including their width and mean charge state, are discussed. The measurements show the highest equilibrium charge state at 1.4 MeV /u for 238U on H2 gas of 29.2 ±1.2 . Narrow charge state distributions are observed for 238U and 209Bi on H2 and He gas, which are highly beneficial, e.g., for the production of beams of high intensities in accelerators.

  4. Corrosion behavior of ion implanted nickel-titanium orthodontic wire in fluoride mouth rinse solutions.

    PubMed

    Iijima, Masahiro; Yuasa, Toshihiro; Endo, Kazuhiko; Muguruma, Takeshi; Ohno, Hiroki; Mizoguchi, Itaru

    2010-01-01

    This study investigated the corrosion properties of ion implanted nickel-titanium wire (Neo Sentalloy Ionguard) in artificial saliva and fluoride mouth rinse solutions (Butler F Mouthrinse, Ora-Bliss). Non ion implanted nickel-titanium wire (Neo Sentalloy) was used as control. The anodic corrosion behavior was examined by potentiodynamic polarization measurement. The surfaces of the specimens were examined with SEM. The elemental depth profiles were characterized by XPS. Neo Sentalloy Ionguard in artificial saliva and Butler F Mouthrinse (500 ppm) had a lower current density than Neo Sentalloy. In addition, breakdown potential of Neo Sentalloy Ionguard in Ora-Bliss (900 ppm) was much higher than that of Neo Sentalloy although both wires had similar corrosion potential in Ora-Bliss (450 and 900 ppm). The XPS results for Neo Sentalloy Ionguard suggested that the layers consisted of TiO(2) and TiN were present on the surface and the layers may improve the corrosion properties.

  5. Effects of 200 keV Ar-ions irradiation on the structural and optical properties of reactively sputtered CrN films

    NASA Astrophysics Data System (ADS)

    Novaković, M.; Popović, M.; Zhang, K.; Rakočević, Z.; Bibić, N.

    2016-12-01

    Modification in structural and optical properties of chromium-nitride (CrN) films induced by argon ion irradiation and thermal annealings were investigated using various experimental techniques. CrN films deposited by d. c. reactive sputtering on Si substrate were implanted with 200 keV argon ions, at fluences of 5-20 × 1015 ions/cm2. As-implanted samples were then annealed in vacuum, for 2 h at 700 °C. Rutherford backscattering spectrometry, X-ray diffraction, cross-sectional (high-resolution) transmission electron microscopy and spectroscopic ellipsometry (SE) measurements were carried out in order to study structural and optical properties of the layers. After irradiation with 200 keV Ar ions a damaged surface layer of nanocrystalline structure was generated, which extended beyond the implantation profile, but left an undamaged bottom zone. Partial loss of columnar structure observed in implanted samples was recovered after annealing at 700 °C and CrN started to decompose to Cr2N. This layer geometry determined from transmission electron microscopy was inferred in the analysis of SE data using the combined Drude and Tauc-Lorentz model, and the variation of the optical bandgap was deduced. The results are discussed on the basis of the changes induced in the microstructure. It was found that the optical properties of the layers are strongly dependent on the defects' concentration of CrN.

  6. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  7. Erbium ion implantation into diamond - measurement and modelling of the crystal structure.

    PubMed

    Cajzl, Jakub; Nekvindová, Pavla; Macková, Anna; Malinský, Petr; Sedmidubský, David; Hušák, Michal; Remeš, Zdeněk; Varga, Marián; Kromka, Alexander; Böttger, Roman; Oswald, Jiří

    2017-02-22

    Diamond is proposed as an extraordinary material usable in interdisciplinary fields, especially in optics and photonics. In this contribution we focus on the doping of diamond with erbium as an optically active centre. In the theoretical part of the study based on DFT simulations we have developed two Er-doped diamond structural models with 0 to 4 carbon vacancies in the vicinity of the Er atom and performed geometry optimizations by the calculation of cohesive energies and defect formation energies. The theoretical results showed an excellent agreement between the calculated and experimental cohesive energies for the parent diamond. The highest values of cohesive energies and the lowest values of defect formation energies were obtained for models with erbium in the substitutional carbon position with 1 or 3 vacancies in the vicinity of the erbium atom. From the geometry optimization the structural model with 1 vacancy had an octahedral symmetry whereas the model with 3 vacancies had a coordination of 10 forming a trigonal structure with a hexagonal ring. In the experimental part, erbium doped diamond crystal samples were prepared by ion implantation of Er + ions using ion implantation fluences ranging from 1 × 10 14 ions per cm 2 to 5 × 10 15 ions per cm 2 . The experimental results revealed a high degree of diamond structural damage after the ion implantation process reaching up to 69% of disordered atoms in the samples. The prepared Er-doped diamond samples annealed at the temperatures of 400, 600 and 800 °C in a vacuum revealed clear luminescence, where the 〈110〉 cut sample has approximately 6-7 times higher luminescence intensity than the 〈001〉 cut sample with the same ion implantation fluence. The reported results are the first demonstration of the Er luminescence in the single crystal diamond structure for the near-infrared spectral region.

  8. Scanning-electron-microscopy observations and mechanical characteristics of ion-beam-sputtered surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.; Meyer, M. L.; Ling, J. S.

    1977-01-01

    An electron bombardment ion thruster was used as an ion source to sputter the surfaces of orthopedic prosthetic metals. Scanning electron microscopy photomicrographs were made of each ion beam textured surface. The effect of ion texturing an implant surface on its bond to bone cement was investigated. A Co-Cr-W alloy and surgical stainless steel were used as representative hard tissue implant materials to determine effects of ion texturing on bulk mechanical properties. Work was done to determine the effect of substrate temperature on the development of an ion textured surface microstructure. Results indicate that the ultimate strength of the bulk materials is unchanged by ion texturing and that the microstructure will develop more rapidly if the substrate is heated prior to ion texturing.

  9. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    The objective of this program is the investigation and evaluation of the capabilities of the ion implantation process for the production of photovoltaic cells from a variety of present-day, state-of-the-art, low-cost silicon sheet materials. Task 1 of the program concerns application of ion implantation and furnace annealing to fabrication of cells made from dendritic web silicon. Task 2 comprises the application of ion implantation and pulsed electron beam annealing (PEBA) to cells made from SEMIX, SILSO, heat-exchanger-method (HEM), edge-defined film-fed growth (EFG) and Czochralski (CZ) silicon. The goals of Task 1 comprise an investigation of implantation and anneal processes applied to dendritic web. A further goal is the evaluation of surface passivation and back surface reflector formation. In this way, processes yielding the very highest efficiency can be evaluated. Task 2 seeks to evaluate the use of PEBA for various sheet materials. A comparison of PEBA to thermal annealing will be made for a variety of ion implantation processes.

  10. Corrosion resistance and blood compatibility of lanthanum ion implanted pure iron by MEVVA

    NASA Astrophysics Data System (ADS)

    Zhu, Shengfa; Huang, Nan; Shu, Hui; Wu, Yanping; Xu, Li

    2009-10-01

    Pure iron is a potential material applying for coronary artery stents based on its biocorrodible and nontoxic properties. However, the degradation characteristics of pure iron in vivo could reduce the mechanical stability of iron stents prematurely. The purpose of this work was to implant the lanthanum ion into pure iron specimens by metal vapor vacuum arc (MEVVA) source at an extracted voltage of 40 kV to improve its corrosion resistance and biocompatibility. The implanted fluence was up to 5 × 10 17 ions/cm 2. The X-ray photoelectron spectroscopy (XPS) was used to characterize the chemical state and depth profiles of La, Fe and O elements. The results showed lanthanum existed in the +3 oxidation state in the surface layer, most of the oxygen combined with lanthanum and form a layer of oxides. The lanthanum ion implantation layer could effectively hold back iron ions into the immersed solution and obviously improved the corrosion resistance of pure iron in simulated body fluids (SBF) solution by the electrochemical measurements and static immersion tests. The systematic evaluation of blood compatibility, including in vitro platelets adhesion, prothrombin time (PT), thrombin time (TT), indicated that the number of platelets adhesion, activation, aggregation and pseudopodium on the surface of the La-implanted samples were remarkably decreased compared with pure iron and 316L stainless steel, the PT and TT were almost the same as the original plasma. It was obviously showed that lanthanum ion implantation could effectively improve the corrosion resistance and blood compatibility of pure iron.

  11. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  12. Improvement of in vitro corrosion and cytocompatibility of biodegradable Fe surface modified by Zn ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Henan; Zheng, Yang; Li, Yan; Jiang, Chengbao

    2017-05-01

    Pure Fe was surface-modified by Zn ion implantation to improve the biodegradable behavior and cytocompatibility. Surface topography, chemical composition, corrosion resistance and cytocompatibility were investigated. Atomic force microscopy, auger electron spectroscopy and X-ray photoelectron spectroscopy results showed that Zn was implanted into the surface of pure Fe in the depth of 40-60 nm and Fe2O3/ZnO oxides were formed on the outmost surface. Electrochemical measurements and immersion tests revealed an improved degradable behavior for the Zn-implanted Fe samples. An approximately 12% reduction in the corrosion potential (Ecorr) and a 10-fold increase in the corrosion current density (icorr) were obtained after Zn ion implantation with a moderate incident ion dose, which was attributed to the enhanced pitting corrosion. The surface free energy of pure Fe was decreased by Zn ion implantation. The results of direct cell culture indicated that the short-term (4 h) cytocompatibility of MC3T3-E1 cells was promoted by the implanted Zn on the surface.

  13. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires.

    PubMed

    Krishnan, Manu; Saraswathy, Seema; Sukumaran, Kalathil; Abraham, Kurian Mathew

    2013-01-01

    To evaluate the changes in surface roughness and frictional features of 'ion-implanted nickel titanium (NiTi) and titanium molybdenum alloy (TMA) arch wires' from its conventional types in an in-vitro laboratory set up. 'Ion-implanted NiTi and low friction TMA arch wires' were assessed for surface roughness with scanning electron microscopy (SEM) and 3 dimensional (3D) optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS) values in nanometers and Frictional Forces (FF) in grams. Mean values of RMS and FF were compared by Student's 't' test and one way analysis of variance (ANOVA). SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm) and 48.90% for TMA groups (463.28 to 236.35 nm) from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  14. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in; Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{supmore » 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.« less

  15. Uranium passivation by C + implantation: A photoemission and secondary ion mass spectrometry study

    NASA Astrophysics Data System (ADS)

    Nelson, A. J.; Felter, T. E.; Wu, K. J.; Evans, C.; Ferreira, J. L.; Siekhaus, W. J.; McLean, W.

    2006-03-01

    Implantation of 33 keV C + ions into polycrystalline U 238 with a dose of 4.3 × 10 17 cm -2 produces a physically and chemically modified surface layer that prevents further air oxidation and corrosion. X-ray photoelectron spectroscopy and secondary ion mass spectrometry were used to investigate the surface chemistry and electronic structure of this C + ion implanted polycrystalline uranium and a non-implanted region of the sample, both regions exposed to air for more than a year. In addition, scanning electron microscopy was used to examine and compare the surface morphology of the two regions. The U 4f, O 1s and C 1s core-level and valence band spectra clearly indicate carbide formation in the modified surface layer. The time-of-flight secondary ion mass spectrometry depth profiling results reveal an oxy-carbide surface layer over an approximately 200 nm thick UC layer with little or no residual oxidation at the carbide layer/U metal transitional interface.

  16. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  17. Electrical conduction in 100 keV Kr+ ion implanted poly (ethylene terephthalate)

    NASA Astrophysics Data System (ADS)

    Goyal, P. K.; Kumar, V.; Gupta, Renu; Mahendia, S.; Anita, Kumar, S.

    2012-06-01

    Polyethylene terephthalate (PET) samples have been implanted to 100 keV Kr+ ions at the fluences 1×1015-- 1×1016 cm-2. From I-V characteristics, the conduction mechanism was found to be shifted from ohmic to space charge limited conduction (SCLC) after implantation. The surface conductivity of these implanted samples was found to increase with increasing implantation dose. The structural alterations in the Raman spectra of implanted PET samples indicate that such an increase in the conductivity may be attributed to the formation of conjugated double bonded carbonaceous structure in the implanted layer of PET.

  18. Photoluminescence and reflectivity of polymethylmethacrylate implanted by low-energy carbon ions at high fluences

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Zhu, Fei; Zhang, Bei; Liu, Huixian; Jia, Guangyi; Liu, Changlong

    2012-11-01

    Polymethylmethacrylate (PMMA) specimens were implanted with 30 keV carbon ions in a fluence range of 1 × 1016 to 2 × 1017 cm-2, and photoluminescence (PL) and reflectivity of the implanted samples were examined. A luminescent band with one peak was found in PL spectra excited by 480 nm line, but its intensity did not vary in parallel with ion fluence. The strongest PL occurred at the fluence of 5 × 1016 cm-2. Results from visible-light-excited micro-Raman spectra indicated that the formation of hydrogenated amorphous carbon structures in subsurface layer and their evolutions with ion fluence could be responsible for the observed PL responses. Measurements of the small-angle reflectance spectra from both the implanted and rear surfaces of samples in the ultraviolet-visible (UV-vis) range demonstrated a kind of both fluence-dependent and wavelength-related reflectivity variations, which were attributed to the structural changes induced by ion implantation. A noticeable reflectivity modification, which may be practically used, could be found at the fluence of 1 × 1016 cm-2.

  19. Fabrication and characterisation of embedded metal nanostructures by ion implantation with nanoporous anodic alumina masks

    NASA Astrophysics Data System (ADS)

    Guan, Wei; Peng, Nianhua; Jeynes, Christopher; Ghatak, Jay; Peng, Yong; Ross, Ian M.; Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter

    2013-07-01

    Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO2 and Si3N4 substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.

  20. Synthesis and characterization of AlTiSiN/CrSiN multilayer coatings by cathodic arc ion-plating

    NASA Astrophysics Data System (ADS)

    Yang, B.; Tian, C. X.; Wan, Q.; Yan, S. J.; Liu, H. D.; Wang, R. Y.; Li, Z. G.; Chen, Y. M.; Fu, D. J.

    2014-09-01

    AlTiSiN/CrSiN multilayer coatings were deposited on Si (1 0 0) and cemented carbide substrates using Cr, AlTi cathodes and SiH4 gases by cathodic arc ion plating system. The influences of SiH4 gases flowrate on the structural and mechanical properties of the coatings were investigated, systematically. AlTiSiN/CrSiN coatings exhibit a B1 NaCl-type nano-multilayered structure in which the CrSiN nano-layers alternate with AlTiSiN nano-layers with multiple orientations of crystal planes indicated by XRD patterns and TEM. Si contents of the coatings increase with increasing SiH4 flowrate. The hardness of the coatings increases to the maximum value of 3500 Hv0.05 with increasing SiH4 flowrate from 20 to 40 sccm and then decreases with further addition of SiH4 gases. A higher adhesive force of 73 N is obtained at the flowrate of 48 sccm. The coatings exhibit different tribological performance when the mating materials were varied from Si3N4 to cemented carbide balls and the variation of friction coefficients of the coatings against Si3N4 influenced by SiH4 flowrate are not obvious as against cemented carbide balls.

  1. Influence of Au ions irradiation damage on helium implanted tungsten

    NASA Astrophysics Data System (ADS)

    Kong, Fanhang; Qu, Miao; Yan, Sha; Cao, Xingzhong; Peng, Shixiang; Zhang, Ailin; Xue, Jianming; Wang, Yugang; Zhang, Peng; Wang, Baoyi

    2017-10-01

    The damages of implanted helium ions together with energetic neutrons in tungsten is concerned under the background of nuclear fusion related materials research. Helium is lowly soluble in tungsten and has high binding energy with vacancy. In present work, noble metal Au ions were used to study the synergistic effect of radiation damage and helium implantation. Nano indenter and the Doppler broaden energy spectrum of positron annihilation analysis measurements were used to research the synergy of radiation damage and helium implantation in tungsten. In the helium fluence range of 4.8 × 1015 cm-2-4.8 × 1016 cm-2, vacancies played a role of trappers only at the very beginning of bubble nucleation. The size and density is not determined by vacancies, but the effective capture radius between helium bubbles and scattered helium atoms. Vacancies were occupied by helium bubbles even at the lowest helium fluence, leaving dislocations and helium bubbles co-exist in tungsten materials.

  2. Optical planar waveguides in photo-thermal-refractive glasses fabricated by single- or double-energy carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Yue; Shen, Xiao-Liang; Zheng, Rui-Lin; Guo, Hai-Tao; Lv, Peng; Liu, Chun-Xiao

    2018-01-01

    Ion implantation has demonstrated to be an efficient and reliable technique for the fabrication of optical waveguides in a diversity of transparent materials. Photo-thermal-refractive glass (PTR) is considered to be durable and stable holographic recording medium. Optical planar waveguide structures in the PTR glasses were formed, for the first time to our knowledge, by the C3+-ion implantation with single-energy (6.0 MeV) and double-energy (5.5+6.0 MeV), respectively. The process of the carbon ion implantation was simulated by the stopping and range of ions in matter code. The morphologies of the waveguides were recorded by a microscope operating in transmission mode. The guided beam distributions of the waveguides were measured by the end-face coupling technique. Comparing with the single-energy implantation, the double-energy implantation improves the light confinement for the dark-mode spectrum. The guiding properties suggest that the carbon-implanted PTR glass waveguides have potential for the manufacture of photonic devices.

  3. Conversion electron Mössbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    NASA Astrophysics Data System (ADS)

    Terwagne, G.; Collins, G. A.; Hutchings, R.

    1994-12-01

    Conversion electron Mössbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI3) at 350 °C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ɛ-Fe2N through ɛ-Fe3N to γ'-Fe4N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone.

  4. Ion implantation enhanced metal-Si-metal photodetectors

    NASA Astrophysics Data System (ADS)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  5. Deuterium permeation behaviors in tungsten implanted with nitrogen

    NASA Astrophysics Data System (ADS)

    Liang, Chuan-hui; Wang, Dongping; Jin, Wei; Lou, Yuanfu; Wang, Wei; Ye, Xiaoqiu; Chen, Chang-an; Liu, Kezhao; Xu, Haiyan; Wang, Xiaoying; Kleyn, Aart W.

    2018-07-01

    Surface modification of tungsten due to the cooling species nitrogen seeded in the divertor region, i.e., by nitrogen ion implantation or re-deposition, is considered to affect the permeation behavior of H isotopes. This work focuses on the effect of nitrogen ion implantation into tungsten (W-N) on the deuterium gas-driven permeation behavior. For comparison, both permeation in tungsten implanted with W ion (W-W) and without implantation (pristine W) are studied. These three samples were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and X-ray photo-electron spectroscopy (XPS). The SEM results revealed that the W-W sample has various voids on the surface, and the W-N sample has a rough surface with pretty fine microstructures. These are different from the pristine W sample with a smooth and compact surface. The XRD patterns show the disappearance of crystallinity on both W-W and W-N sample surfaces. It indicates that the ion implantation process results in an almost complete conversion from crystalline to amorphous in the sample surfaces. The sputter-depth profiling XPS spectra show that the implanted nitrogen prefers to form a 140 nm thick tungsten nitride layer. In permeation experiments, it was found that the D permeability is temperature dependent. Interestingly, the W-N sample presented a lower D permeability than the W-W sample, but higher than the pristine W sample. Such behavior implies that tungsten nitride acts as a permeation barrier, while defects created by ions implantation can promote permeability. The possible permeation mechanism correlated with sample surface composition and microstructure is consequently discussed in this work.

  6. A new tritium monitor design based on plasma source ion implantation technique

    NASA Astrophysics Data System (ADS)

    Nassar, Rafat Mohammad

    Tritium is an important isotope of hydrogen. The availability of tritium in our environment is manifest through both natural and artificial sources. Consequently, the requirement for tritium handling and usage will continue to increase in the future. An important future contributor is nuclear fusion power plants and facilities. Essential safety regulations and procedures require effective monitoring and measurements of tritium concentrations in workplaces. The unique characteristics of tritium impose an important role on the criteria for its detection and measurement. As tritium decays by the emission of soft beta particles, maximum 18 keV, it cannot be readily detected by commonly used detectors. Specially built monitors are required. Additional complications occur due to the presence of other radioactive isotopes or ambient radiation fields and because of the high diffusivity of tritium. When it is in oxidized form it is 25000 times more hazardous biologically than when in elemental form. Therefore, contamination of the monitor is expected and compound specific monitors are important. A summary is given of the various well known methods of detecting tritium-in-air. This covers the direct as well as the indirect measuring techniques, although each has been continually improved and further developed, nevertheless, each has its own limitations. Ionization chambers cannot discriminate against airborne P emitters. Proportional counters have a narrow operating range, 3-4 decades, and have poor performance in relatively high humid environments and require a dry counting gas. Liquid scintillation counters are sensitive, but inspection of the sample is slow and they produce chemical liquid waste. A new way to improve the sensitivity of detecting tritium with plastic scintillators has been developed. The technique is based on a non-line-of-sight implantation of tritium ions into a 20 mum plastic scintillator using a plasma source ion implantation (PSII) technique, This

  7. Polymer Treatment by Plasma Immersion Ion Implantation of Nitrogen for Formation of Diamond-Like Carbon Film

    NASA Astrophysics Data System (ADS)

    Tan, Ing Hwie; Ueda, Mario; Kostov, Konstantin; Nascente, Pedro Augusto P.; Demarquette, Nicole Raymonde

    2004-09-01

    Nitrogen ions were implanted by plasma immersion in Kapton, Mylar and polypropylene, with the objective of forming a diamond-like carbon layer on these polymers. The Raman spectrum of the implanted polypropylene showed typical Diamond-Like Carbon (DLC) graphite (G) and disorder (D) peaks, with an sp3/sp2 hybridization ratio of approximately 0.4 to 0.6. The XPS analysis of the three implanted polymers also showed peaks of C-C and N-C bonds in the sp3 configuration, with hybridization ratios in the same range as the Raman result. The implanted polymers were exposed to oxygen plasma to test the resistance of the polymers to oxygen degradation. Mass loss rate results, however, showed that the DLC layer formed is not sufficiently robust for this application. Nevertheless, the layer formed can be suitable for other applications such as in gas barriers in beverage containers. Further study of implantation conditions may improve the quality of the DLC layer.

  8. Magnesium ion implantation on a micro/nanostructured titanium surface promotes its bioactivity and osteogenic differentiation function

    PubMed Central

    Wang, Guifang; Li, Jinhua; Zhang, Wenjie; Xu, Lianyi; Pan, Hongya; Wen, Jin; Wu, Qianju; She, Wenjun; Jiao, Ting; Liu, Xuanyong; Jiang, Xinquan

    2014-01-01

    As one of the important ions associated with bone osseointegration, magnesium was incorporated into a micro/nanostructured titanium surface using a magnesium plasma immersion ion-implantation method. Hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 30 minutes (Mg30) and hierarchical hybrid micro/nanostructured titanium surfaces followed by magnesium ion implantation for 60 minutes (Mg60) were used as test groups. The surface morphology, chemical properties, and amount of magnesium ions released were evaluated by field-emission scanning electron microscopy, energy dispersive X-ray spectroscopy, field-emission transmission electron microscopy, and inductively coupled plasma-optical emission spectrometry. Rat bone marrow mesenchymal stem cells (rBMMSCs) were used to evaluate cell responses, including proliferation, spreading, and osteogenic differentiation on the surface of the material or in their medium extraction. Greater increases in the spreading and proliferation ability of rBMMSCs were observed on the surfaces of magnesium-implanted micro/nanostructures compared with the control plates. Furthermore, the osteocalcin (OCN), osteopontin (OPN), and alkaline phosphatase (ALP) genes were upregulated on both surfaces and in their medium extractions. The enhanced cell responses were correlated with increasing concentrations of magnesium ions, indicating that the osteoblastic differentiation of rBMMSCs was stimulated through the magnesium ion function. The magnesium ion-implanted micro/nanostructured titanium surfaces could enhance the proliferation, spreading, and osteogenic differentiation activity of rBMMSCs, suggesting they have potential application in improving bone-titanium integration. PMID:24940056

  9. Low-cost plasma immersion ion implantation doping for Interdigitated back passivated contact (IBPC) solar cells

    DOE PAGES

    Young, David L.; Nemeth, William; LaSalvia, Vincenzo; ...

    2016-06-01

    Here, we present progress to develop low-cost interdigitated back contact solar cells with pc-Si/SiO 2/c-Si passivated contacts formed by plasma immersion ion implantation (PIII). PIII is a lower-cost implantation technique than traditional beam line implantation due to its simpler design, lower operating costs, and ability to run high doses (1E14-1E18 cm -2) at low ion energies (20 eV-10 keV). These benefits make PIII ideal for high throughput production of patterned passivated contacts, where high-dose, low-energy implantations are made into thin (20-200 nm) a-Si layers instead of into the wafer itself. For this work symmetric passivated contact test structures (~100 nmmore » thick) grown on n-Cz wafers with pH3 PIII doping gave implied open circuit voltage (iV oc) values of 730 mV with J o values of 2 fA/cm 2. Samples doped with B 2H 6 gave iV oc values of 690 mV and J o values of 24 fA/cm 2, outperforming BF 3 doping, which gave iV oc values in the 660-680 mV range. Samples were further characterized by SIMS, photoluminescence, TEM, EELS, and post-metallization TLM to reveal micro- and macro-scopic structural, chemical and electrical information.« less

  10. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    NASA Astrophysics Data System (ADS)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  11. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  12. Superconducting properties of ion-implanted gold-silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jisrawi, N.M.

    The superconducting properties of thin Au{sub x}Si{sub 1{minus}x}, films prepared by ion beam implantation and ion beam mixing are studied. The films are prepared by evaporation of single Au layers on Si substrates and mixing them with Si, Ar, or Xe, or by Xe beam mixing of alternate multilayers of Au and Si sputtered on Al{sub 2}O{sub 3} substrates. The superconducting transition temperature and upper critical fields are determined by measuring the temperature and magnetic field dependence of resistivity. Temperatures as low as 20mK and magnetic fields as high as 8 T were used. Superconductivity in these films is discussedmore » in connection with metastable metallic phases that are reportedly produced in the Au-Si system by high quenching rate preparation techniques like quenching from the vapor or the melt or ion implantation. Preliminary structural studies provide evidence for the existence of these phases and near-edge X-ray absorption and X-ray photoelectron spectroscopy measurements indicate a metallic type of bonding from which compound formation is inferred. The quality of the films is strongly dependent on the conditions of implantation. The maximum superconducting transition temperature attained is about 1.2 K. The upper critical fields have a maximum of 6T. An unusual double transition in the field dependence of resistivity is observed at low temperatures. The effect is very pronounced at compositions near x = 0.5 where the maximum {Tc} occurs. A model is presented to explain this result which invokes the properties of the metastable metallic phases and assumes the formation of more than two such phases in the same sample as the implantation dose increases. The Si-Au interface plays an important role in understanding the model and in interpreting the results of this thesis in general.« less

  13. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    NASA Astrophysics Data System (ADS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  14. Influence of ion-implanted profiles on the performance of GaAs MESFET's and MMIC amplifiers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlidis, D.; Cazaux, J.L.; Graffeuil, J.

    1988-04-01

    The RF small-signal performance of GaAs MESFET's and MMIC amplifiers as a function of various ion-implanted profiles is theoretically and experimentally investigated. Implantation energy, dose, and recess depth influence are theoretically analyzed with the help of a specially developed device simulator. The performance of MMIC amplifiers processed with various energies, doses, recess depths, and bias conditions is discussed and compared to experimental characteristics. Some criteria are finally proposed for the choice of implantation conditions and process in order to optimize the characteristics of ion-implanted FET's and to realize process-tolerant MMIC amplifiers.

  15. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  16. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  17. Evaluation of ion-implanted-silicon detectors for use in intraoperative positron-sensitive probes.

    PubMed

    Raylman, R R; Wahl, R L

    1996-11-01

    The continuing development of probes for use with beta (positron and electron) emitting radionuclides may result in more complete excision of tracer-avid tumors. Perhaps one of the most promising radiopharmaceuticals for this task is 18F-labeled-Fluoro-2-Deoxy-D-Glucose (FDG). This positron-emitting agent has been demonstrated to be avidly and rapidly absorbed by many human cancers. We have investigated the use of ion-implanted-silicon detectors in intraoperative positron-sensitive surgical probes for use with FDG. These detectors possess very high positron detection efficiency, while the efficiency for 511 keV photon detection is low. The spatial resolution, as well as positron and annihilation photon detection sensitivity, of an ion-implanted-silicon detector used with 18F was measured at several energy thresholds. In addition, the ability of the device to detect the presence of relatively small amounts of FDG during surgery was evaluated by simulating a surgical field in which some tumor was left intact following lesion excision. The performance of the ion-implanted-silicon detector was compared to the operating characteristics of a positron-sensitive surgical probe which utilizes plastic scintillator. In all areas of performance the ion-implanted-silicon detector proved superior to the plastic scintillator-based probe. At an energy threshold of 14 keV positron sensitivity measured for the ion-implanted-silicon detector was 101.3 cps/kBq, photon sensitivity was 7.4 cps/kBq. In addition, spatial resolution was found to be relatively unaffected by the presence of distant sources of annihilation photon flux. Finally, the detector was demonstrated to be able to localize small amounts of FDG in a simulated tumor bed; indicating that this device has promise as a probe to aid in FDG-guided surgery.

  18. Raman Scattering Studies on Ag Nanocluster Composites Formed by Ion Implantation into Silica

    NASA Astrophysics Data System (ADS)

    Ren, Feng; Jiang, Chang Zhong; Fu, De Jun; Fu, Qiang

    2005-12-01

    Highly-pure amorphous silica slides were implanted by 200 keV Ag ions with doses ranged from 1× 1016 to 2× 1017 ions/cm2. Optical absorption spectra show that Ag nanoclusters with various sizes have been formed. Enhancement of surface enhanced Raman scattering signal by a factor up to about 103 was obtained by changing the Ag particle size. The silica was damaged by the implanted Ag ions, and the large compression stress on the silica leads to the shift of Raman peaks. New bands at 1368 and 1586 cm-1, which are attributed to the vibration of Ag-O bond and O2 molecules in silica, are observed in the samples with doses higher than 1× 1017 ions/cm2.

  19. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    PubMed

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  20. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    PubMed Central

    2018-01-01

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive. PMID:29695109

  1. Integration of Ion Implantation with Scanning ProbeAlignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Rangelow, I.W.; Schenkel, T.

    We describe a scanning probe instrument which integrates ion beams with imaging and alignment functions of a piezo resistive scanning probe in high vacuum. Energetic ions (1 to a few hundred keV) are transported through holes in scanning probe tips [1]. Holes and imaging tips are formed by Focused Ion Beam (FIB) drilling and ion beam assisted thin film deposition. Transport of single ions can be monitored through detection of secondary electrons from highly charged dopant ions (e. g., Bi{sup 45+}) enabling single atom device formation. Fig. 1 shows SEM images of a scanning probe tip formed by ion beammore » assisted Pt deposition in a dual beam FIB. Ion beam collimating apertures are drilled through the silicon cantilever with a thickness of 5 {micro}m. Aspect ratio limitations preclude the direct drilling of holes with diameters well below 1 {micro}m, and smaller hole diameters are achieved through local thin film deposition [2]. The hole in Fig. 1 was reduced from 2 {micro}m to a residual opening of about 300 nm. Fig. 2 shows an in situ scanning probe image of an alignment dot pattern taken with the tip from Fig. 1. Transport of energetic ions through the aperture in the scanning probe tip allows formation of arbitrary implant patterns. In the example shown in Fig. 2 (right), a 30 nm thick PMMA resist layer on silicon was exposed to 7 keV Ar{sup 2+} ions with an equivalent dose of 10{sup 14} ions/cm{sup 2} to form the LBL logo. An exciting goal of this approach is the placement of single dopant ions into precise locations for integration of single atom devices, such as donor spin based quantum computers [3, 4]. In Fig. 3, we show a section of a micron size dot area exposed to a low dose (10{sup 11}/cm{sup 2}) of high charge state dopant ions. The Bi{sup 45+} ions (200 keV) were extracted from a low emittance highly charged ions source [5]. The potential energy of B{sup 45+}, i. e., the sum of the binding energies required to remove the electrons, amounts to 36

  2. Optical characteristics of composites obtained by ion implantation of silver ions in polyethylene terephthalate

    NASA Astrophysics Data System (ADS)

    Bumai, Yu. A.; Volobuev, V. S.; Valeev, V. F.; Dolgikh, N. I.; Lukashevich, M. G.; Khaibullin, R. I.; Nuzhdin, V. I.; Odzhaev, V. B.

    2012-11-01

    Metal-polymer composites are obtained by implantation of 30 keV silver ions at doses D = 1•1016-1.5•1017 cm-2 and ion current densities j = 4.0 μA/cm2 in films of polyethylene terephthalate. The spectral dependences of the reflection, transmission, and extinction coefficients for wavelengths of 190-1100 nm are studied. The reflection bands at λ1 = 205 nm and λ2 = 260 nm are found to be enhanced for light incident on the unimplanted side. Surface plasmon resonances on the silver nanoparticles are investigated. The refractive index of the modified layer is calculated and the sizes of the silver nanoparticles are estimated using a two-layer model of this structure together with the optical measurements. Depending on the implantation dose, these are found to vary over 1.3-2.8 and 5-20 nm, respectively.

  3. Hardening of Metallic Materials Using Plasma Immersion Ion Implantation (PIII)

    NASA Astrophysics Data System (ADS)

    Xu, Yufan; Clark, Mike; Flanagan, Ken; Milhone, Jason; Nonn, Paul; Forest, Cary

    2016-10-01

    A new approach of Plasma Immersion Ion Implantation (PIII) has been developed with the Plasma Couette Experiment Upgrade (PCX-U). The new approach efficiently reduces the duty cycle under the same average power for PIII. The experiment uses a Nitrogen plasma at a relatively high density of 1010 1011 cm-3 with ion temperatures of < 2 eV and electron temperature of 5 10 eV. The pulser for this PIII experiment has a maximum negative bias greater than 20kV, with 60Hz frequency and a 8 μs on-time in one working cycle. The samples (Alloy Steel 9310) are analyzed by a Vicker Hardness Tester to study the hardness and X-ray Photoelectron Spectroscopy (XPS) to study implantation density and depth. Different magnetic fields are also applied on samples to reduce the energy loss and secondary emission. Higher efficiency of implantation is expected from this experiment and the results will be presented. Hilldale Undergraduate/Faculty Research Fellowship of University of Wisconsin-Madison; Professor Cary Forest's Kellett Mid-Career Faculty Award.

  4. Fluorine-doping in titanium dioxide by ion implantation technique

    NASA Astrophysics Data System (ADS)

    Yamaki, T.; Umebayashi, T.; Sumita, T.; Yamamoto, S.; Maekawa, M.; Kawasuso, A.; Itoh, H.

    2003-05-01

    We implanted 200 keV F + in single crystalline titanium dioxide (TiO 2) rutile at a nominal fluence of 1 × 10 16 to 1 × 10 17 ions cm -2 and then thermally annealed the implanted sample in air. The radiation damage and its recovery process during the annealing were analyzed by Rutherford backscattering spectrometry in channeling geometry and variable-energy positron annihilation spectroscopy. The lattice disorder was completely recovered at 1200 °C by the migration of point defects to the surface. According to secondary ion mass spectrometry analysis, the F depth profile was shifted to a shallower region along with the damage recovery and this resulted in the formation of an F-doped layer where the impurity concentration steadily increased toward the surface. The F doping proved to provide a modification to the conduction-band edge of TiO 2, as assessed by theoretical band calculations.

  5. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  6. Versatile, high-sensitivity faraday cup array for ion implanters

    DOEpatents

    Musket, Ronald G.; Patterson, Robert G.

    2003-01-01

    An improved Faraday cup array for determining the dose of ions delivered to a substrate during ion implantation and for monitoring the uniformity of the dose delivered to the substrate. The improved Faraday cup array incorporates a variable size ion beam aperture by changing only an insertable plate that defines the aperture without changing the position of the Faraday cups which are positioned for the operation of the largest ion beam aperture. The design enables the dose sensitivity range, typically 10.sup.11 -10.sup.18 ions/cm.sup.2 to be extended to below 10.sup.6 ions/cm.sup.2. The insertable plate/aperture arrangement is structurally simple and enables scaling to aperture areas between <1 cm.sup.2 and >750 cm.sup.2, and enables ultra-high vacuum (UHV) applications by incorporation of UHV-compatible materials.

  7. Thermal annealing behavior of nano-size metal-oxide particles synthesized by ion implantation in Fe-Cr alloy

    NASA Astrophysics Data System (ADS)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Descoins, M.; Mangelinck, D.; Dalle, F.; Arnal, B.; Delauche, L.

    2017-05-01

    Oxide dispersion strengthened (ODS) steels are promising structural materials for the next generation nuclear reactors, as well as fusion facilities. The detailed understanding of the mechanisms involved in the precipitation of nano-oxides during ODS steel production would strongly contribute to the improvement of the mechanical properties and the optimization of manufacturing of ODS steels, with a potentially strong economic impact for their industrialization. A useful tool for the experimental study of nano-oxide precipitation is ion implantation, a technique that is widely used to synthesize precipitate nanostructures in well-controlled conditions. Earlier, we have demonstrated the feasibility of synthesizing aluminum-oxide particles in the high purity Fe-10Cr alloy by consecutive implantation with Al and O ions at room temperature. This paper describes the effects of high-temperature annealing after the ion implantation stage on the development of the aluminum based oxide nanoparticle system. Using transmission electron microscopy and atom probe tomography experiments, we demonstrate that post-implantation heat treatment induces the growth of the nano-sized oxides in the implanted region and nucleation of new oxide precipitates behind the implantation zone as a result of the diffusion driven broadening of implant profiles. A tentative scenario for the development of metal-oxide nano-particles at both ion implantation and heat treatment stages is suggested based on the experimental observations.

  8. Terrestrial nitrogen and noble gases in lunar soils.

    PubMed

    Ozima, M; Seki, K; Terada, N; Miura, Y N; Podosek, F A; Shinagawa, H

    2005-08-04

    The nitrogen in lunar soils is correlated to the surface and therefore clearly implanted from outside. The straightforward interpretation is that the nitrogen is implanted by the solar wind, but this explanation has difficulties accounting for both the abundance of nitrogen and a variation of the order of 30 per cent in the 15N/14N ratio. Here we propose that most of the nitrogen and some of the other volatile elements in lunar soils may actually have come from the Earth's atmosphere rather than the solar wind. We infer that this hypothesis is quantitatively reasonable if the escape of atmospheric gases, and implantation into lunar soil grains, occurred at a time when the Earth had essentially no geomagnetic field. Thus, evidence preserved in lunar soils might be useful in constraining when the geomagnetic field first appeared. This hypothesis could be tested by examination of lunar farside soils, which should lack the terrestrial component.

  9. The improvement of low-resistance and high-transmission ohmic contact to p-GaN by Zn + implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Shirong; Shi, Ying; Li, Hongjian; He, Qingyao

    2010-05-01

    The electrical and optical characteristics of Zn + ion-implanted Ni/Au ohmic contacts to p-GaN were investigated. After the preparation of Ni/Au electrode on the surface of p-GaN, the metal/ p-GaN contact interface was doped by 35 keV Zn + implantation with fluences of 5 × 10 15-5 × 10 16 cm -2. Subsequent rapid thermal annealing of the implanted samples were carried in air at 200-400 °C for 5 min. Obvious improvements of the electrode contact characteristics were observed, i.e. the decrease of specific contact resistance and the increase of light transmittance. The lowest specific contact resistance of 5.46 × 10 -5 Ω cm 2 was achieved by 1 × 10 16 cm -2 Zn + implantation. The transmission enhancement of the electrodes was found as the annealing temperature rises. Together with the morphology and structure analyses of the contacts by scanning and transmission electron microscope, the corresponding mechanism for such an improvement was discussed.

  10. Synthesis of sponge-like hydrophobic NiBi3 surface by 200 keV Ar ion implantation

    NASA Astrophysics Data System (ADS)

    Siva, Vantari; Datta, D. P.; Chatterjee, S.; Varma, S.; Kanjilal, D.; Sahoo, Pratap K.

    2017-07-01

    Sponge-like nanostructures develop under Ar-ion implantation of a Ni-Bi bilayer with increasing ion fluence at room temperature. The surface morphology features different stages of evolution as a function of ion fluence, finally resulting in a planar surface at the highest fluence. Our investigations on the chemical composition reveal a spontaneous formation of NiBi3 phase on the surface of the as deposited bilayer film. Interestingly, we observe a competition between crystallization and amorphization of the existing poly-crystalline phases as a function of the implanted fluence. Measurements of contact angle by sessile drop method clearly show the ion-fluence dependent hydrophobic nature of the nano-structured surfaces. The wettability has been correlated with the variation in roughness and composition of the implanted surface. In fact, our experimental results confirm dominant effect of ion-sputtering as well as ion-induced mixing at the bilayer interface in the evolution of the sponge-like surface.

  11. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  12. Friction wear and auger analysis of iron implanted with 1.5-MeV nitrogen ions

    NASA Technical Reports Server (NTRS)

    Ferrante, J.; Jones, W. R., Jr.

    1982-01-01

    The effect of implantation of 1.5-MeV nitrogen ions on the friction and wear characteristics of pure iron sliding against steel was studied in a pin-on disk apparatus. An implantation dose of 5 x 10 to the 17th power ions/sq cm was used. Small reductions in initial and steady-state wear rates were observed for nitrogen-implanted iron riders as compared with unimplanted controls. Auger electron spectroscopy revealed a subsurface Gaussian nitrogen distribution with a maximum concentration of 15 at. % at a depth of 8 x 10 to the -7th m. A similar analysis within the wear scar of an implanted rider after 20 microns of wear yielded only background nitrogen concentration, thus giving no evidence for diffusion of nitrogen beyond the implanted range.

  13. Improved depth profiling with slow positrons of ion implantation-induced damage in silicon

    NASA Astrophysics Data System (ADS)

    Fujinami, M.; Miyagoe, T.; Sawada, T.; Akahane, T.

    2003-10-01

    Variable-energy positron annihilation spectroscopy (VEPAS) has been extensively applied to study defects in near-surface regions and buried interfaces, but there is an inherent limit for depth resolution due to broadening of the positron implantation profile. In order to overcome this limit and obtain optimum depth resolution, iterative chemical etching of the sample surface and VEPAS measurement are employed. This etch-and-measure technique is described in detail and the capabilities are illustrated by investigating the depth profile of defects in Si after B and P implantations with 2×1014/cm2 at 100 keV followed by annealing. Defect tails can be accurately examined and the extracted defect profile is proven to extend beyond the implanted ion range predicted by the Monte Carlo code TRIM. This behavior is more remarkable for P ion implantation than B, and the mass difference of the implanted ions is strongly related to it. No significant difference is recognized in the annealing behavior between B and P implantations. After annealing at 300 °C, the defect profile is hardly changed, but the ratio of the characteristic Doppler broadening, S, a parameter for defects, to that for the bulk Si rises by 0.01, indicating that divacancies, V2, are transformed into V4. Annealing at more than 500 °C causes diffusion of the defects toward the surface and positron traps are annealed out at 800 °C. It is proved that this resolution-enhanced VEPAS can eliminate some discrepancies in defect profiles extracted by conventional means.

  14. Synthesis of graphene and graphene nanostructures by ion implantation and pulsed laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaotie; Rudawski, Nicholas G.; Appleton, Bill R.

    2016-07-14

    In this paper, we report a systematic study that shows how the numerous processing parameters associated with ion implantation (II) and pulsed laser annealing (PLA) can be manipulated to control the quantity and quality of graphene (G), few-layer graphene (FLG), and other carbon nanostructures selectively synthesized in crystalline SiC (c-SiC). Controlled implantations of Si{sup −} plus C{sup −} and Au{sup +} ions in c-SiC showed that both the thickness of the amorphous layer formed by ion damage and the doping effect of the implanted Au enhance the formation of G and FLG during PLA. The relative contributions of the amorphousmore » and doping effects were studied separately, and thermal simulation calculations were used to estimate surface temperatures and to help understand the phase changes occurring during PLA. In addition to the amorphous layer thickness and catalytic doping effects, other enhancement effects were found to depend on other ion species, the annealing environment, PLA fluence and number of pulses, and even laser frequency. Optimum II and PLA conditions are identified and possible mechanisms for selective synthesis of G, FLG, and carbon nanostructures are discussed.« less

  15. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  16. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Poon, Ray W. Y.; Ho, Joan P. Y.; Liu, Xuanyong; Chung, C. Y.; Chu, Paul K.; Yeung, Kelvin W. K.; Lu, William W.; Cheung, Kenneth M. C.

    2005-08-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C2H2 PIII is composed of mainly TiCx with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti4+, Ti3+ and Ti2+.

  17. Thermal annealing behavior of hydrogen and surface topography of H 2 + ion implanted tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiandong; Jiang, Weilin; Zhu, Zihua

    2018-01-25

    Tungsten (W) has been proposed as a plasma-facing material (PFM) in fusion reactors due to its outstanding properties. Degradation of the material properties is expected to occur as a result of hydrogen (H) isotope permeation and trapping in W. In this study, two polycrystalline W plates were implanted with 80 keV H 2 + ions to a fluence of 2E21 H+/m2 at room temperature (RT). Time-of-flight secondary ion mass spectrometry (ToF-SIMS), focused ion beam (FIB) and scanning electron microscopy (SEM) were used for sample characterization. The SIMS data shows that H atoms are distributed well beyond the ion projected range.more » Isochronal annealing appears to suggest two H release stages that might be associated with the reported activation energies. H release at RT was observed between days 10 and 70 following ion implantation, and the level was maintained over the next 60 days. In addition, FIB/SEM results exhibit H2 blister formation near the surface of the as-implanted W. The blister distribution remains unchanged after thermal annealing up to 600 °C.« less

  18. Certified ion implantation fluence by high accuracy RBS.

    PubMed

    Colaux, Julien L; Jeynes, Chris; Heasman, Keith C; Gwilliam, Russell M

    2015-05-07

    From measurements over the last two years we have demonstrated that the charge collection system based on Faraday cups can robustly give near-1% absolute implantation fluence accuracy for our electrostatically scanned 200 kV Danfysik ion implanter, using four-point-probe mapping with a demonstrated accuracy of 2%, and accurate Rutherford backscattering spectrometry (RBS) of test implants from our quality assurance programme. The RBS is traceable to the certified reference material IRMM-ERM-EG001/BAM-L001, and involves convenient calibrations both of the electronic gain of the spectrometry system (at about 0.1% accuracy) and of the RBS beam energy (at 0.06% accuracy). We demonstrate that accurate RBS is a definitive method to determine quantity of material. It is therefore useful for certifying high quality reference standards, and is also extensible to other kinds of samples such as thin self-supporting films of pure elements. The more powerful technique of Total-IBA may inherit the accuracy of RBS.

  19. Formation of p-type ZnO thin film through co-implantation

    NASA Astrophysics Data System (ADS)

    Chuang, Yao-Teng; Liou, Jhe-Wei; Woon, Wei-Yen

    2017-01-01

    We present a study on the formation of p-type ZnO thin film through ion implantation. Group V dopants (N, P) with different ionic radii are implanted into chemical vapor deposition grown ZnO thin film on GaN/sapphire substrates prior to thermal activation. It is found that mono-doped ZnO by N+ implantation results in n-type conductivity under thermal activation. Dual-doped ZnO film with a N:P ion implantation dose ratio of 4:1 is found to be p-type under certain thermal activation conditions. Higher p-type activation levels (1019 cm-3) under a wider thermal activation range are found for the N/P dual-doped ZnO film co-implanted by additional oxygen ions. From high resolution x-ray diffraction and x-ray photoelectron spectroscopy it is concluded that the observed p-type conductivities are a result of the promoted formation of PZn-4NO complex defects via the concurrent substitution of nitrogen at oxygen sites and phosphorus at zinc sites. The enhanced solubility and stability of acceptor defects in oxygen co-implanted dual-doped ZnO film are related to the reduction of oxygen vacancy defects at the surface. Our study demonstrates the prospect of the formation of stable p-type ZnO film through co-implantation.

  20. Influence of 400 keV carbon ion implantation on structural, optical and electrical properties of PMMA

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat; Naab, Fabian; Toader, Ovidiu; Mahmood, Arshad; Rashid, Rashad; Mahmood, Mazhar

    2015-09-01

    Ion implantation is a useful technique to modify surface properties of polymers without altering their bulk properties. The objective of this work is to explore the 400 keV C+ ion implantation effects on PMMA at different fluences ranging from 5 × 1013 to 5 × 1015 ions/cm2. The surface topographical examination of irradiated samples has been performed using Atomic Force Microscope (AFM). The structural and chemical modifications in implanted PMMA are examined by Raman and Fourier Infrared Spectroscopy (FTIR) respectively. The effects of carbon ion implantation on optical properties of PMMA are investigated by UV-Visible spectroscopy. The modifications in electrical conductivity have been measured using a four point probe technique. AFM images reveal a decrease in surface roughness of PMMA with an increase in ion fluence from 5 × 1014 to 5 × 1015 ions/cm2. The existence of amorphization and sp2-carbon clusterization has been confirmed by Raman and FTIR spectroscopic analysis. The UV-Visible data shows a prominent red shift in absorption edge as a function of ion fluence. This shift displays a continuous reduction in optical band gap (from 3.13 to 0.66 eV) due to formation of carbon clusters. Moreover, size of carbon clusters and photoconductivity are found to increase with increasing ion fluence. The ion-induced carbonaceous clusters are believed to be responsible for an increase in electrical conductivity of PMMA from (2.14 ± 0.06) × 10-10 (Ω-cm)-1 (pristine) to (0.32 ± 0.01) × 10-5 (Ω-cm)-1 (irradiated sample).

  1. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  2. Aluminum surface modification by a non-mass-analyzed nitrogen ion beam

    NASA Astrophysics Data System (ADS)

    Ohira, Shigeo; Iwaki, Masaya

    Non-mass-analyzed nitrogen ion implantation into polycrystal and single crystal aluminum sheets has been carried out at an accelerating voltage of 90 kV and a dose of 1 × 10 18 N ions/cm 2 using a Zymet implanter model Z-100. The pressure during implantation rose to 10 -3 Pa due to the influence of N gas feeding into the ion source. The characteristics of the surface layers were investigated by means of Auger electron spectroscopy (AES), X-ray diffraction (XRD), transmission electron diffraction (TED), and microscopy (TEM). The AES depth profiling shows a rectangular-like distribution of N atoms and little migration of O atoms near the surface. The high dose N-implantation forms c-axis oriented aluminum nitride (AIN) crystallines, and especially irradiation of Al single crystals with N ions leads to the formation of a hcp AlN single crystal. It is concluded that the high dose N-implantation in Al can result in the formation of AlN at room temperature without any thermal annealing. Furthermore, non-mass-analyzed N-implantation at a pressure of 10 -3 Pa of the nitrogen atmosphere causes the formation of pure AlN single crystals in the Al surface layer and consequently it can be practically used for AlN production.

  3. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    NASA Astrophysics Data System (ADS)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  4. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  5. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation.

    PubMed

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-04

    The metal-oxide semiconductor TiO 2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO 2 , but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO 2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO 2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W -1 ) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO 2 .

  6. Synergistic Effects of Iodine and Silver Ions Co-Implanted in 6H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuhudzai, Remeredzai J.; Malherbe, Johan; Hlatshwayo, T. T.

    2015-10-23

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behavior has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag inmore » the co-implanted samples after annealing at 1500 ºC for 30 hours in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.« less

  7. The effects on γ-LiAlO2 induced by nuclear energy losses during Ga ions implantation

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Yu, Xiao-Fei; Wang, Tie-Jun; Wang, Xue-Lin

    2017-09-01

    To explore the evolution of γ-LiAlO2 under ion irradiation at low energy, we implanted Ga ions of 30, 80 and 150 keV at fluences of 1 × 1014 and 1 × 1015 ions/cm2 in z-cut γ-LiAlO2 samples, respectively. The implantation resulted in damage regions dominated by nuclear energy losses at depth of 232 Å, 514 Å, and 911 Å beneath the surface, respectively, which was simulated by the Stopping and Range of Ions in Matter program. The irradiated γ-LiAlO2 were characterized with atomic force microscope, Raman spectroscopy, X-ray diffraction and Rutherford backscattering in a channeling mode for morphology evolution, structure information and damage profiles. The interesting and partly abnormal results showed the various behaviors in modification of surface by Ga ions implantation.

  8. Radiation Hardened Silicon-on-Insulator Structures with N+ Ion Modified Buried SiO2 Layer

    NASA Astrophysics Data System (ADS)

    Tyschenko, I. E.; Popov, V. P.

    2009-12-01

    Radiation-resistant silicon-on-insulator structures were produced by N+ ion implantation into thermally grown SiO2 film and subsequent hydrogen transfer of the Si layer to the nitrogen-implanted substrate under conditions of vacuum wafer bonding. Accumulation of the carriers in the buried SiO2 was investigated as a function of fluence of nitrogen ions in the range (1-6)×1015 cm2 and as a function of total radiation dose ranging from 104 to 107 rad (Si). It was found that the charge generated near the nitrided bonding interface was reduced by a factor of four compared to the thermal SiO2/Si interface.

  9. Quantitative Analysis of Etching Rate Profiles for 11B+-Implanted Si3N4 Film

    NASA Astrophysics Data System (ADS)

    Nakata, Jyoji; Kajiyama, Kenji

    1983-01-01

    Etching rate enhancement for 11B+-implanted Si3N4 film was investigated both experimentally and theoretically. The etching solution was concentrated H3PO4 at ˜165°C Film thicknesses were precisely measured by ellipsometry. Enhancement resulted from Si-N bond breaking. This was confirmed by a decrease of infrared absorption at a 12.0 μm wavelength for Si-N bond vibration. Main and additional peaks were observed in the etching rate profile. The former was due to nuclear damage and was well represented by the calculated etching rate profile deduced from the nuclear deposited energy density distribution. The latter existed in the surface region only when the ion projected range was shorter than the film thickness. This peak was possibly caused by charge accumulation in the insulating Si3N4 film during 11B+ implantation.

  10. Electrical properties of PMMA ion-implanted with low-energy Si+ beam

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Gueorguiev, V. K.; Ivanov, Tz E.; Marinov, Y. G.; Ivanov, V. G.; Faulques, E.

    2010-01-01

    The electrical properties of polymethylmethacrylate (PMMA) after implantation with silicon ions accelerated to an energy of 50 keV are studied under DC electric bias field. The electrical response of the formed material is examined as a function of Si+ fluence in the range 1014 - 1017 cm-2. The carbonaceous subsurface region of the Si+-implanted PMMA displays a significant DC conductivity and a sizable field effect that can be used for electronic applications.

  11. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    NASA Astrophysics Data System (ADS)

    Xu, Juan; Ding, Gang; Li, Jinlu; Yang, Shenhui; Fang, Bisong; Sun, Hongchen; Zhou, Yanmin

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased ( p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  12. Structural modifications and corrosion behavior of martensitic stainless steel nitrided by plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Figueroa, C. A.; Alvarez, F.; Zhang, Z.; Collins, G. A.; Short, K. T.

    2005-07-01

    In this work we report a study of the structural modifications and corrosion behavior of martensitic stainless steels (MSS) nitrided by plasma immersion ion implantation (PI3). The samples were characterized by x-ray diffraction, scanning electron microscopy, energy dispersive x-ray spectroscopy, photoemission electron spectroscopy, and potentiodynamic electrochemical measurements. Depending on the PI3 treatment temperature, three different material property trends are observed. At lower implantation temperatures (e.g., 360 °C), the material corrosion resistance is improved and a compact phase of ɛ-(Fe,Cr)3N, without changes in the crystal morphology, is obtained. At intermediate temperatures (e.g., 430 °C), CrN precipitates form principally at grain boundaries, leading to a degradation in the corrosion resistance compared to the original MSS material. At higher temperatures (e.g., 500 °C), the relatively great mobility of the nitrogen and chromium in the matrix induced random precipitates of CrN, transforming the original martensitic phase into α-Fe (ferrite), and causing a further degradation in the corrosion resistance.

  13. Study of Biological Effects of Low Energy Ion Implantation on Tomato and Radish Breeding

    NASA Astrophysics Data System (ADS)

    Liang, Qiuxia; Huang, Qunce; Cao, Gangqiang; Ying, Fangqing; Liu, Yanbo; Huang, Wen

    2008-04-01

    Biological effects of 30 keV low energy nitrogen ion implantation on the seeds of five types of tomato and one type of radish were investigated. Results showed that low energy ions have different effects on different vegetables. The whole dose-response curve of the germination ratio did not take on "the shape of saddle", but was a rising and falling waveform with the increase or decrease in ion implantation. In the vegetable of Solanaceae, two outstanding aberrant plants were selected from M1 of Henan No.4 tomato at a dose of 7 × 1017 nitrogen ions/cm2, which had thin-leaves, long-petal and nipple tip fruit stably inherited to M7. Furthermore the analysis of the isozyme showed that the activity of the mutant tomato seedling was distinct in quantity and color. In Raphanus sativus L., the aberrances were obvious in the mutant of radish 791 at a dose of 5 × 1017 nitrogen ions/cm2, and the weight of succulent root and the volume of growth were over twice the control's. At present, many species for breeding have been identified in the field and only stable species have been selected for the experiment of production. It is evident that the low energy ion implantation technology has clear effects on vegetables' genetic improvement.

  14. DIN 1.7035 Steel Modification with High Intensity Nitrogen Ion Implantation

    NASA Astrophysics Data System (ADS)

    Ryabchikov, A. I.; Sivin, D. O.; Anan'in, P. S.; Ivanova, A. I.; Uglov, V. V.; Korneva, O. S.

    2018-06-01

    The paper presents research results on the formation of deep ion-modified layers of the grade DIN 1.7035 alloy steel due to a high intensity, repetitively-pulsed nitrogen ion beams with the ion current density of up to 0.5 A/cm2. The formation of a low-energy, high intensity nitrogen ion beam is based on a plasma immersion ion extraction followed by the ballistic focusing in the equipotential drift region. The nitrogen ion implantation in steel specimens is performed at a 1.2 keV energy and 450, 500, 580 and 650°C temperatures during 60 minutes. The morphology, elementary composition and mechanical properties are investigated in deep layers of steel specimens alloyed with nitrogen ions.

  15. The Use of Ion Implantation for Materials Processing.

    DTIC Science & Technology

    1980-10-06

    consists of a series of sections, each section being an annular insulator (glass) and a shaped metal electrode (polished aluminum ) cemented together. A...depending on the ion species, semiconductor material, attached materials (such as aluminum leads), implantation energy, and dose; but some devices are...concentration of subsurface carbon. Appearing directly beneath the oxide layer, the C concentration first reaches a maximum of about five times the bulk

  16. Time-dependent release of cobalt and chromium ions into the serum following implantation of the metal-on-metal Maverick type artificial lumbar disc (Medtronic Sofamor Danek).

    PubMed

    Zeh, Alexander; Becker, Claudia; Planert, Michael; Lattke, Peter; Wohlrab, David

    2009-06-01

    In total hip endoprosthetics and consequently for TDA, metal-on-metal combinations are used with the aim of reducing wear debris. In metal-on-metal TDA the release of metal ions has until now been secondary to the main discussion. In order to investigate the ion release following the implantation of the metal-on-metal Maverick type artificial lumbar disc we measured the serum cobalt and chromium concentration following implantation of 15 Maverick TDAs (monosegmental L5/S1, n = 5; bisegmental L4/5 and L5/S1, n = 5; average age 36.5 years). Five healthy subjects (no metal implants) acted as a control group. The two measurements of the metals were carried out using the absorption spectrometry after an average of 14.8 and 36.7 months. In summary, the concentrations of cobalt and chromium ions in the serum at both follow-ups amounted on average to 3.3 microg/l (SD 2.6) for cobalt and 2.2 microg/l (SD 1.5) for chromium. These figures are similar to the figures shown in the literature following the implantation of metal-on-metal THA. After a comparison to the control group, both the chromium and cobalt levels in the serum showed visible increases regarding the first and the second follow-up. As there is still a significant release of cobalt and chromium into the serum after an average follow-up of 36.7 months a persistent release of these ions must be taken into consideration. Despite the evaluation of the systemic and local effects of the release of Cr/Co from orthopaedic implants has not yet been concluded, one should take into consideration an explanation given to patients scheduled for the implantation of a metal-on-metal TDA about these results and the benefits/risks of alternative combinations of gliding contact surfaces.

  17. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  18. Use of low energy hydrogen ion implants in high efficiency crystalline silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Singh, R.

    1985-01-01

    This program is a study of the use of low energy hydrogen ion implantation for high efficiency crystalline silicon solar cells. The first quarterly report focuses on two tasks of this program: (1) an examination of the effects of low energy hydrogen implants on surface recombination speed; and (2) an examination of the effects of hydrogen on silicon regrowth and diffusion in silicon. The first part of the project focussed on the measurement of surface properties of hydrogen implanted silicon. Low energy hydrogen ions when bombarded on the silicon surface will create structural damage at the surface, deactivate dopants and introduce recombination centers. At the same time the electrically active centers such as dangling bonds will be passivated by these hydrogen ions. Thus hydrogen is expected to alter properties such as the surface recombination velocity, dopant profiles on the emitter, etc. In this report the surface recombination velocity of a hydrogen emplanted emitter was measured.

  19. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  20. Ion implantation and diamond-like coatings of aluminum alloys

    NASA Astrophysics Data System (ADS)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  1. Carbonic Acid by Ion Implantation in Water_solarCarbon Dioxide Ice Mixtures

    NASA Astrophysics Data System (ADS)

    Brucato, J. R.; Palumbo, M. E.; Strazzulla, G.

    1997-01-01

    We present the results of experiments performed by keV ion (He and H) bombardment of frozen mixtures of H 2O:CO 2and of pure CO 2ice. Using keV He ions we confirm the already reported measurement of carbonic acid (H 2CO 3) production in an ice mixture of frozen water and carbon dioxide (1:1) after irradiation by 0.7 MeV H ions (Moore, M. H., and R. K. Khanna 1991. Spectrochim. Acta47, 255-262; Moore, M. H., R. K. Khanna, and B. Donn 1991. J. Geophys. Res. E96(2), 17,541-17,545.). Contrary to a previous report (Pirronello, V., W. L. Brown, L. J. Lanzerotti, K. J. Marcantonio, and E. H. Simmons 1982. Astrophys. J.262, 636-640.), formaldehyde (H 2CO), if any, is not a major product. Implantation with hydrogen ions demonstrates that carbonic acid is formed even if the irradiated target is pure CO 2; i.e., the implanted ion is incorporated into the target and forms new bonds. Some possible astrophysical applications on Solar System objects or (pre-solar) interstellar grains are discussed.

  2. Formation of Ge nanoparticles in SiO xN y by ion implantation and thermal annealing

    DOE PAGES

    Mirzaei, Sahar; Kremer, F.; Sprouster, D. J.; ...

    2015-10-20

    Germanium nanoparticles embedded within dielectric matrices hold much promise for applications in optoelectronic and electronic devices. Here we investigate the formation of Ge nanoparticles in amorphous SiO 1.67N 0.14 as a function of implanted atom concentration and thermal annealing temperature. Using x-ray absorption spectroscopy and other complementary techniques, we show Ge nanoparticles exhibit significant finite-size effects such that the coordination number decreases and structural disorder increases as the nanoparticle size decreases. While the composition of SiO 1.67N 0.14 is close to that of SiO 2, we demonstrate that the addition of this small fraction of N yields a much reducedmore » nanoparticle size relative to those formed in SiO 2 under comparable implantation and annealing conditions. We attribute this difference to an increase in an atomic density and a much reduced diffusivity of Ge in the oxynitride matrix. Finally, these results demonstrate the potential for tailoring Ge nanoparticle sizes and structural properties in the SiO xN y matrices by controlling the oxynitride stoichiometry.« less

  3. Laser generated Ge ions accelerated by additional electrostatic field for implantation technology

    NASA Astrophysics Data System (ADS)

    Rosinski, M.; Gasior, P.; Fazio, E.; Ando, L.; Giuffrida, L.; Torrisi, L.; Parys, P.; Mezzasalma, A. M.; Wolowski, J.

    2013-05-01

    The paper presents research on the optimization of the laser ion implantation method with electrostatic acceleration/deflection including numerical simulations by the means of the Opera 3D code and experimental tests at the IPPLM, Warsaw. To introduce the ablation process an Nd:YAG laser system with repetition rate of 10 Hz, pulse duration of 3.5 ns and pulse energy of 0.5 J has been applied. Ion time of flight diagnostics has been used in situ to characterize concentration and energy distribution in the obtained ion streams while the postmortem analysis of the implanted samples was conducted by the means of XRD, FTIR and Raman Spectroscopy. In the paper the predictions of the Opera 3D code are compared with the results of the ion diagnostics in the real experiment. To give the whole picture of the method, the postmortem results of the XRD, FTIR and Raman characterization techniques are discussed. Experimental results show that it is possible to achieve the development of a micrometer-sized crystalline Ge phase and/or an amorphous one only after a thermal annealing treatment.

  4. Oxygen depth profiling by resonant RBS in NiTi after plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Mändl, S.; Lindner, J. K. N.

    2006-08-01

    NiTi exhibits super-elastic as well as shape-memory properties, which results in a large potential application field in biomedical technology. Using oxygen ion implantation at elevated temperatures, it is possible to improve the biocompatibility. Resonant Rutherford backscattering spectroscopy (RRBS) is used to investigate the oxygen depth profile obtained after oxygen plasma immersion ion implantation (PIII) at 25 kV and 400-600 °C. At all temperatures, a layered structure consisting of TiO2/Ni3Ti/NiTi was found with sharp interfaces while no discernible content of oxygen inside Ni3Ti or nickel in TiO2 was found. These data are compatible with a titanium diffusion from the bulk towards the implanted oxygen.

  5. Improved yields for MOST’s using ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brockman, H. E.

    1976-04-01

    Conventionally diffused source and drain polysilicon gate MOST's commonly exhibit one type of fault, namely, that of polysilicon-to-diffusion short circuits. Investigations into the yields of large-area devices fabricated using ion-implanted sources and drains are compared with those of diffused structures. An improved technology for the chemical shaping of the polysilicon gates, which improves the yields for both types of devices, is also described. (AIP)

  6. Origins of low resistivity in Al ion-implanted ZnO bulk single crystals

    NASA Astrophysics Data System (ADS)

    Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2011-06-01

    The origins of low resistivity in Al ion-implanted ZnO bulk single crystals are studied by combining Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA), photoluminescence (PL), and Van der Pauw methods. The Al-ion implantation (peak concentration: 2.6 × 1020cm-3) into ZnO is performed using a multiple-step energy. The resistivity decreases from ˜104 Ω cm for un-implanted ZnO to 1.4 × 10-1 Ω cm for as-implanted, and reaches 6.0 × 10-4 Ω cm for samples annealed at 1000 °C. RBS and NRA measurements for as-implanted ZnO suggest the existence of the lattice displacement of Zn (Zni) and O (Oi), respectively. After annealing at 1000 °C, the Zni related defects remain and the Oi related defects disappear. The origin of the low resistivity in the as-implanted sample is attributed to the Zni (˜30 meV [Look et al., Phys. Rev. Lett. 82, 2552 (1999)]). In contrast, the origin of the low resistivity in the sample annealed at 1000 °C is assigned to both of the Zni related defects and the electrically activated Al donor. A new PL emission appears at around 3.32 eV after annealing at 1000 °C, suggesting electrically activated Al donors.

  7. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    NASA Astrophysics Data System (ADS)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  8. Magnetic phase composition of strontium titanate implanted with iron ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dulov, E.N., E-mail: evgeny.dulov@ksu.ru; Ivoilov, N.G.; Strebkov, O.A.

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The origin of RT-ferromagnetism in iron implanted strontium titanate. Black-Right-Pointing-Pointer Metallic iron nanoclusters form during implantation and define magnetic behaviour. Black-Right-Pointing-Pointer Paramagnetic at room temperature iron-substituted strontium titanate identified. -- Abstract: Thin magnetic films were synthesized by means of implantation of iron ions into single-crystalline (1 0 0) substrates of strontium titanate. Depth-selective conversion electron Moessbauer spectroscopy (DCEMS) indicates that origin of the samples magnetism is {alpha}-Fe nanoparticles. Iron-substituted strontium titanate was also identified but with paramagnetic behaviour at room temperature. Surface magneto-optical Kerr effect (SMOKE) confirms that the films reveal superparamagnetism (the low-fluence sample) or ferromagnetism (themore » high-fluence sample), and demonstrate absence of magnetic in-plane anisotropy. These findings highlight iron implanted strontium titanate as a promising candidate for composite multiferroic material and also for gas sensing applications.« less

  9. Characterization of an Mg-implanted GaN p-i-n Diode

    DTIC Science & Technology

    2016-03-31

    unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J

  10. Preparation of graphene on Cu foils by ion implantation with negative carbon clusters

    NASA Astrophysics Data System (ADS)

    Li, Hui; Shang, Yan-Xia; Zhang, Zao-Di; Wang, Ze-Song; Zhang, Rui; Fu, De-Jun

    2015-01-01

    We report on few-layer graphene synthesized on Cu foils by ion implantation using negative carbon cluster ions, followed by annealing at 950 °C in vacuum. Raman spectroscopy reveals IG/I2D values varying from 1.55 to 2.38 depending on energy and dose of the cluster ions, indicating formation of multilayer graphene. The measurements show that the samples with more graphene layers have fewer defects. This is interpreted by graphene growth seeded by the first layers formed via outward diffusion of C from the Cu foil, though nonlinear damage and smoothing effects also play a role. Cluster ion implantation overcomes the solubility limit of carbon in Cu, providing a technique for multilayer graphene synthesis. Project supported by the National Natural Science Foundation of China (Grant Nos. 11105100, 11205116, and 11375135) and the State Key Laboratory of Advanced Welding and Joining, Harbin Institute of Technology, China (Grant No. AWJ-M13-03).

  11. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    For the ion implantation tooling was fabricated with which to hold dendritic web samples. This tooling permits the expeditious boron implantation of the back to form the back surface field (BSF). Baseline BSF web cells were fabricated.

  12. Effects of hydrogen implantation into GaN

    NASA Astrophysics Data System (ADS)

    Pearton, S. J.; Abernathy, C. R.; Wilson, R. G.; Zavada, J. M.; Song, C. Y.; Weinstein, M. G.; Stavola, M.; Han, J.; Shul, R. J.

    1999-01-01

    Proton implantation in GaN is found to reduce the free carrier density through two mechanisms - first, by creating electron and hole traps at around EC - 0.8 eV and EV + 0.9 eV that lead to compensation in both n- and p-type material, and second, by leading to formation of (AH)° complexes, where A is any acceptor (Mg, Ca, Zn, Be, Cd). The former mechanism is useful in creating high resistivity regions for device isolation, whereas the latter produces unintentional acceptor passivation that is detrimental to device performance. The strong affinity of hydrogen for acceptors leads to markedly different redistribution behavior for implanted H + in n- and p-GaN due to the chemical reaction to form neutral complexes in the latter. The acceptors may be reactivated by simple annealing at ⩾600°C, or by electron injection at 25-150°C that produces debonding of the (AH)° centers. Implanted hydrogen is also strongly attracted to regions of strain in heterostructure samples during annealing, leading to pile-up at epi-epi and epi-substrate interfaces. IR spectroscopy shows that implanted hydrogen also decorates VGa defects in undoped and n-GaN.

  13. Formation of a periodic diffractive structure based on poly(methyl methacrylate) with ion-implanted silver nanoparticles

    NASA Astrophysics Data System (ADS)

    Galyautdinov, M. F.; Nuzhdin, V. I.; Fattakhov, Ya. V.; Farrakhov, B. F.; Valeev, V. F.; Osin, Yu. N.; Stepanov, A. L.

    2016-02-01

    We propose to form optical diffractive elements on the surface of poly(methyl methacrylate) (PMMA) by implanting the polymer with silver ions ( E = 30 keV; D = 5.0 × 1014 to 1.5 × 1017 ion/cm2; I = 2 μA/cm2) through a nickel grid (mask). Ion implantation leads to the nucleation and growth of silver nanoparticles in unmasked regions of the polymer. The formation of periodic surface microstructures during local sputtering of the polymer by incident ions was monitored using an optical microscope. The diffraction efficiency of obtained gratings is demonstrated under conditions of their probing with semiconductor laser radiation in the visible spectral range.

  14. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayedh, H. M.; Svensson, B. G.; Hallén, A.

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced Cmore » atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.« less

  15. Characterization of Si p-i-n diode for scanning transmission ion microanalysis of biological samples

    NASA Astrophysics Data System (ADS)

    Devès, G.; Matsuyama, S.; Barbotteau, Y.; Ishii, K.; Ortega, R.

    2006-05-01

    The performance of a silicon p-i-n diode (Hamamatsu S1223-01) for the detection of charged particles was investigated and compared with the response of a standard passivated implanted planar silicon (PIPS) detector. The photodiode was characterized by ion beam induced charge collection with a micrometer spatial resolution using proton and alpha particle beams in the 1-3MeV energy range. Results indicate that homogeneity, energy resolution, and reproducibility of detection of charged particles enable the use of the low cost silicon p-i-n device as a replacement of conventional PIPS detector during scanning transmission ion microanalysis experiments. The Si p-i-n diode detection setup was successfully applied to scanning transmission ion microscopy determination of subcellular compartments on human cancer cultured cells.

  16. Impact of He and H relative depth distributions on the result of sequential He+ and H+ ion implantation and annealing in silicon

    NASA Astrophysics Data System (ADS)

    Cherkashin, N.; Daghbouj, N.; Seine, G.; Claverie, A.

    2018-04-01

    Sequential He++H+ ion implantation, being more effective than the sole implantation of H+ or He+, is used by many to transfer thin layers of silicon onto different substrates. However, due to the poor understanding of the basic mechanisms involved in such a process, the implantation parameters to be used for the efficient delamination of a superficial layer are still subject to debate. In this work, by using various experimental techniques, we have studied the influence of the He and H relative depth-distributions imposed by the ion energies onto the result of the sequential implantation and annealing of the same fluence of He and H ions. Analyzing the characteristics of the blister populations observed after annealing and deducing the composition of the gas they contain from FEM simulations, we show that the trapping efficiency of He atoms in platelets and blisters during annealing depends on the behavior of the vacancies generated by the two implants within the H-rich region before and after annealing. Maximum efficiency of the sequential ion implantation is obtained when the H-rich region is able to trap all implanted He ions, while the vacancies it generated are not available to favor the formation of V-rich complexes after implantation then He-filled nano-bubbles after annealing. A technological option is to implant He+ ions first at such an energy that the damage it generates is located on the deeper side of the H profile.

  17. Structured back gates for high-mobility two-dimensional electron systems using oxygen ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berl, M., E-mail: mberl@phys.ethz.ch; Tiemann, L.; Dietsche, W.

    2016-03-28

    We present a reliable method to obtain patterned back gates compatible with high mobility molecular beam epitaxy via local oxygen ion implantation that suppresses the conductivity of an 80 nm thick silicon doped GaAs epilayer. Our technique was optimized to circumvent several constraints of other gating and implantation methods. The ion-implanted surface remains atomically flat which allows unperturbed epitaxial overgrowth. We demonstrate the practical application of this gating technique by using magneto-transport spectroscopy on a two-dimensional electron system (2DES) with a mobility exceeding 20 × 10{sup 6} cm{sup 2}/V s. The back gate was spatially separated from the Ohmic contacts of the 2DES,more » thus minimizing the probability for electrical shorts or leakage and permitting simple contacting schemes.« less

  18. Nitrogen implantation with a scanning electron microscope.

    PubMed

    Becker, S; Raatz, N; Jankuhn, St; John, R; Meijer, J

    2018-01-08

    Established techniques for ion implantation rely on technically advanced and costly machines like particle accelerators that only few research groups possess. We report here about a new and surprisingly simple ion implantation method that is based upon a widespread laboratory instrument: The scanning electron microscope. We show that it can be utilized to ionize atoms and molecules from the restgas by collisions with electrons of the beam and subsequently accelerate and implant them into an insulating sample by the effect of a potential building up at the sample surface. Our method is demonstrated by the implantation of nitrogen ions into diamond and their subsequent conversion to nitrogen vacancy centres which can be easily measured by fluorescence confocal microscopy. To provide evidence that the observed centres are truly generated in the way we describe, we supplied a 98% isotopically enriched 15 N gas to the chamber, whose natural abundance is very low. By employing the method of optically detected magnetic resonance, we were thus able to verify that the investigated centres are actually created from the 15 N isotopes. We also show that this method is compatible with lithography techniques using e-beam resist, as demonstrated by the implantation of lines using PMMA.

  19. Laser characterization of the depth profile of complex refractive index of PMMA implanted with 50 keV silicon ions

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Stoyanov, Hristiyan Y.; Petrova, Elitza; Russev, Stoyan C.; Tsutsumanova, Gichka G.; Hadjichristov, Georgi B.

    2013-03-01

    The depth profile of the complex refractive index of silicon ion (Si+) implanted polymethylmethacrylate (PMMA) is studied, in particular PMMA implanted with Si+ ions accelerated to a relatively low energy of 50 keV and at a fluence of 3.2 × 1015 cm-2. The ion-modified material with nano-clustered structure formed in the near(sub)surface layer of a thickness of about 100 nm is optically characterized by simulation based on reflection ellipsometry measurements at a wavelength of 632.8 nm (He-Ne laser). Being of importance for applications of ion-implanted PMMA in integrated optics, optoelectronics and optical communications, the effect of the index depth profile of Si+-implanted PMMA on the profile of the reflected laser beam due to laser-induced thermo-lensing in reflection is also analyzed upon illumination with a low power cw laser (wavelength 532 nm, optical power 10 - 50 mW).

  20. Formation of donors in germanium–silicon alloys implanted with hydrogen ions with different energies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pokotilo, Yu. M., E-mail: Pokotilo@bsu.by; Petukh, A. N.; Litvinov, V. V.

    2016-08-15

    The distributions of hydrogen-containing donors in Ge{sub 1–x}Si{sub x} (0 ≤ x ≤ 0.06) alloys implanted with hydrogen ions with an energy of 200 and 300 keV and a dose of 1 × 10{sup 15} cm{sup –2} are studied. It is established that, at the higher ion energy, the limiting donor concentration after postimplantation heat treatment (275°C) is attained within ~30 min and, at the lower energy, within ~320 min. In contrast to donors formed near the surface, a portion of hydrogen-containing donors formed upon the implantation of ions with the higher energy possess the property of bistability. The limitingmore » donor concentration is independent of the ion energy, but decreases from 1.3 × 10{sup 16} to 1.5 × 10{sup 15} cm{sup –3}, as the Si impurity content in the alloy is increased from x = 0.008 to x = 0.062. It is inferred that the observed differences arise from the participation of the surface in the donor formation process, since the surface significantly influences defect-formation processes involving radiation-induced defects, whose generation accompanies implantation.« less

  1. Analysis techniques of charging damage studied on three different high-current ion implanters

    NASA Astrophysics Data System (ADS)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  2. Mechanical properties of ion-beam-textured surgical implant alloys

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1977-01-01

    An electron-bombardment Hg ion thruster was used as an ion source to texture surfaces of materials used to make orthopedic and/or dental prostheses or implants. The materials textured include 316 stainless steel, titanium-6% aluminum, 4% vanadium, and cobalt-20% chromium, 15% tungsten. To determine the effect of ion texturing on the ultimate strength and yield strength, stainless steel and Co-Cr-W alloy samples were tensile tested to failure. Three types of samples of both materials were tested. One type was ion-textured (the process also heats each sample to 300 C), another type was simply heated to 300 C in an oven, and the third type was untreated. Stress-strain diagrams, 0.2% offset yield strength data, total elongation data, and area reduction data are presented. Fatigue specimens of ion textured and untextured 316 stainless steel and Ti-6% Al-4% V were tested. Included as an ion textured sample is a Ti-6% Al-4% V sample which was ion machined by means of Ni screen mask so as to produce an array of 140 mu m x 140 mu m x 60 mu m deep pits. Scanning electron microscopy was used to characterize the ion textured surfaces.

  3. Depth Profiles of Mg, Si, and Zn Implants in GaN by Trace Element Accelerator Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Ravi Prasad, G. V.; Pelicon, P.; Mitchell, L. J.; McDaniel, F. D.

    2003-08-01

    GaN is one of the most promising electronic materials for applications requiring high-power, high frequencies, or high-temperatures as well as opto-electronics in the blue to ultraviolet spectral region. We have recently measured depth profiles of Mg, Si, and Zn implants in GaN substrates by the TEAMS particle counting method for both matrix and trace elements, using a gas ionization chamber. Trace Element Accelerator Mass Spectrometry (TEAMS) is a combination of Secondary Ion Mass Spectrometry (SIMS) and Accelerator Mass Spectrometry (AMS) to measure trace elements at ppb levels. Negative ions from a SIMS like source are injected into a tandem accelerator. Molecular interferences inherent with the SIMS method are eliminated in the TEAMS method. Negative ion currents are extremely low with GaN as neither gallium nor nitrogen readily forms negative ions making the depth profile measurements more difficult. The energies of the measured ions are in the range of 4-8 MeV. A careful selection of mass/charge ratios of the detected ions combined with energy-loss behavior of the ions in the ionization chamber eliminated molecular interferences.

  4. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    NASA Astrophysics Data System (ADS)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  5. Comparison of surface characteristics of retrieved cobalt-chromium femoral heads with and without ion implantation.

    PubMed

    McGrory, Brian J; Ruterbories, James M; Pawar, Vivek D; Thomas, Reginald K; Salehi, Abraham B

    2012-01-01

    Nitrogen ion implantation of CoCr is reported to produce increased surface hardness and a lower friction surface. Femoral heads with and without ion implantation retrieved from 1997 to 2003 were evaluated for surface roughness (average surface roughness [Ra], mean peak height [Rpm], and maximum distance from peak to valley [Rmax]), nanohardness, and the ion-treated layer thickness. The difference in average Rmax (P = .033) and average Rpm (P = .008) was statistically significant, but there was no correlation between the average or maximum roughness parameters (average surface roughness, Rmax, and Rpm) and time in vivo (P > .05). Overall, nanohardness was greater for the low-friction ion-treated heads (P < .001); and it decreased with increasing time in vivo (P = .01). Ion treatment produces an increased surface hardness, but the advantage of this increased hardness appears to dissipate over time in vivo. Copyright © 2012 Elsevier Inc. All rights reserved.

  6. Au3+ ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    NASA Astrophysics Data System (ADS)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P. K.

    2017-06-01

    Effects of 11.00 MeV Au3+ ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10-5 ohm-cm (in pristine) to 7.5 × 10-4 ohm-cm for highest ion beam fluence ≈1015 ions/cm2. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm-1 and ∼1103 cm-1 in pristine sample. The broad band at 530 cm-1 shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in the samples as a result of ion beam irradiation.

  7. Quantitative Evaluation of Ion-implanted Arsenic in Silicon by Instrumental Neutron Activation Analysis

    NASA Astrophysics Data System (ADS)

    Takatsuka, Toshiko; Hirata, Kouichi; Kobayashi, Yoshinori; Kuroiwa, Takayoshi; Miura, Tsutomu; Matsue, Hideaki

    2008-11-01

    Certified reference materials (CRMs) of shallow arsenic implants in silicon are now under development at the National Metrology Institute of Japan (NMIJ). The amount of ion-implanted arsenic atoms is quantified by Instrumental Neutron Activation Analysis (INAA) using research reactor JRR-3 in Japan Atomic Energy Agency (JAEA). It is found that this method can evaluate arsenic amounts of 1015 atoms/cm2 with small uncertainties, and is adaptable to shallower dopants. The estimated uncertainties can satisfy the industrial demands for reference materials to calibrate the implanted dose of arsenic at shallow junctions.

  8. High Density Ion Implanted Contiguous Disk Bubble Technology.

    DTIC Science & Technology

    1987-10-31

    magnetic garnet films were grown by liquid phase epitaxy ( LPE ) from a Bi 20 3-PbO flux system. Films were grown with a 600C to 700C supercooling at...Matsutera, "Large Magnetic Anisotropy Change Induced By Hydrogen Ion Implantation In Europium Iron Garnet LPE Films ", J. of Magnetism and Magnetic...summarizes the design, development and growth of various bubble garnet films in our facility, to be used in the fabrication of high density bubble storage

  9. Raman spectroscopy of few-layer graphene prepared by C2-C6 cluster ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Z. S.; Zhang, R.; Zhang, Z. D.; Huang, Z. H.; Liu, C. S.; Fu, D. J.; Liu, J. R.

    2013-07-01

    Few-layer graphene has been prepared on 300 nm-thick Ni films by C2-C6 cluster ion implantation at 20 keV/cluster. Raman spectroscopy reveals significant influence of the number of atoms in the cluster, the implantation dose, and thermal treatment on the structure of the graphene layers. In particular, the graphene samples exhibit a sharp G peak at 1584 cm-1 and 2D peaks at 2711-2717 cm-1. The IG/I2D ratios higher than 1.70 and IG/ID ratio as high as 1.95 confirm that graphene sheets with low density of defects have been synthesized with much improved quality by ion implantation with larger clusters of C4-C6.

  10. Examining metallic glass formation in LaCe:Nb by ion implantation

    DOE PAGES

    Sisson, Richard; Reinhart, Cameron; Bridgman, Paul; ...

    2017-01-01

    In order to combine niobium (Nb) with lanthanum (La) and cerium (Ce), Nb ions were deposited within a thin film of these two elements. According to the Hume-Rothery rules, these elements cannot be combined into a traditional crystalline metallic solid. The creation of an amorphous metallic glass consisting of Nb, La, and Ce is then investigated. Amorphous metallic glasses are traditionally made using fast cooling of a solution of molten metals. In this paper, we show the results of an experiment carried out to form a metallic glass by implanting 9 MeV Nb 3+ atoms into a thin film ofmore » La and Ce. Prior to implantation, the ion volume distribution is calculated by Monte Carlo simulation using the SRIM tool suite. As a result, using multiple methods of electron microscopy and material characterization, small quantities of amorphous metallic glass are indeed identified.« less

  11. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    NASA Astrophysics Data System (ADS)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  12. The formation and optical properties of planar waveguide in laser crystal Nd:YGG by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Jin-Hua; Qin, Xi-Feng; Wang, Feng-Xiang; Jiao, Yang; Guan, Jing; Fu, Gang

    2017-10-01

    As one kind of prominent laser crystal, Nd:Y3Ga5O12 (Nd:YGG) crystal has outstanding performance on laser excitation at multi-wavelength which have shown promising applications in optical communication field. In addition, Nd:YGG crystal has potential applications in medical field due to its ability of emit the laser at 1110 nm. Optical waveguide structure with high quality could improve the efficiency of laser emission. In this work, we fabricated the optical planar waveguide on Nd:YGG crystal by medium mass ion implantation which was convinced an effective method to realize a waveguide structure with superior optical properties. The sample is implanted by C ions at energy of 5.0 MeV with the fluence of 1 × 1015 ions/cm2. We researched the optical propagation properties in the Nd:YGG waveguide by end-face coupling and prism coupling method. The Nd ions fluorescent properties are obtained by a confocal micro-luminescence measurement. The fluorescent properties of Nd ions obtained good reservation after C ion implantation. Our work has reference value for the application of Nd:YGG crystal in the field of optical communication.

  13. All-ion-implanted planar-gate current aperture vertical Ga2O3 MOSFETs with Mg-doped blocking layer

    NASA Astrophysics Data System (ADS)

    Wong, Man Hoi; Goto, Ken; Morikawa, Yoji; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao; Higashiwaki, Masataka

    2018-06-01

    A vertical β-Ga2O3 metal–oxide–semiconductor field-effect transistor featuring a planar-gate architecture is presented. The device was fabricated by an all-ion-implanted process without requiring trench etching or epitaxial regrowth. A Mg-ion-implanted current blocking layer (CBL) provided electrical isolation between the source and the drain except at an aperture opening through which drain current was conducted. Successful transistor action was realized by gating a Si-ion-implanted channel above the CBL. Thermal diffusion of Mg induced a large source–drain leakage current through the CBL, which resulted in compromised off-state device characteristics as well as a reduced peak extrinsic transconductance compared with the results of simulations.

  14. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  15. Enhancement of interaction of L-929 cells with functionalized graphene via COOH+ ion implantation vs. chemical method

    PubMed Central

    Zhao, Meng-li; Liu, Xiao-qi; Cao, Ye; Li, Xi-fei; Li, De-jun; Sun, Xue-liang; Gu, Han-qing; Wan, Rong-xin

    2016-01-01

    Low hydrophilicity of graphene is one of the major obstacles for biomaterials application. To create some hydrophilic groups on graphene is addressed this issue. Herein, COOH+ ion implantation modified graphene (COOH+/graphene) and COOH functionalized graphene were designed by physical ion implantation and chemical methods, respectively. The structure and surface properties of COOH+/graphene and COOH functionalized graphene were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and contact angle measurement. Compared with graphene, COOH+/graphene and COOH functionalized graphene revealed improvement of cytocompatibility, including in vitro cell viability and morphology. More importantly, COOH+/graphene exhibited better improvement effects than functionalized graphene. For instance, COOH+/graphene with 1 × 1018 ions/cm2 showed the best cell-viability, proliferation and stretching. This study demonstrated that ion implantation can better improve the cytocompatibility of the graphene. PMID:27845420

  16. The extraction of negative carbon ions from a volume cusp ion source

    NASA Astrophysics Data System (ADS)

    Melanson, Stephane; Dehnel, Morgan; Potkins, Dave; McDonald, Hamish; Hollinger, Craig; Theroux, Joseph; Martin, Jeff; Stewart, Thomas; Jackle, Philip; Philpott, Chris; Jones, Tobin; Kalvas, Taneli; Tarvainen, Olli

    2017-08-01

    Acetylene and carbon dioxide gases are used in a filament-powered volume-cusp ion source to produce negative carbon ions for the purpose of carbon implantation for gettering applications. The beam was extracted to an energy of 25 keV and the composition was analyzed with a spectrometer system consisting of a 90° dipole magnet and a pair of slits. It is found that acetylene produces mostly C2- ions (up to 92 µA), while carbon dioxide produces mostly O- with only trace amounts of C-. Maximum C2- current was achieved with 400 W of arc power and, the beam current and composition were found to be highly dependent on the pressure in the source. The beam properties as a function of source settings are analyzed, and plasma properties are measured with a Langmuir probe. Finally, we describe testing of a new RF H- ion source, found to produce more than 6 mA of CW H- beam.

  17. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    NASA Astrophysics Data System (ADS)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  18. Laser Annealing of Ion Implanted HgCdTe.

    DTIC Science & Technology

    1984-10-22

    are /A 󈧳e10 4cm 2V- sec- and .(p) OA 103m mV - sec respectively. The above observations all lead to the conclusion that the donors in as-implanted...conductance. ". 5 Measured Hall Constante Hall Mobility Conductivuty Parameters type 2. Cb- (c2 v- - RH (cm.C ) Ac.v* ) Sample ....- 2 3 -1 -1A RH ...4.29.10 U=1.03.103 c(l -)- x=0.28 P ( 3 16 2.4 unimplanted ,,c -2-.l A RH .3.08"l0Z " .sYn-1 ) . Boron Implantation n n (cm a 16s. 4 48 ൒ U.12-10 1S

  19. Processing of Silver-Implanted Aluminum Nitride for Energy Harvesting Devices

    NASA Astrophysics Data System (ADS)

    Alleyne, Fatima Sierre

    One of the more attractive sources of green energy has roots in the popular recycling theme of other green technologies, now known by the term "energy scavenging." In its most promising conformation, energy scavenging converts cyclic mechanical vibrations in the environment or random mechanical pressure pulses, caused by sources ranging from operating machinery to human footfalls, into electrical energy via piezoelectric transducers. While commercial piezoelectrics have evolved to favor lead zirconate titanate (PZT) for its combination of superior properties, the presence of lead in these ceramic compounds raises resistance to their application in anything "green" due to potential health implications during their manufacturing, recycling, or in-service application, if leaching occurs. Therefore in this study we have pursued the application of aluminum nitride (AlN) as a non-toxic alternative to PZT, seeking processing pathways to augment the modest piezoelectric performance of AlN and exploit its compatibility with complementary-metal-oxide semiconductor (CMOS) manufacturing. Such piezoelectric transducers have been categorized as microelectromechanical systems (MEMS), which despite more than a decade of research in this field, is plagued by delamination at the electrode/piezoelectric interface. Consequently the electric field essential to generate and sustain the piezoelectric response of these devices is lost, resulting in device failure. Working on the hypothesis that buried conducting layers can both mitigate the delamination problem and generate sufficient electric field to engage the operation of resonator devices, we have undertaken a study of silver ion implantation to experimentally assess its feasibility. As with most ion implantation procedures employed in semiconductor fabrication, the implanted sample is subjected to a thermal treatment, encouraging diffusion-assisted precipitation of the implanted species at high enough concentrations. The objective

  20. Process yield improvements with process control terminal for varian serial ion implanters

    NASA Astrophysics Data System (ADS)

    Higashi, Harry; Soni, Ameeta; Martinez, Larry; Week, Ken

    Implant processes in a modern wafer production fab are extremely complex. There can be several types of misprocessing, i.e. wrong dose or species, double implants and missed implants. Process Control Terminals (PCT) for Varian 350Ds installed at Intel fabs were found to substantially reduce the number of misprocessing steps. This paper describes those misprocessing steps and their subsequent reduction with use of PCTs. Reliable and simple process control with serial process ion implanters has been in increasing demand. A well designed process control terminal greatly increases device yield by monitoring all pertinent implanter functions and enabling process engineering personnel to set up process recipes for simple and accurate system operation. By programming user-selectable interlocks, implant errors are reduced and those that occur are logged for further analysis and prevention. A process control terminal should also be compatible with office personal computers for greater flexibility in system use and data analysis. The impact from the capability of a process control terminal is increased productivity, ergo higher device yield.

  1. Ion sheath dynamics in a plasma for plasma-based ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yatsuzuka, M.; Miki, S.; Azuma, K.

    1999-07-01

    Spatial and temporal growth and collapse of ion sheath around an electrode of a negative high-voltage pulse (voltage: {minus}10 kV, pulse duration: 10 {micro}s) have been studied in a plasma for plasma-based ion implantation. A spherical electrode of 1.9 cm in a diameter is immersed in a nitrogen plasma with the plasma density range of 10{sup 9} to 10{sup 10} cm{sup {minus}3}, the electron temperature of 1.4 eV and the gas pressure of 8x10{sup {minus}4} Torr. The transient sheath dynamics was observed by the measurement of electron saturation current to a Langmuir probe, where a depletion of electron saturation currentmore » indicates the arrival time of sheath edge at the probe position. The expanding speed of sheath edge is higher than the ion acoustic speed until the sheath length reaches the steady-state extent determined by Child-Langmuir law. In the region beyond the steady-state extent, the rarefying disturbance produced by sheath expansion continues to propagate into the plasma at the ion acoustic peed. After the pulse voltage is returned to zero (more exactly, the floating potential), the electron current begins to recover. When the pulse fall time is shorter than the plasma transit time, the electron saturation current overshoots the steady-state saturation current at once, resulting in an excess of plasma density which propagates like a tidal wave into the plasma at the ion acoustic speed.« less

  2. Silicon solar cells by ion implantation and pulsed energy processing

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Shaughnessy, T. S.; Greenwald, A. C.

    1976-01-01

    A new method for fabrication of silicon solar cells is being developed around ion implantation in conjunction with pulsed electron beam techniques to replace conventional furnace processing. Solar cells can be fabricated totally in a vacuum environment at room temperature. Cells with 10% AM0 efficiency have been demonstrated. High efficiency cells and effective automated processing capabilities are anticipated.

  3. Effect of structural transformation of C+-ion implanted PMMA into quasi-continuous carbonaceous layer on its optical and electrical properties

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Sagheer, Riffat

    2018-02-01

    The samples of Polymethylmethacrylate (PMMA) have been implanted with 500 keV C+-ions at different ion fluences ranging from 9.3 × 1013 to 8.4 × 1014 ions/cm2. The structural modifications are examined by Fourier Transform Infrared and Raman spectral studies. For the investigation of optical, electrical and surface morphological properties of implanted samples UV-Visible spectrometer, four probe apparatus and optical microscope have been employed. The FTIR spectra confirmed the cleavage of chemicals bonds as a consequence of polymer chain scission, whereas, Raman studies revealed the transformation of PMMA structure into quasi-continuous amorphous carbon with increasing ion fluences. A continuous reduction has been observed in the optical band gap of PMMA from 3.16 to 1.42 eV. Moreover, the refractive index, extinction coefficient and electrical conductivity of implanted PMMA are found to be an increasing function of the ion fluence. The micrographic images revealed the signatures of ion-induced defects like cracking, dehydrogenation, stress and swelling on the surface of PMMA. These implanted samples have a potential to be used in the field of optical communications and thin plastic flexible electronics.

  4. Trends and techniques for space base electronics. [mathematical models, ion implantation, and semiconductors

    NASA Technical Reports Server (NTRS)

    Gassaway, J. D.; Mahmood, Q.; Trotter, J. D.

    1978-01-01

    A system was developed for depositing aluminum and aluminum alloys by the D.C. sputtering technique. This system which was designed for a high level of cleanliness and ion monitoring the deposition parameters during film preparation is ready for studying the deposition and annealing parameters upon double level metal preparation. The finite element method was studied for use in the computer modeling of two dimensional MOS transistor structures. An algorithm was developed for implementing a computer study which is based upon the finite difference method. The program was modified and used to calculate redistribution data for boron and phosphorous which had been predeposited by ion implantation with range and straggle conditions typical of those used at MSFC. Data were generated for 111 oriented SOS films with redistribution in N2, dry O2 and steam ambients. Data are given showing both two dimensional effects and the evolution of the junction depth, sheet resistance and integrated dose with redistribution time.

  5. Photoluminescence of magnesium-associated color centers in LiF crystals implanted with magnesium ions

    NASA Astrophysics Data System (ADS)

    Nebogin, S. A.; Ivanov, N. A.; Bryukvina, L. I.; V. Shipitsin, N.; E. Rzhechitskii, A.; Papernyi, V. L.

    2018-05-01

    In the present paper, the effect of magnesium nanoparticles implanted in a LiF crystal on the optical properties of color centers is studied. The transmittance spectra and AFM images demonstrate effective formation of the color centers and magnesium nanoparticles in an implanted layer of ∼ 60-100 nm in thickness. Under thermal annealing, a periodical structure is formed on the surface of the crystal and in the implanted layer due to self-organization of the magnesium nanoparticles. Upon excitation by argon laser with a wavelength of 488 nm at 5 K, in a LiF crystal, implanted with magnesium ions as well as in heavily γ-irradiated LiF: Mg crystals, luminescence of the color centers at λmax = 640 nm with a zero-phonon line at 601.5 nm is observed. The interaction of magnesium nanoparticles and luminescing color centers in a layer implanted with magnesium ions has been revealed. It is shown that the luminescence intensity of the implanted layer at a wavelength of 640 nm is by more than two thousand times higher than that of a heavily γ-irradiated LiF: Mg crystal. The broadening of the zero-phonon line at 601.5 nm in the spectrum of the implanted layer indicates the interaction of the emitting quantum system with local field of the surface plasmons of magnesium nanoparticles. The focus of this work is to further optimize the processing parameters in a way to result in luminescence great enhancement of color centers by magnesium nanoparticles in LiF.

  6. Method of forming thermally stable high-resistivity regions in n-type indium phosphide by oxygen implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, P.E.; Dietrich, H.B.

    1985-12-12

    Objects of this invention are: to form high-temperature stable isolation regions in InP; to provide InP wafers that allow greater flexibility in the design and fabrication of discrete devices; to provide new and improved InP semiconductor devices in n-type InP; to provide high-resisitivity isolation regions in InP; to extend the usefulness of damage-induced isolation in n-type InP by making possible processes in which the isolation implantation precedes the alloying of ohmic contacts; and to provide n-type InP substrates without unwanted conductive layers. The above and other object are realized by an InP wafer comprising a S.I. InP substrate; a n-typemore » InP active layer disposed on the substrate; and oxygen ion implanted isolation regions disposed in the active layer. The S.I. InP dopant may comprise either Fe or Cr.« less

  7. Collision cross sections of high-mannose N-glycans in commonly observed adduct states--identification of gas-phase conformers unique to [M-H](-) ions.

    PubMed

    Struwe, W B; Benesch, J L; Harvey, D J; Pagel, K

    2015-10-21

    We report collision cross sections (CCS) of high-mannose N-glycans as [M + Na](+), [M + K](+), [M + H](+), [M + Cl](-), [M + H2PO4](-) and [M - H](-) ions, measured by drift tube (DT) ion mobility-mass spectrometry (IM-MS) in helium and nitrogen gases. Further analysis using traveling wave (TW) IM-MS reveal the existence of distinct conformers exclusive to [M - H](-) ions.

  8. Design of a nitrogen-implanted titanium-based superelastic alloy with optimized properties for biomedical applications.

    PubMed

    Gordin, D M; Busardo, D; Cimpean, A; Vasilescu, C; Höche, D; Drob, S I; Mitran, V; Cornen, M; Gloriant, T

    2013-10-01

    In this study, a superelastic Ni-free Ti-based biomedical alloy was treated in surface by the implantation of nitrogen ions for the first time. The N-implanted surface was characterized by X-ray diffraction, X-ray photoelectron spectroscopy, and secondary ion mass spectroscopy, and the superficial mechanical properties were evaluated by nano-indentation and by ball-on-disk tribological tests. To investigate the biocompatibility, the corrosion resistance of the N-implanted Ti alloy was evaluated in simulated body fluids (SBF) complemented by in-vitro cytocompatibility tests on human fetal osteoblasts. After implantation, surface analysis methods revealed the formation of a titanium-based nitride on the substrate surface. Consequently, an increase in superficial hardness and a significant reduction of friction coefficient were observed compared to the non-implanted sample. Also, a better corrosion resistance and a significant decrease in ion release rates have been obtained. Cell culture experiments indicated that the cytocompatibility of the N-implanted Ti alloy was superior to that of the corresponding non-treated sample. Thus, this new functional N-implanted titanium-based superelastic alloy presents the optimized properties that are required for various medical devices: superelasticity, high superficial mechanical properties, high corrosion resistance and excellent cytocompatibility. Copyright © 2013 Elsevier B.V. All rights reserved.

  9. Optical and Structural Properties of Ion-implanted InGaZnO Thin Films Studied with Spectroscopic Ellipsometry and Transmission Electron Microscopy

    NASA Astrophysics Data System (ADS)

    Park, Jun Woo; Jeong, Pil Seong; Choi, Suk-Ho; Lee, Hosun; Kong, Bo Hyun; Koun Cho, Hyung

    2009-11-01

    Amorphous InGaZnO (IGZO) thin films were grown using RF sputtering deposition at room temperature and their corresponding dielectric functions were measured. In order to reduce defects and increase carrier concentrations, we examined the effect of forming gas annealing and ion implantation. The band gap energy increased with increasing forming gas annealing temperature. We implanted the IGZO thin films with F- ions in order to decrease oxygen vacancies. For comparison, we also implanted InO- ions. Transmission electron microscopy showed that the amorphous phase undergoes transformation to a nanocrystalline phase due to annealing. We also observed InGaZnO4 nanocrystals having an In-(Ga/Zn) superlattice structure. As the annealing temperature increased, the optical gap energy increased due to crystallization. After annealing, we observed an oxygen-vacancy-related 1.9 eV peak for both unimplanted and InO-implanted samples. However, F- ion implantation substantially reduced the amplitude of the 1.9 eV peak, which disappeared completely at a F fluence of 5×1015 cm-2. We observed other defect-related peaks at 3.6 and 4.2 eV after annealing, which also disappeared after F implantation.

  10. Etching and structure changes in PMMA coating under argon plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Kondyurin, Alexey; Bilek, Marcela

    2011-06-01

    A thin (120 nm) polymethylmethacrylate coating was treated by plasma immersion ion implantation with Ar using pulsed bias at 20 kV. Ellipsometry and FTIR spectroscopy and gel-fraction formation were used to detect the structure transformations as a function of ion fluence. The kinetics of etching, variations in refractive index and extinction coefficient in 400-1000 nm of wavelength, concentration changes in carbonyl, ether, methyl and methylene groups all as a function of ion fluence were analyzed. A critical ion fluence of 10 15 ions/cm 2 was observed to be a border between competing depolymerization and carbonization processes. Chemical reactions responsible for reorganization of the PMMA chemical structure under ion beam treatment are proposed.

  11. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    NASA Astrophysics Data System (ADS)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  12. Surface modification by carbon ion implantation for the application of ni-based amorphous alloys as bipolar plate in proton exchange membrane fuel cells

    NASA Astrophysics Data System (ADS)

    Kim, Min-Uk; Kim, Do-Hyang; Han, Seung-hee; Fleury, Eric; Seok, Hyun-Kwang; Cha, Pil-Ryung; Kim, Yu-Chan

    2011-04-01

    Ni-based amorphous alloys with surface modification by carbon ion implantation are proposed as an alternative bipolar plate material for polymer electrolyte membrane fuel cells (PEMFCs). Both Ni60Nb20Ti10Zr10 alloys with and without carbon ion implantation have corrosion resistance as good as graphite as well as much lower contact resistance than 316L stainless steel in the PEMFC environment. The formation of conductive surface carbide due to carbon ion implantation results in a decrease in the contact resistance to a level comparable to that of graphite. This combination of excellent properties indicates that carbon ion implanted Ni-based amorphous alloys can be potential candidate materials for bipolar plates in PEMFCs.

  13. Creation of High-Yield Polyhydroxyalkanoates Engineered Strains by Low Energy Ion Implantation

    NASA Astrophysics Data System (ADS)

    Qian, Shiquan; Cheng, Ying; Zhu, Suwen; Cheng, Beijiu

    2008-12-01

    Polyhydroxyalkanoates (PHAs), as a candidate for biodegradable plastic materials, can be synthesized by numerous microorganisms. However, as its production cost is high in comparison with those of chemically synthesized plastics, a lot of research has been focused on the efficient production of PHAs using different methods. In the present study, the mutation effects of PHAs production in strain pCB4 were investigated with implantation of low energy ions. It was found that under the implantation conditions of 7.8 × 1014 N+/cm2 at 10 keV, a high-yield PHAs strain with high genetic stability was generated from many mutants. After optimizing its fermentation conditions, the biomass, PHAs concentration and PHAs content of pCBH4 reached 2.26 g/L, 1.81 g/L, and 80.08% respectively, whereas its wild type controls were about 1.24 g/L, 0.61 g/L, and 49.20%. Moreover, the main constituent of PHAs was identified as poly-3-hydroxybutyrates (PHB) in the mutant stain and the yield of this compound was increased up to 41.33% in contrast to that of 27.78% in the wild type strain.

  14. Industrial ion source technology. [for ion beam etching, surface texturing, and deposition

    NASA Technical Reports Server (NTRS)

    Kaufman, H. R.

    1977-01-01

    Plasma probe surveys were conducted in a 30-cm source to verify that the uniformity in the ion beam is the result of a corresponding uniformity in the discharge-chamber plasma. A 15 cm permanent magnet multipole ion source was designed, fabricated, and demonstrated. Procedures were investigated for texturing a variety of seed and surface materials for controlling secondary electron emission, increasing electron absorption of light, and improved attachment of biological tissue for medical implants using argon and tetrafluoromethane as the working gases. The cross section for argon-argon elastic collisions in the ion-beam energy range was calculated from interaction potentials and permits calculation of beam interaction effects that can determine system pumping requirements. The data also indicate that different optimizations of ion-beam machines will be advantageous for long and short runs, with 1 mA-hr/cm being the rough dividing line for run length. The capacity to simultaneously optimize components in an ion-beam machine for a single application, a capacity that is not evident in competitive approaches such as diode sputtering is emphasized.

  15. Removal of ion-implanted photoresists on GaAs using two organic solvents in sequence

    NASA Astrophysics Data System (ADS)

    Oh, Eunseok; Na, Jihoon; Lee, Seunghyo; Lim, Sangwoo

    2016-07-01

    Organic solvents can effectively remove photoresists on III-V channels without damage or etching of the channel material during the process. In this study, a two-step sequential photoresist removal process using two different organic solvents was developed to remove implanted ArF and KrF photoresists at room temperature. The effects of organic solvents with either low molar volumes or high affinities for photoresists were evaluated to find a proper combination that can effectively remove high-dose implanted photoresists without damaging GaAs surfaces. The performance of formamide, acetonitrile, nitromethane, and monoethanolamine for the removal of ion-implanted ArF and KrF photoresists were compared using a two-step sequential photoresist removal process followed by treatment in dimethyl sulfoxide (DMSO). Among the various combinations, the acetonitrile + DMSO two-step sequence exhibited the best removal of photoresists that underwent ion implantation at doses of 5 × 1013-5 × 1015 atoms/cm2 on both flat and trench-structured GaAs surfaces. The ability of the two-step process using organic solvents to remove the photoresists can be explained by considering the affinities of solvents for a polymer and its permeability through the photoresist.

  16. Effect of exposure environment on surface decomposition of SiC-silver ion implantation diffusion couples

    DOE PAGES

    Gerczak, Tyler J.; Zheng, Guiqui; Field, Kevin G.; ...

    2014-10-05

    SiC is a promising material for nuclear applications and is a critical component in the construction of tristructural isotropic (TRISO) fuel. A primary issue with TRISO fuel operation is the observed release of 110m Ag from intact fuel particles. The release of Ag has prompted research efforts to directly measure the transport mechanism of Ag in bulk SiC. Recent research efforts have focused primarily on Ag ion implantation designs. The effect of the thermal exposure system on the ion implantation surface has been investigated. Results indicate the utilization of a mated sample geometry and the establishment of a static thermalmore » exposure environment is critical to maintaining an intact surface for diffusion analysis. In conclusion, the nature of the implantation surface and its potential role in Ag diffusion analysis are discussed.« less

  17. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    NASA Astrophysics Data System (ADS)

    Salvadori, M. C.; Teixeira, F. S.; Sgubin, L. G.; Cattani, M.; Brown, I. G.

    2014-08-01

    There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in the insulating matrix. These nanocomposites have been characterized by measuring the resistivity of the composite layer as a function of the implantation dose. The experimental results are compared with a model based on percolation theory, in which electron transport through the composite is explained by conduction through a random resistor network formed by the metallic nanoparticles. Excellent agreement is found between the experimental results and the predictions of the theory. We conclude in that the conductivity process is due only to percolation (when the conducting elements are in geometric contact) and that the contribution from tunneling conduction is negligible.

  18. A Distonic Radical-Ion for Detection of Traces of Adventitious Molecular Oxygen (O2) in Collision Gases Used in Tandem Mass Spectrometers

    NASA Astrophysics Data System (ADS)

    Jariwala, Freneil B.; Hibbs, John A.; Weisbecker, Carl S.; Ressler, John; Khade, Rahul L.; Zhang, Yong; Attygalle, Athula B.

    2014-09-01

    We describe a diagnostic ion that enables rapid semiquantitative evaluation of the degree of oxygen contamination in the collision gases used in tandem mass spectrometers. Upon collision-induced dissociation (CID), the m/z 359 positive ion generated from the analgesic etoricoxib undergoes a facile loss of a methyl sulfone radical [•SO2(CH3); 79-Da] to produce a distonic radical cation of m/z 280. The product-ion spectrum of this m/z 280 ion, recorded under low-energy activation on tandem-in-space QqQ or QqTof mass spectrometers using nitrogen from a generator as the collision gas, or tandem-in-time ion-trap (LCQ, LTQ) mass spectrometers using purified helium as the buffer gas, showed two unexpected peaks at m/z 312 and 295. This enigmatic m/z 312 ion, which bears a mass-to-charge ratio higher than that of the precursor ion, represented an addition of molecular oxygen (O2) to the precursor ion. The exceptional affinity of the m/z 280 radical cation towards oxygen was deployed to develop a method to determine the oxygen content in collision gases.

  19. The Reduction of TED in Ion Implanted Silicon

    NASA Astrophysics Data System (ADS)

    Jain, Amitabh

    2008-11-01

    The leading challenge in the continued scaling of junctions made by ion implantation and annealing is the control of the undesired transient enhanced diffusion (TED) effect. Spike annealing has been used as a means to reduce this effect and has proven successful in previous nodes. The peak temperature in this process is typically 1050 °C and the time spent within 50 °C of the peak is of the order of 1.5 seconds. As technology advances along the future scaling roadmap, further reduction or elimination of the enhanced diffusion effect is necessary. We have shown that raising the peak temperature to 1175 °C or more and reduction of the anneal time at peak temperature to less than a millisecond is effective in eliminating enhanced diffusion. We show that it is possible to employ a sequence of millisecond anneal followed by spike anneal to obtain profiles that do not exhibit gradient degradation at the junction and have junction depth and sheet resistance appropriate to the needs of future technology nodes. We have implemented millisecond annealing using a carbon dioxide laser to support high-volume manufacturing of 65 nm microprocessors and system-on-chip products. We further show how the use of molecular ion implantation to produce amorphousness followed by laser annealing to produce solid phase epitaxial regrowth results in junctions that meet the shallow depth and abruptness requirements of the 32 nm node.

  20. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ciurea, Magdalena Lidia, E-mail: ciurea@infim.ro; Lazanu, Sorina, E-mail: ciurea@infim.ro

    2014-10-06

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increasemore » of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.« less

  1. Stress influenced trapping processes in Si based multi-quantum well structures and heavy ions implanted Si

    NASA Astrophysics Data System (ADS)

    Ciurea, Magdalena Lidia; Lazanu, Sorina

    2014-10-01

    Multi-quantum well structures and Si wafers implanted with heavy iodine and bismuth ions are studied in order to evaluate the influence of stress on the parameters of trapping centers. The experimental method of thermostimullatedcurrents without applied bias is used, and the trapping centers are filled by illumination. By modeling the discharge curves, we found in multilayered structures the parameters of both 'normal' traps and 'stress-induced' ones, the last having a Gaussian-shaped temperature dependence of the cross section. The stress field due to the presence of stopped heavy ions implanted into Si was modeled by a permanent electric field. The increase of the strain from the neighborhood of I ions to the neighborhood of Bi ions produces the broadening of some energy levels and also a temperature dependence of the cross sections for all levels.

  2. Effect of different sterilization modes on the surface morphology, ion release, and bone reaction of retrieved micro-implants.

    PubMed

    El-Wassefy, Noha; El-Fallal, Abeer; Taha, Mahasen

    2015-01-01

    To compare as-received and sterilized micro-implants in order to assess the prospects of reusing them. Forty micro-implants from a single manufacturing lot were used in the study. Thirty were retrieved from patients after successful service in their mouth and with no signs of failure. The retrieved micro-implants were divided into three groups, according to method of sterilization: autoclave, gamma radiation, or ultraviolet radiation. All groups were subjected to scanning electron microscope analysis for surface morphology assessment. The specimens were immersed in a standard simulated body-fluid solution kept at 37°C in an incubator; the solution was then withdrawn at 24 hours and 30 days to evaluate aluminum and vanadium ion release by atomic absorption spectrophotometer in parts per billion. The micro-implants were then surgically implanted into the tibia of rabbits for a 1-month healing period, and the bone-implant blocks were processed for routine histologic examination. This study revealed that sterilized micro-implants had altered surface topography, different ion release values, and different histologic cell reactions than the as-received micro-implants. Within the limitations of this study, it can be concluded that retrieved self-drilling micro-implants have tip sharpness variations that require correction before insertion by bone drilling. The autoclave-sterilized micro-implants showed better histologic results than micro-implants sterilized by gamma or ultraviolet rays.

  3. Theory and Experiment of Binary Diffusion Coefficient of n-Alkanes in Dilute Gases.

    PubMed

    Liu, Changran; McGivern, W Sean; Manion, Jeffrey A; Wang, Hai

    2016-10-10

    Binary diffusion coefficients were measured for n-pentane, n-hexane, and n-octane in helium and of n-pentane in nitrogen over the temperature range of 300 to 600 K, using reversed-flow gas chromatography. A generalized, analytical theory is proposed for the binary diffusion coefficients of long-chain molecules in simple diluent gases, taking advantage of a recently developed gas-kinetic theory of the transport properties of nanoslender bodies in dilute free-molecular flows. The theory addresses the long-standing question about the applicability of the Chapman-Enskog theory in describing the transport properties of nonspherical molecular structures, or equivalently, the use of isotropic potentials of interaction for a roughly cylindrical molecular structure such as large normal alkanes. An approximate potential energy function is proposed for the intermolecular interaction of long-chain n-alkane with typical bath gases. Using this potential and the analytical theory for nanoslender bodies, we show that the diffusion coefficients of n-alkanes in typical bath gases can be treated by the resulting analytical model accurately, especially for compounds larger than n-butane.

  4. Structural and optical properties of DC magnetron sputtered ZnO films on glass substrate and their modification by Ag ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, R.; Afzal, Naveed; Amjad, U.; Jabbar, S.; Hussain, T.; Hussnain, A.

    2017-07-01

    This work is focused on investigating the effects of deposition time and Ag ions implantation on structural and optical properties of ZnO film. The ZnO film was prepared on glass substrate by pulsed DC magnetron sputtering of pure Zn target in reactive oxygen environment for 2 h, 3 h, 4 h and 5 h respectively. X-ray diffraction results revealed polycrystalline ZnO film whose crystallinity was improved with increase of the deposition time. The morphological features indicated agglomeration of smaller grains into larger ones by increasing the deposition time. The UV-vis spectroscopy analysis depicted a small decrease in the band gap of ZnO from 3.36 eV to 3.27 eV with increase of deposition time. The Ag ions implantation in ZnO films deposited for 5 h on glass was carried out by using Pelletron Accelerator at different ions fluences ranging from 1  ×  1011 ions cm-2 to 2  ×  1012 ions cm-2. XRD patterns of Ag ions implanted ZnO did not show significant change in crystallite size by increasing ions fluence from 1  ×  1011 ions cm-2 to 5  ×  1011 ions cm-2. However, with further increase of the ions fluence, the crystallite size was decreased. The band gap of Ag ions implanted ZnO indicated anomalous variations with increase of the ions fluence.

  5. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    NASA Astrophysics Data System (ADS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  6. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    NASA Technical Reports Server (NTRS)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  7. Effects of nitrogen ion implantation time on tungsten films deposited by DC magnetron sputtering on AISI 410 martensitic stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malau, Viktor, E-mail: malau@ugm.ac.id; Ilman, Mochammad Noer, E-mail: noer-ilman@yahoo.com; Iswanto, Priyo Tri, E-mail: priyatri@yahoo.com

    Nitrogen ion implantation time on tungsten thin film deposited on surface of AISI 410 steel has been performed. Tungsten thin film produced by dc magnetron sputtering method was deposited on AISI 410 martensitic stainless steel substrates, and then the nitrogen ions were implanted on tungsten thin film. The objective of this research is to investigate the effects of implantation deposition time on surface roughness, microhardness, specific wear and corrosion rate of nitrogen implanted on tungsten film. Magnetron sputtering process was performed by using plasma gas of argon (Ar) to bombardier tungsten target (W) in a vacuum chamber with a pressuremore » of 7.6 x 10{sup −2} torr, a voltage of 300 V, a sputter current of 80 mA for sputtered time of 10 minutes. Nitrogen implantation on tungsten film was done with an initial pressure of 3x10{sup −6} mbar, a fluence of 2 x 10{sup 17} ions/cm{sup 2}, an energy of 100 keV and implantation deposition times of 0, 20, 30 and 40 minutes. The surface roughness, microhardness, specific wear and corrosion rate of the films were evaluated by surfcorder test, Vickers microhardness test, wear test and potentiostat (galvanostat) test respectively. The results show that the nitrogen ions implanted deposition time on tungsten film can modify the surface roughness, microhardness, specific wear and corrosion rate. The minimum surface roughness, specific wear and corrosion rate can be obtained for implantation time of 20 minutes and the maximum microhardness of the film is 329 VHN (Vickers Hardness Number) for implantation time of 30 minutes. The specific wear and corrosion rate of the film depend directly on the surface roughness.« less

  8. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V.; Chesnokov, Yu. M.

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpestmore » boundaries at a lower energy of implantable ions.« less

  9. Nanostructural evolution and behavior of H and Li in ion-implanted γ-LiAlO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Weilin; Zhang, Jiandong; Edwards, Danny J.

    In-situ He+ ion irradiation is performed under a helium ion microscope to study nanostructural evolution in polycrystalline gamma-LiAlO2 pellets. Various locations within a grain, across grain boundaries and at a cavity are selected. The results exhibit He bubble formation, grain-boundary cracking, nanoparticle agglomeration, increasing surface brightness with dose, and material loss from the surface. Similar brightening effects at grain boundaries are also observed under a scanning electron microscope. Li diffusion and loss from polycrystalline gamma-LiAlO2 is faster than its monocrystalline counterpart during H2+ ion implantation at elevated temperatures. There is also more significant H diffusion and release from polycrystalline pelletsmore » during thermal annealing of 300 K implanted samples. Grain boundaries and cavities could provide a faster pathway for H and Li diffusion. H release is slightly faster from the 573 K implanted monocrystalline gamma-LiAlO2 during annealing at 773 K. Metal hydrides could be formed preferentially along the grain boundaries to immobilize hydrogen.« less

  10. Buffer Gas Experiments in Mercury (Hg+) Ion Clock

    NASA Technical Reports Server (NTRS)

    Chung, Sang K.; Prestage, John D.; Tjoelker, Robert L.; Maleki, Lute

    2004-01-01

    We describe the results of the frequency shifts measured from various buffer gases that might be used as a buffer gas to increase the loading efficiency and cooling of ions trapped in a small mercury ion clock. The small mass, volume and power requirement of space clock precludes the use of turbo pumps. Hence, a hermetically sealed vacuum system, incorporating a suitable getter material with a fixed amount of inert buffer gas may be a practical alternative to the groundbased system. The collision shifts of 40,507,347.996xx Hz clock transition for helium, neon and argon buffer gases were measured in the ambient earth magnetic field. In addition to the above non-getterable inert gases we also measured the frequency shifts due to getterable, molecular hydrogen and nitrogen gases which may be used as buffer gases when incorporated with a miniature ion pump. We also examined the frequency shift due to the low methane gas partial pressure in a fixed higher pressure neon buffer gas environment. Methane gas interacted with mercury ions in a peculiar way as to preserve the ion number but to relax the population difference in the two hyperfine clock states and thereby reducing the clock resonance signal. The same population relaxation was also observed for other molecular buffer gases (N H,) but at much reduced rate.

  11. Synthesis of Ag metallic nanoparticles by 120 keV Ag- ion implantation in TiO2 matrix

    NASA Astrophysics Data System (ADS)

    Sharma, Himanshu; Singhal, Rahul

    2017-12-01

    TiO2 thin film synthesized by the RF sputtering method has been implanted by 120 keV Ag- ion with different doses (3 × 1014, 1 × 1015, 3 × 1015, 1 × 1016 and 3 × 1016 ions/cm2). Further, these were characterized by Rutherford back Scattering, XRD, X-ray photoelectron spectroscopy (XPS), UV-visible and fluorescence spectroscopy. Here we reported that after implantation, localized surface Plasmon resonance has been observed for the fluence 3 × 1016 ions/cm2, which was due to the formation of silver nanoparticles. Ag is in metallic form in the matrix of TiO2, which is very interestingly as oxidation of Ag was reported after implantation. Also, we have observed the interaction between nanoparticles of Ag and TiO2, which results in an increasing intensity in lower charge states (Ti3+) of Ti. This interaction is supported by XPS and fluorescence spectroscopy, which can help improve photo catalysis and antibacterial properties.

  12. Phase transformations induced by spherical indentation in ion-implanted amorphous silicon

    NASA Astrophysics Data System (ADS)

    Haberl, B.; Bradby, J. E.; Ruffell, S.; Williams, J. S.; Munroe, P.

    2006-07-01

    The deformation behavior of ion-implanted (unrelaxed) and annealed ion-implanted (relaxed) amorphous silicon (a-Si) under spherical indentation at room temperature has been investigated. It has been found that the mode of deformation depends critically on both the preparation of the amorphous film and the scale of the mechanical deformation. Ex situ measurements, such as Raman microspectroscopy and cross-sectional transmission electron microscopy, as well as in situ electrical measurements reveal the occurrence of phase transformations in all relaxed a-Si films. The preferred deformation mode of unrelaxed a-Si is plastic flow, only under certain high load conditions can this state of a-Si be forced to transform. In situ electrical measurements have revealed more detail of the transformation process during both loading and unloading. We have used ELASTICA simulations to obtain estimates of the depth of the metallic phase as a function of load, and good agreement is found with the experiment. On unloading, a clear change in electrical conductivity is observed to correlate with a "pop-out" event on load versus penetration curves.

  13. High-dose boron and silver ion implantation into PMMA probed by slow positrons: Effects of carbonization and formation of metal nanoparticles

    NASA Astrophysics Data System (ADS)

    Kavetskyy, T.; Iida, K.; Nagashima, Y.; Kuczumow, A.; Šauša, O.; Nuzhdin, V.; Valeev, V.; Stepanov, A. L.

    2017-01-01

    The Doppler broadening slow positron beam spectroscopy (SPBS) data for the previously observed effect of carbonization in high-dose (>1016 ion/cm2) 40 keV boron-ion-implanted polymethylmethacrylate (B:PMMA) and another one obtained for the effect of formation of metal nanoparticles in high-dose 30 keV silver-ion-implanted polymer (Ag:PMMA) are compared. Following to the Doppler broadening SPBS results, a difference in the high-dose ion-irradiation-induced processes in B:PMMA and Ag:PMMA is detected.

  14. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    NASA Astrophysics Data System (ADS)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  15. Radiation damage and defect behavior in ion-implanted, lithium counterdoped silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Mehta, S.; Swartz, C. K.

    1984-01-01

    Boron doped silicon n+p solar cells were counterdoped with lithium by ion implantation and the resuitant n+p cells irradiated by 1 MeV electrons. The function of fluence and a Deep Level Transient Spectroscopy (DLTS) was studied to correlate defect behavior with cell performance. It was found that the lithium counterdoped cells exhibited significantly increased radiation resistance when compared to boron doped control cells. It is concluded that the annealing behavior is controlled by dissociation and recombination of defects. The DLTS studies show that counterdoping with lithium eliminates at least three deep level defects and results in three new defects. It is speculated that the increased radiation resistance of the counterdoped cells is due primarily to the interaction of lithium with oxygen, single vacanies and divacancies and that the lithium-oxygen interaction is the most effective in contributing to the increased radiation resistance.

  16. Hydrogenation behavior of Ti-implanted Zr-1Nb alloy with TiN films deposited using filtered vacuum arc and magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kashkarov, E. B.; Nikitenkov, N. N.; Sutygina, A. N.; Bezmaternykh, A. O.; Kudiiarov, V. N.; Syrtanov, M. S.; Pryamushko, T. S.

    2018-02-01

    More than 60 years of operation of water-cooled reactors have shown that local or general critical hydrogen concentration is one of the basic limiting criteria of zirconium-based fuel element claddings. During the coolant radiolysis, released hydrogen penetrates and accumulates in zirconium alloys. Hydrogenation of zirconium alloys leads to degradation of their mechanical properties, hydride cracking and stress corrosion cracking. In this research the effect of titanium nitride (TiN) deposition on hydrogenation behavior of Ti-implanted Zr-1Nb alloy was described. Ti-implanted interlayer was fabricated by plasma immersion ion implantation (PIII) at the pulsed bias voltage of 1500 V to improve the adhesion of TiN and reduce hydrogen penetration into Zr-1Nb alloy. We conducted the comparative analysis on hydrogenation behavior of the Ti-implanted alloy with sputtered and evaporated TiN films by reactive dc magnetron sputtering (dcMS) and filtered cathodic vacuum arc deposition (FVAD), respectively. The crystalline structure and surface morphology were investigated using X-ray diffraction (XRD) and scanning electron microscopy (SEM). The elemental distribution was analyzed using glow-discharge optical emission spectroscopy (GD-OES). Hydrogenation was performed from gas atmosphere at 350 °C and 2 atm hydrogen pressure. The results revealed that TiN films as well as Ti implantation significantly reduce hydrogen absorption rate of Zr-1Nb alloy. The best performance to reduce the rate of hydrogen absorption is Ti-implanted layer with evaporated TiN film. Morphology of the films impacted hydrogen permeation through TiN films: the denser film the lower hydrogen permeation. The Ti-implanted interface plays an important role of hydrogen accumulation layer for trapping the penetrated hydrogen. No deterioration of adhesive properties of TiN films on Zr-1Nb alloy with Ti-implanted interface occurs under high-temperature hydrogen exposure. Thus, the fabrication of Ti-implanted

  17. Le satellite Encelade source d'ions N+ dans la magnétosphère de Saturne

    NASA Astrophysics Data System (ADS)

    Bouhram, Mehdi; Berthelier, Jean-Jacques; Illiano, Jean-Marie; Smith, Howard T.; Sittler, Edward C.; Crary, Frank J.; Young, Dave T.

    2005-12-01

    xml:lang="fr">RésuméLe premier passage de la sonde Cassini dans l'environnement de Saturne, au dessus de l'anneau E, a mis en évidence l'existence d'un plasma composé d'un mélange d'ions issus des produits de l'eau (H+, O+, OH+, H2O+) avec une faible composante en ions N+ (3 %). A partir d'un modèle simple du transport des ions dans la magnétosphère, nous montrons que la source de ces ions N+ coïncide avec le satellite Encelade. Un tel résultat peut s'expliquer par la présence de composés volatiles tels que l'ammoniac NH3 sur ce satellite de glace, supposé encore actif géologiquement, ou par la présence d'ions N+ d'origine externe préalablement implantés sur sa surface. Pour citer cet article : M. Bouhram et al., C. R. Physique 6 (2005).

  18. Microstructure and properties of single crystal BaTiO3 thin films synthesized by ion implantation-induced layer transfer

    NASA Astrophysics Data System (ADS)

    Park, Young-Bae; Ruglovsky, Jennifer L.; Atwater, Harry A.

    2004-07-01

    Single crystal BaTiO3 thin films have been transferred onto Pt-coated and Si3N4-coated substrates by the ion implantation-induced layer transfer method using H + and He+ ion coimplantation and subsequent annealing. The transferred BaTiO3 films are single crystalline with root mean square roughness of 17nm. Polarized optical and piezoresponse force microscopy (PFM) indicate that the BaTiO3 film domain structure closely resembles that of bulk tetragonal BaTiO3 and atomic force microscopy shows a 90° a -c domain structure with a tetragonal angle of 0.5°-0.6°. Micro-Raman spectroscopy indicates that the local mode intensity is degraded in implanted BaTiO3 but recovers during anneals above the Curie temperature. The piezoelectric coefficient, d33, is estimated from PFM to be 80-100pm/V and the coercive electric field (Ec) is 12-20kV/cm, comparable to those in single crystal BaTiO3.

  19. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part I. Surface modification and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V; Riesz, F

    2007-03-01

    Material surfaces play critical role in biology and medicine since most biological reactions occur on surfaces and interfaces. There are many examples showing that the surface properties of the materials control and are directly involved in biological reactions and processes in-vitro like blood compatibility, protein absorption, cell development, etc. The rules that govern the diversity of biological surface phenomenon are fundamental physical laws. Stainless steel doped with Cr, Ni and Mo is widely used material in medicine and dentistry due to its excellent corrosion resistance and mechanical properties. The interest in this material has stimulated extensive studies on improving its bone-bonding properties. This paper describes the surface modification of Cr-Ni stainless steel (AISI 316) by a whole surface sequential implantation of Ca and P ions (the basic ions of hydroxyapatite). Three groups of stainless steel samples are prepared: (i) ion-implanted, (ii) ion-implanted and thermally treated at 600( composite function)C in air for 1 h and (iii) initials. The surface chemistry and topography before and after the surface modification are characterized by X-ray photoelectron spectroscopy, Auger electron spectroscopy, magic mirror method, atomic force microscopy and contact angle measurements.

  20. Phosphorus-defect interactions during thermal annealing of ion implanted silicon

    NASA Astrophysics Data System (ADS)

    Keys, Patrick Henry

    Ion implantation of dopant atoms into silicon generates nonequilibrium levels of crystal defects that can lead to the detrimental effects of transient enhanced diffusion (TED), incomplete dopant activation, and p-n junction leakage. In order to control these effects, it is vital to have a clear understanding of dopant-defect interactions and develop models that account for these interactions. This research focuses on experimentally investigating and modeling the clustering of phosphorus dopant atoms with silicon interstitials. Damage recovery of 40keV Si+ implants in phosphorus doped wells is experimentally analyzed. The effects of background phosphorus concentration, self implant dose, and anneal temperature are investigated. Phosphorus concentrations ranging from 2.0 x 1017 to 4.0 x 1019 cm-3 and Si+ doses ranging from 5.0 x 1013 cm-2 to 2.0 x 1014 cm-2 are studied during 650-800°C anneals. A dramatic reduction in the number of interstitials bound in {311} defects with increasing phosphorus background concentration is observed. It is suggested that the reduction of interstitials in {311} defects at high phosphorus concentrations is due to the formation of phosphorus-interstitial clusters (PICs). The critical concentration for clustering (approximately 1.0 x 1019 cm-3 at 750°C) is strongly temperature dependent and in close agreement with the kink concentration of phosphorus diffusion. Information gained from these "well experiments" is applied to the study of direct phosphorus implantation. An experimental study is conducted on 40keV phosphorus implanted to a dose of 1.0 x 1014 cm-2 during 650-800°C anneals. Electrically inactive PICs are shown to form at concentrations below the solid solubility limit due to high interstitial supersaturations. Data useful for developing a model to accurately predict phosphorus diffusion under nonequilibrium conditions are extracted from the experimental results. A cluster-mediated diffusion model is developed using the

  1. Au Colloids Formed by Ion Implantation in Muscovite Mica Studied by Vibrational and Electronic Spectroscopes and Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    Tung, Y. S.; Henderson, D. O.; Mu, R.; Ueda, A.; Collins, W. E.; White, C. W.; Zuhr, R. A.; Zhu, Jane G.

    1997-01-01

    Au was implanted into the (001) surface of Muscovite mica at an energy of 1.1 MeV and at doses of 1, 3, 6, and 10 x 10(exp 16) ions/cu cm. Optical spectra of the as-implanted samples revealed a peak at 2.28 eV (545 nm) which is attributed to the surface plasmon absorption of Au colloids. The infrared reflectance measurements show a decreasing reflectivity with increasing ion dose in the Si-O stretching region (900-1200 /cm). A new peak observed at 967 /cm increases with the ion dose and is assigned to an Si-O dangling bond. Atomic force microscopy images of freshly cleaved samples implanted with 6 and 10 x 10(exp 16) ions/sq cm indicated metal colloids with diameters between 0.9- 1.5 nm. AFM images of the annealed samples showed irregularly shaped structures with a topology that results from the fusion of smaller colloids.

  2. Ion implantation modified stainless steel as a substrate for hydroxyapatite deposition. Part II. Biomimetic layer growth and characterization.

    PubMed

    Pramatarova, L; Pecheva, E; Krastev, V

    2007-03-01

    The interest in stainless steel as a material widely used in medicine and dentistry has stimulated extensive studies on improving its bone-bonding properties. AISI 316 stainless steel is modified by a sequential ion implantation of Ca and P ions (the basic ions of hydroxyapatite), and by Ca and P implantation and subsequent thermal treatment in air (600( composite function)C, 1 h). This paper investigates the ability of the as-modified surfaces to induce hydroxyapatite deposition by using a biomimetic approach, i.e. immersion in a supersaturated aqueous solution resembling the human blood plasma (the so-called simulated body fluid). We describe our experimental procedure and results, and discuss the physico-chemical properties of the deposed hydroxyapatite on the modified stainless steel surfaces. It is shown that the implantation of a selected combination of ions followed by the applied methodology of the sample soaking in the simulated body fluid yield the growth of hydroxyapatite layers with composition and structure resembling those of the bone apatite. The grown layers are found suitable for studying the process of mineral formation in nature (biomineralization).

  3. Comparison of metal ion concentrations and implant survival after total hip arthroplasty with metal-on-metal versus metal-on-polyethylene articulations

    PubMed Central

    Dahlstrand, Henrik; Stark, André; Wick, Marius C; Anissian, Lucas; Hailer, Nils P; Weiss, Rüdiger J

    2017-01-01

    Background and purpose Large metal-on-metal (MoM) articulations are associated with metal wear and corrosion, leading to increased metal ion concentrations and unacceptable revision rates. There are few comparative studies of 28-mm MoM articulations with conventional metal-on-polyethylene (MoP) couplings. We present a long-term follow-up of a randomized controlled trial comparing MoM versus MoP 28-mm articulations, focused on metal ions and implant survival. Patients and methods 85 patients with a mean age of 65 years at surgery were randomized to a MoM (Metasul) or a MoP (Protasul) bearing. After 16 years, 38 patients had died and 4 had undergone revision surgery. 13 patients were unavailable for clinical follow-up, leaving 30 patients (n = 14 MoM and n = 16 MoP) for analysis of metal ion concentrations and clinical outcome. Results 15-year implant survival was similar in both groups (MoM 96% [95% CI 88–100] versus MoP 97% [95% CI 91–100]). The mean serum cobalt concentration was 4-fold higher in the MoM (1.5 μg/L) compared with the MoP cohort (0.4 μg/L, p < 0.001) and the mean chromium concentration was double in the MoM (2.2 μg/L) compared with the MoP cohort (1.0 μg/L, p = 0.05). Mean creatinine levels were similar in both groups (MoM 93 μmol/L versus MoP 92 μmol/L). Harris hip scores differed only marginally between the MoM and MoP cohorts. Interpretation This is the longest follow-up of a randomized trial on 28-mm MoM articulations, and although implant survival in the 2 groups was similar, metal ion concentrations remained elevated in the MoM cohort even in the long term. PMID:28699417

  4. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  5. Impact energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Q. Y.; Fu, Ricky K. Y.; Chu, Paul K.

    2009-08-10

    The implantation energy and retained dose uniformity in enhanced glow discharge plasma immersion ion implantation (EGD-PIII) is investigated numerically and experimentally. Depth profiles obtained from different samples processed by EGD-PIII and traditional PIII are compared. The retained doses under different pulse widths are calculated by integrating the area under the depth profiles. Our results indicate that the improvement in the impact energy and retained dose uniformity by this technique is remarkable.

  6. Slow positron beam study of hydrogen ion implanted ZnO thin films

    NASA Astrophysics Data System (ADS)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-08-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×1015 and 1×1016 ions cm-2. Zn vacancy and OH bonding (VZn+OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process.

  7. Structural and electrical properties of Se-hyperdoped Si via ion implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Liu, Fang; Prucnal, S.; Yuan, Ye; Heller, R.; Berencén, Y.; Böttger, R.; Rebohle, L.; Skorupa, W.; Helm, M.; Zhou, S.

    2018-06-01

    We report on the hyperdoping of silicon with selenium obtained by ion implantation followed by flash lamp annealing. It is shown that the degree of crystalline lattice recovery of the implanted layers and the Se substitutional fraction depend on the pulse duration and energy density of the flash. While the annealing at low energy densities leads to an incomplete recrystallization, annealing at high energy densities results in a decrease of the substitutional fraction of impurities. The electrical properties of the implanted layers are well-correlated with the structural properties resulting from different annealing processing.

  8. Defects in N/Ge coimplanted GaN studied by positron annihilation

    NASA Astrophysics Data System (ADS)

    Nakano, Yoshitaka; Kachi, Tetsu

    2002-01-01

    We have applied positron annihilation spectroscopy to study the depth distributions and species of defects in N-, Ge-, and N/Ge-implanted GaN at dosages of 1×1015 cm-2. For all the implanted samples, Ga vacancies introduced by ion-implantation are found to diffuse into much deeper regions of the GaN layers during the implantation and to change into some other vacancy-type defects by the annealing at 1300 °C. In particular, markedly different defects turn out to be newly created in the electrically activated regions for both the Ge- and N/Ge-implanted samples after annealing, indicating that these new defects are probably associated with the presence of the implanted Ge dopant atoms.

  9. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  10. Trapped noble gases indicate lunar origin for Antarctic meteorite

    NASA Technical Reports Server (NTRS)

    Bogard, D. D.; Johnson, P.

    1983-01-01

    The isotopic abundances of the noble gases (He, Ne, Ar, Kr, Xe) are reported for Antarctic ALHA 81005. It contains solar wind-implanted gases whose absolute and relative concentrations are quite similar to lunar regolith samples but not to other meteorites. ALHA 81005 also contains a large excess Ar-40 component which is identical to the component in lunar fines implanted from the lunar atmosphere. Large concentrations of cosmogenic Ne-21, Kr-82, and Xe-126 in ALHA 81005 indicate a total cosmic ray exposure age of at least 200 million years. The noble gas data alone are strong evidence for a lunar origin of this meteorite.

  11. Exploring the Surface Sensitivity of ToF-SIMS by Measuring the Implantation and Sampling Depths of Bin and C60 Ions in Organic Films

    PubMed Central

    Muramoto, Shin; Brison, Jeremy; Castner, David G.

    2011-01-01

    The surface sensitivity of Binq+ (n = 1, 3, 5, q = 1, 2) and C60q+ (q = 1, 2) primary ions in static time-of-flight secondary ion mass spectrometry (ToF-SIMS) experiments were investigated for molecular trehalose and polymeric tetraglyme organic films. Parameters related to surface sensitivity (impact crater depth, implantation depth, and molecular escape depths) were measured. Under static ToF-SIMS conditions (primary ion doses of 1 × 1012 ions/cm2), the 25 keV Bi1+ primary ions were the most surface sensitive with a molecular escape depth of 1.8 nm for protein films with tetraglyme overlayers, but they had the deepest implantation depth (~18 and 26 nm in trehalose and tetraglyme films, respectively). The 20 keV C60++ primary ions were the second most surface sensitive with a slightly larger molecular escape depth of 2.3 nm. The most important factor that determined the surface sensitivity of the primary ion was its impact crater depth, or the amount of surface erosion. The most surface sensitive primary ions, Bi1+ and C60++, created impact craters with depths of 0.3 and 1.0 nm, respectively, in tetraglyme films. In contrast, Bi5++ primary ions created impact craters with a depth of 1.8 nm in tetraglyme films and were the least surface sensitive with a molecular escape depth of 4.7 nm. PMID:22084828

  12. Resonance ultrasonic vibrations in Cz-Si wafers as a possible diagnostic technique in ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Z. Y.; Ostapenko, S.; Anundson, R.; Tvinnereim, M.; Belyaev, A.; Anthony, M.

    2001-07-01

    The semiconductor industry does not have effective metrology for well implants. The ability to measure such deep level implants will become increasingly important as we progress along the technology road map. This work explores the possibility of using the acoustic whistle effect on ion implanted silicon wafers. The technique detects the elastic stress and defects in silicon wafers by measuring the sub-harmonic f/2 resonant vibrations on a wafer induced via backside contact to create standing waves, which are measured by a non-contact ultrasonic probe. Preliminary data demonstrates that it is sensitive to implant damage, and there is a direct correlation between this sub-harmonic acoustic mode and some of the implant and anneal conditions. This work presents the results of a feasibility study to assess and quantify the correspondent whistle effect to implant damage, residual damage after annealing and intrinsic defects.

  13. Real World Experience With Ion Implant Fault Detection at Freescale Semiconductor

    NASA Astrophysics Data System (ADS)

    Sing, David C.; Breeden, Terry; Fakhreddine, Hassan; Gladwin, Steven; Locke, Jason; McHugh, Jim; Rendon, Michael

    2006-11-01

    The Freescale automatic fault detection and classification (FDC) system has logged data from over 3.5 million implants in the past two years. The Freescale FDC system is a low cost system which collects summary implant statistics at the conclusion of each implant run. The data is collected by either downloading implant data log files from the implant tool workstation, or by exporting summary implant statistics through the tool's automation interface. Compared to the traditional FDC systems which gather trace data from sensors on the tool as the implant proceeds, the Freescale FDC system cannot prevent scrap when a fault initially occurs, since the data is collected after the implant concludes. However, the system can prevent catastrophic scrap events due to faults which are not detected for days or weeks, leading to the loss of hundreds or thousands of wafers. At the Freescale ATMC facility, the practical applications of the FD system fall into two categories: PM trigger rules which monitor tool signals such as ion gauges and charge control signals, and scrap prevention rules which are designed to detect specific failure modes that have been correlated to yield loss and scrap. PM trigger rules are designed to detect shifts in tool signals which indicate normal aging of tool systems. For example, charging parameters gradually shift as flood gun assemblies age, and when charge control rules start to fail a flood gun PM is performed. Scrap prevention rules are deployed to detect events such as particle bursts and excessive beam noise, events which have been correlated to yield loss. The FDC system does have tool log-down capability, and scrap prevention rules often use this capability to automatically log the tool into a maintenance state while simultaneously paging the sustaining technician for data review and disposition of the affected product.

  14. Simultaneous Sterilization With Surface Modification Of Plastic Bottle By Plasma-Based Ion Implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakudo, N.; Ikenaga, N.; Ikeda, F.

    2011-01-07

    Dry sterilization of polymeric material is developed. The technique utilizes the plasma-based ion implantation which is same as for surface modification of polymers. Experimental data for sterilization are obtained by using spores of Bacillus subtilis as samples. On the other hand we previously showed that the surface modification enhanced the gas barrier characteristics of plastic bottles. Comparing the implantation conditions for the sterilization experiment with those for the surface modification, we find that both sterilization and surface modification are simultaneously performed in a certain range of implantation conditions. This implies that the present bottling system for plastic vessels will bemore » simplified and streamlined by excluding the toxic peroxide water that has been used in the traditional sterilization processes.« less

  15. Effect of Fe-ion implantation doping on structural and optical properties of CdS thin films

    NASA Astrophysics Data System (ADS)

    Chandramohan, S.; Kanjilal, A.; Sarangi, S. N.; Majumder, S.; Sathyamoorthy, R.; Som, T.

    2010-06-01

    We report on effects of Fe implantation doping-induced changes in structural, optical, morphological, and vibrational properties of cadmium sulfide thin films. Films were implanted with 90 keV Fe+ ions at room temperature for a wide range of fluences from 0.1×1016 to 3.6×1016 ions cm-2 (corresponding to 0.38-12.03 at.% of Fe). Glancing angle X-ray diffraction analysis revealed that the implanted Fe atoms tend to supersaturate by occupying the substitutional cationic sites rather than forming metallic clusters or secondary phase precipitates. In addition, Fe doping does not lead to any structural phase transformation although it induces structural disorder and lattice contraction. Optical absorption studies show a reduction in the optical band gap from 2.39 to 2.17 eV with increasing Fe concentration. This is attributed to disorder-induced band tailing in semiconductors and ion-beam-induced grain growth. The strain associated with a lattice contraction is deduced from micro-Raman scattering measurements and is found that size and shape fluctuations of grains, at higher fluences, give rise to inhomogeneity in strain.

  16. Effect of Ti Substrate Ion Implantation on the Physical Properties of Anodic TiO2 Nanotubes

    NASA Astrophysics Data System (ADS)

    Jedi-Soltanabadi, Zahra; Ghoranneviss, Mahmood; Ghorannevis, Zohreh; Akbari, Hossein

    2018-03-01

    The influence of nitrogen-ion implantation on the titanium (Ti) surface is studied. The nontreated Ti and the Ti treated with ion implantation were anodized in an ethylene-glycol-based electrolyte solution containing 0.3 wt% ammonium fluoride (NH4F) and 3 vol% deionized (DI) water at a potential of 60 V for 1 h at room temperature. The current density during the growth of the TiO2 nanotubes was monitored in-situ. The surface roughnesses of the Ti substrates before and after the ion implantation were investigated with atomic force microscopy (AFM). The surface roughness was lower for the treated Ti substrate. The morphology of the anodic TiO2 nanotubes was studied by using field-emission scanning electron microscopy (FESEM). Clearly, the titanium nanotubes grown on the treated substrate were longer. In addition, some ribs were observed on their walls. The optical band gap of the anodic TiO2 nanotubes was characterized by using a diffuse reflection spectral (DRS) analysis. The anodic TiO2 nanotubes grown on the treated Ti substrate revealed a band gap energy of approximately 3.02 eV.

  17. Surface topographical and structural analysis of Ag+-implanted polymethylmethacrylate

    NASA Astrophysics Data System (ADS)

    Arif, Shafaq; Rafique, M. Shahid; Saleemi, Farhat; Naab, Fabian; Toader, Ovidiu; Sagheer, Riffat; Bashir, Shazia; Zia, Rehana; Siraj, Khurram; Iqbal, Saman

    2016-08-01

    Specimens of polymethylmethacrylate (PMMA) were implanted with 400-keV Ag+ ions at different ion fluences ranging from 1 × 1014 to 5 × 1015 ions/cm2 using a 400-kV NEC ion implanter. The surface topographical features of the implanted PMMA were investigated by a confocal microscope. Modifications in the structural properties of the implanted specimens were analyzed in comparison with pristine PMMA by X-ray diffraction (XRD) and Raman spectroscopy. UV-Visible spectroscopy was applied to determine the effects of ion implantation on optical transmittance of the implanted PMMA. The confocal microscopic images revealed the formation of hillock-like microstructures along the ion track on the implanted PMMA surface. The increase in ion fluence led to more nucleation of hillocks. The XRD pattern confirmed the amorphous nature of pristine and implanted PMMA, while the Raman studies justified the transformation of Ag+-implanted PMMA into amorphous carbon at the ion fluence of ⩾5 × 1014 ions/cm2. Moreover, the decrease in optical transmittance of PMMA is associated with the formation of hillocks and ion-induced structural modifications after implantation.

  18. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  19. Applications of ions produced by low intensity repetitive laser pulses for implantation into semiconductor materials

    NASA Astrophysics Data System (ADS)

    Wołowski, J.; Badziak, J.; Czarnecka, A.; Parys, P.; Pisarek, M.; Rosinski, M.; Turan, R.; Yerci, S.

    This work reports experiment concerning specific applications of implantation of laser-produced ions for production of semiconductor nanocrystals. The investigation was carried out in the IPPLM within the EC STREP `SEMINANO' project. A repetitive pulse laser system of parameters: energy up to 0.8 J in a 3.5 ns-pulse, wavelength of 1.06 μ m, repetition rate of up to 10 Hz, has been employed in these investigations. The characterisation of laser-produced ions was performed with the use of `time-of-flight' ion diagnostics simultaneously with other diagnostic methods in dependence on laser pulse parameters, illumination geometry and target material. The properties of laser-implanted and modified SiO2 layers on sample surface were characterised with the use of different methods (XPS + ASD, Raman spectroscopy, PL spectroscopy) at the Middle East Technological University in Ankara and at the Warsaw University of Technology. The production of the Ge nanocrystallites has been demonstrated for annealed samples prepared in different experimental conditions.

  20. Study of the effects of focused high-energy boron ion implantation in diamond

    NASA Astrophysics Data System (ADS)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  1. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    NASA Astrophysics Data System (ADS)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  2. Structural properties of buried conducting layers formed by very low energy ion implantation of gold into polymer

    NASA Astrophysics Data System (ADS)

    Teixeira, F. S.; Salvadori, M. C.; Cattani, M.; Brown, I. G.

    2009-09-01

    We have investigated the fundamental structural properties of conducting thin films formed by implanting gold ions into polymethylmethacrylate (PMMA) polymer at 49 eV using a repetitively pulsed cathodic arc plasma gun. Transmission electron microscopy images of these composites show that the implanted ions form gold clusters of diameter ˜2-12 nm distributed throughout a shallow, buried layer of average thickness 7 nm, and small angle x-ray scattering (SAXS) reveals the structural properties of the PMMA-gold buried layer. The SAXS data have been interpreted using a theoretical model that accounts for peculiarities of disordered systems.

  3. Performance enhancement of Ge-on-Insulator tunneling FETs with source junctions formed by low-energy BF2 ion implantation

    NASA Astrophysics Data System (ADS)

    Katoh, Takumi; Matsumura, Ryo; Takaguchi, Ryotaro; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    To clarify the process of formation of source regions of high-performance Ge n-channel tunneling field-effect transistors (TFETs), p+-n junctions formed by low-energy ion implantation (I/I) of BF2 atoms are characterized. Here, the formation of p+-n junctions with steep B profiles and low junction leakage is a key issue. The steepness of 5.7 nm/dec in profiles of B implanted into Ge is obtained for BF2 I/I at 3 keV with a dose of 4 × 1014 cm-2. Ge-on-insulator (GOI) n-TFETs with the source tunnel junctions formed by low-energy B and BF2 I/I are fabricated on GOI substrates and the device operation is confirmed. Although the performance at room temperature is significantly degraded by the source junction leakage current, an I on/I off ratio of 105 and the minimum sub-threshold swing (S.S.) of 130 mV/dec are obtained at 10 K. It is found that GOI n-TFETs with steeper B profiles formed by BF2 I/I have led to higher on current and a lower sub-threshold slope, demonstrating the effectiveness of steep B profiles in enhancing the GOI TFET performance.

  4. The use of an ion-beam source to alter the surface morphology of biological implant materials

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1978-01-01

    An electron bombardment, ion thruster was used as a neutralized-ion beam sputtering source to texture the surfaces of biological implant materials. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane were obtained.

  5. Formation of carbon nanoclusters by implantation of keV carbon ions in fused silica followed by thermal annealing

    NASA Astrophysics Data System (ADS)

    Olivero, P.; Peng, J. L.; Liu, A.; Reichart, P.; McCallum, J. C.; Sze, J. Y.; Lau, S. P.; Tay, B. K.; Kalish, R.; Dhar, S.; Feldman, Leonard; Jamieson, David N.; Prawer, Steven

    2005-02-01

    In the last decade, the synthesis and characterization of nanometer sized carbon clusters have attracted growing interest within the scientific community. This is due to both scientific interest in the process of diamond nucleation and growth, and to the promising technological applications in nanoelectronics and quantum communications and computing. Our research group has demonstrated that MeV carbon ion implantation in fused silica followed by thermal annealing in the presence of hydrogen leads to the formation of nanocrystalline diamond, with cluster size ranging from 5 to 40 nm. In the present paper, we report the synthesis of carbon nanoclusters by the implantation into fused silica of keV carbon ions using the Plasma Immersion Ion Implantation (PIII) technique, followed by thermal annealing in forming gas (4% 2H in Ar). The present study is aimed at evaluating this implantation technique that has the advantage of allowing high fluence-rates on large substrates. The carbon nanostructures have been characterized with optical absorption and Raman spectroscopies, cross sectional Transmission Electron Microscopy (TEM), and Parallel Electron Energy Loss Spectroscopy (PEELS). Nuclear Reaction Analysis (NRA) has been employed to evaluate the deuterium incorporation during the annealing process, as a key mechanism to stabilize the formation of the clusters.

  6. Effect of facility background gases on internal erosion of the 30-cm Hg ion thruster

    NASA Technical Reports Server (NTRS)

    Rawlin, V. K.; Mantenieks, M. A.

    1978-01-01

    Sputtering erosion of the upstream side of the molybdenum screen grid by discharge chamber ions in mercury bombardment thrusters was considered. Data which revealed that the screen grid erosion was very sensitive to the partial pressure of certain background gases in the space simulation vacuum facility were presented along with results of tests conducted to evaluate this effect. It is shown from estimates of the screen grid erosion in space that adequate lifetime for proposed missions exists.

  7. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation.

    PubMed

    Lei, Ze-Yuan; Liu, Ting; Li, Wei-Juan; Shi, Xiao-Hua; Fan, Dong-Li

    Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C)-ion implantation. Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR) and patterned C-ion-implanted silicone rubber (PC-SR). Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR). The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less collagen deposition around implants made from PC-SR. Although the surface chemical properties, dermal fibroblast cell growth, and cell adhesion were not changed by microgroove pattern modification, a more orderly cell arrangement was obtained, leading to enhanced

  8. Reduction of metallosis in hip implant using thin film coating

    NASA Astrophysics Data System (ADS)

    Rajeshshyam, R.; Chockalingam, K.; Gayathri, V.; Prakash, T.

    2018-04-01

    Hip implant finds its emerging attraction due to it continuous demand over the years. The hip implants (femoral head) and acetabulum cup) mainly fabricated by metals such as stainless steel, cobalt chrome and titanium alloys, other than that ceramics and polyethylene have been used. The metal-on-metal hip implant was found to be best implant material for most of the surgeons due to its high surface finish, low wear rate and low chance of dislocation from its position after implanting. Where in metal based hip implant shows less wear rate of 0.01mm3/year. Metal-on-metal implant finds its advantage over other materials both in its mechanical and physical stability against human load. In M-O-M Cobalt- chromium alloys induce metal allergy. The metal allergy (particulate debris) that is generated by wear, fretting, fragmentation and which is unavoidable when a prosthesis is implanted, can induce an inflammatory reaction in some circumstances. The objectives of this research to evaluate thin film coating with Nano particle additives to reduce the wear leads to regarding metal ion release. Experimental results reveals that thin film Sol-Gel coating with 4wt. % of specimen reduced the cobalt and chromium ion release and reduces the wear rate. Wear rate reduced by 98% for 4wt. % graphene in 20N and 95% for 4wt. % graphene in 10N.

  9. Ion Implantation of Perfluoropolyether-Lubricated Surfaces for Improved Tribological Performance

    NASA Technical Reports Server (NTRS)

    Shogrin, Brad

    1998-01-01

    For over 30 years, perfluoropolyethers (PFPE's) have been the liquid lubricants of choice for space applications because of their proven tribological performance and desirable properties, such as low vapor pressure and a wide liquid temperature range. These oils are used in such space mechanisms as gyroscopes, scanning mirrors, actuators, and filter wheels. In the past few years, there have been several incidents during which PFPE-lubricated space mechanisms have shown anomalous behavior. These anomalies are thought to be the result of PFPE degradation. Investigative research focused on understanding and modeling the degradation of PFPE lubricants has shown that PFPE's degrade and lose their desirable properties while under boundary-lubricated, sliding/rolling contacts and at elevated temperatures. These performance deficiencies are strongly dependent on the surface chemistry and reactivity of the lubricated contacts, which dictate the formation of harmful catalytic by-products. One way to inhibit tribo-induced degradation may be to use passivated surfaces that do not promote the formation of harmful by-products. Such a passivated surface would inhibit PFPE degradation and increase the lifetime of the lubricated mechanism. Ion implantation is one such passivation technique. This surface-treatment technique can modify the surface properties of materials without affecting either the properties or dimensions of the bulk material beneath the treated layer. By introducing a foreign species into a submicron surface layer, ion implantation can induce unique surface microstructures.

  10. Suppression of threshold voltage variability in MOSFETs by adjustment of ion implantation parameters

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyun; Chang, Tae-sig; Kim, Minsuk; Woo, Sola; Kim, Sangsig

    2018-01-01

    In this study, we investigate threshold voltage (VTH) variability of metal-oxide-semiconductor field-effect transistors induced by random dopant fluctuation (RDF). Our simulation work demonstrates not only the influence of the implantation parameters such as its dose, tilt angle, energy, and rotation angle on the RDF-induced VTH variability, but also the solution to reduce the effect of this variability. By adjusting the ion implantation parameters, the 3σ (VTH) is reduced from 43.8 mV to 28.9 mV. This 34% reduction is significant, considering that our technique is very cost effective and facilitates easy fabrication, increasing availability.

  11. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching

    NASA Astrophysics Data System (ADS)

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-01

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  12. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching.

    PubMed

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-19

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  13. Volatiles (H, C, N, O, noble gases) in comets as tracers of early solar system events (Invited)

    NASA Astrophysics Data System (ADS)

    Marty, B.

    2013-12-01

    Volatiles (H, C, N, O, noble gases) present the largest variations in their relative abundances and, importantly, in their isotopic ratios, among solar system elements. The original composition of the protosolar nebula has been investigated through the measurements of primitive meteorites and of in-situ (e.g. Galileo probe analysis of the Jupiter's atmosphere) and sample-return (Genesis, recovery and analysis of solar wind) missions. The protosolar gas was poor in deuterium, in 15N and in 17,18O. Variations among solar system reservoir reach several hundreds of percents for the D/H and 15N/14N ratios. These variations are possibly : (i) due to interactions between XUV photons of the proto-Sun and the-dust, (ii) result from low temperature ion-molecule reactions, or (iii) constitute an heritage on interstellar volatiles trapped in dust (e.g., organics). Likewise, noble gases are elementally and isotopically (1% per amu for xenon) fractionated with respect to the composition of the solar wind (our best proxy for the protosolar nebula composition). Cometary matter directly measured on coma, or in Stardust material, or in IDPs, seems to present among the largest heterogeneities in their stable isotope compositions but knowledge on their precise compositions of the different phases and species is partial and mosty lacking. Among the several important issues requiring a better knowledge of cometary volatiles are the origin(s) of volatile elements on Earth and Moon, on Mars and on Venus, understanding large scale circulation of matter between hot and frozen zones, and the possibility of interstellar heritage for organics. Critical measurements to be made by the next cometary missions include the value of the D/H ratio in water ice, in NH3 and organics. Nitrogen is particularly interesting as cometary HCN and CN are rich in 15N, but an isotoppe mass balance will require to measure the main host species (N2 ?). Noble gases are excellent tracers of physical processes

  14. Selective Transformation of Various Nitrogen-Containing Exhaust Gases toward N2 over Zeolite Catalysts.

    PubMed

    Zhang, Runduo; Liu, Ning; Lei, Zhigang; Chen, Biaohua

    2016-03-23

    In this review we focus on the catalytic removal of a series of N-containing exhaust gases with various valences, including nitriles (HCN, CH3CN, and C2H3CN), ammonia (NH3), nitrous oxide (N2O), and nitric oxides (NO(x)), which can cause some serious environmental problems, such as acid rain, haze weather, global warming, and even death. The zeolite catalysts with high internal surface areas, uniform pore systems, considerable ion-exchange capabilities, and satisfactory thermal stabilities are herein addressed for the corresponding depollution processes. The sources and toxicities of these pollutants are introduced. The important physicochemical properties of zeolite catalysts, including shape selectivity, surface area, acidity, and redox ability, are described in detail. The catalytic combustion of nitriles and ammonia, the direct catalytic decomposition of N2O, and the selective catalytic reduction and direct catalytic decomposition of NO are systematically discussed, involving the catalytic behaviors as well as mechanism studies based on spectroscopic and kinetic approaches and molecular simulations. Finally, concluding remarks and perspectives are given. In the present work, emphasis is placed on the structure-performance relationship with an aim to design an ideal zeolite-based catalyst for the effective elimination of harmful N-containing compounds.

  15. Dynamic defect annealing in wurtzite MgZnO implanted with Ar ions

    NASA Astrophysics Data System (ADS)

    Azarov, A. Yu.; Wendler, E.; Du, X. L.; Kuznetsov, A. Yu.; Svensson, B. G.

    2015-09-01

    Successful implementation of ion beams for modification of ternary ZnO-based oxides requires understanding and control of radiation-induced defects. Here, we study structural disorder in wurtzite ZnO and MgxZn1-xO (x ⩽ 0.3) samples implanted at room and 15 K temperatures with Ar ions in a wide fluence range (5 × 1012-3 × 1016 cm-2). The samples were characterized by Rutherford backscattering/channeling spectrometry performed in-situ without changing the sample temperature. The results show that all the samples exhibit high radiation resistance and cannot be rendered amorphous even for high ion fluences. Increasing the Mg content leads to some damage enhancement near the surface region; however, irrespective of the Mg content, the fluence dependence of bulk damage in the samples displays the so-called IV-stage evolution with a reverse temperature effect for high ion fluences.

  16. Ion Beam Processing.

    DTIC Science & Technology

    1987-03-13

    guides Taps for plastics Orthopedic implants (hip and knee joints, etc.) Extrusion spinnerettes Finishing rolls for copper rod Extrusion nozzles...detail in following sections. C. Comparison to Coating Techniques -,* Because ion implantation is a process that modifies surface properties it is often...Therefore, it is important to understand the differences between ion implantation and coating techniques, especially ion plating. The result of ion

  17. Improving Sustainability of Ion Implant Modules

    NASA Astrophysics Data System (ADS)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  18. Effect of argon ion implantation on the electrical and dielectric properties of CR-39

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Goyal, Meetika

    2016-05-23

    The objective of the present work is to study the effect of 130 keV Ar{sup +} ions on the electrical and dielectric properties of CR-39 samples at various doses 5×10{sup 14}, 1×10{sup 15} and 1×10{sup 16} Ar{sup +} cm{sup −2}. Current-Voltage (I-V characteristics) measurements have been used to study the electrical properties of virgin and Ar{sup +} implanted CR-39 specimens. The current has been found to be increased with increasing voltage as well as with increasing ion dose. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. The dielectric constant has been found tomore » be decreasing whereas dielectric loss factor increases with increasing ion fluence. These kind of behavior observed in the implanted specimens indicate towards the formation of carbonaceous clusters due to the cross linking, chemical bond cleavage, formation of free radicals. The changes observed in the dielectric behavior have been further correlated with the structural changes observed through I-V characteristics.« less

  19. Determination of Ni Release in NiTi SMA with Surface Modification by Nitrogen Plasma Immersion Ion Implantation

    NASA Astrophysics Data System (ADS)

    de Camargo, Eliene Nogueira; Oliveira Lobo, Anderson; Silva, Maria Margareth Da; Ueda, Mario; Garcia, Edivaldo Egea; Pichon, Luc; Reuther, Helfried; Otubo, Jorge

    2011-07-01

    NiTi SMA is a promising material in the biomedical area due to its mechanical properties and biocompatibility. However, the nickel in the alloy may cause allergic and toxic reactions and thus limiting its applications. It was evaluated the influence of surface modification in NiTi SMA by nitrogen plasma immersion ion implantation (varying temperatures, and exposure time as follows: <250 °C/2 h, 290 °C/2 h, and 560 °C/1 h) in the amount of nickel released using immersion test in simulated body fluid. The depth of the nitrogen implanted layer increased as the implantation temperature increased resulting in the decrease of nickel release. The sample implanted in high implantation temperature presented 35% of nickel release reduction compared to reference sample.

  20. Defect formation in MeV H+ implanted GaN and 4H-SiC investigated by cross-sectional Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Shibin; Lin, Jiajie; Zhang, Runchun; Zhou, Min; Yu, Wenjie; Zhang, Bo; Ou, Xin; Wang, Xi

    2017-09-01

    Cross-sectional Raman spectroscopy is used to characterize the defect formation and the defect recovery in MeV H+ implanted bulk GaN and 4H-SiC in the high energy MeV ion-cut process. The Raman intensity decreases but the forbidden modes are activated at the damage region, and the intensity decrease is proportional to the damage level. The Raman spectrum is quite sensitive to detect the damage recovery after annealing. The main peak intensity increases and the forbidden mode disappears in both annealed GaN and 4H-SiC samples. The Raman spectra of GaN samples annealed at different temperatures suggest that higher annealing temperature is more efficient for damage recovery. While, the Raman spectra of SiC indicate that higher implantation temperature results in heavier lattice damage and other polytype clusters might be generated by high annealing temperature in the annealed SiC samples. The cross-sectional Raman spectroscopy is a straightforward method to characterize lattice damage and damage recovery in high energy ion-cut process. It can serve as a fast supplementary measurement technique to Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA) and transmission electron microscope (TEM) for the defect characterizations.

  1. Robust p-type doping of copper oxide using nitrogen implantation

    NASA Astrophysics Data System (ADS)

    Jorge, Marina; Polyakov, Stanislav M.; Cooil, Simon; Schenk, Alex K.; Edmonds, Mark; Thomsen, Lars; Mazzola, Federico; Wells, Justin W.

    2017-07-01

    We demonstrate robust p-type doping of Cu2O using low/medium energy ion implantation. Samples are made by controlled oxidation of annealed Cu metal foils, which results in Cu2O with levels of doping close to intrinsic. Samples are then implanted with nitrogen ions using a kinetic energy in the few keV range. Using this method, we are able to produce very high levels of doping, as evidenced by a 350 meV shift in the Fermi level towards the VB maximum. The robustness of the nitrogen implanted samples are tested by exposing them to atmospheric contaminants, and elevated temperatures. The samples are found to survive an increase in temperature of many hundreds of degrees. The robustness of the samples, combined with the fact that the materials used are safe, abundant and non-toxic and that the methods used for the growth of Cu2O and N+ implantation are simple and cheap to implement industrially, underlines the potential of Cu2O:N for affordable intermediate band photovoltaics.

  2. Enhanced cytocompatibility and reduced genotoxicity of polydimethylsiloxane modified by plasma immersion ion implantation.

    PubMed

    Tong, Liping; Zhou, Wenhua; Zhao, Yuetao; Yu, Xuefeng; Wang, Huaiyu; Chu, Paul K

    2016-12-01

    Polydimethylsiloxane(PDMS) is a common industrial polymer with advantages such as ease of fabrication, tunable hardness, and other desirable properties, but the basic (-OSi(CH 3 ) 2 -) n structure in PDMS is inherently hydrophobic thereby hampering application to biomedical engineering. In this study, plasma immersion ion implantation (PIII) is conducted on PDMS to improve the biological properties. PIII forms wrinkled "herringbone" patterns and abundant O-containing functional groups on PDMS to alter the surface hydrophilicity. The biocompatibility of the modified PDMS is assessed with Chinese hamster ovarian cells and compared to that of the untreated PDMS. Our results reveal that the PDMS samples after undergoing PIII have better cytocompatibility and lower genotoxicity. PIII which is a non-line-of-sight technique extends the application of PDMS to the biomedical field. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. Production of N[sup +] ions from a multicusp ion beam apparatus

    DOEpatents

    Kango Leung; Kunkel, W.B.; Walther, S.R.

    1993-03-30

    A method of generating a high purity (at least 98%) N[sup +] ion beam using a multicusp ion source having a chamber formed by a cylindrical chamber wall surrounded by a plurality of magnets, a filament centrally disposed in said chamber, a plasma electrode having an extraction orifice at one end of the chamber, a magnetic filter having two parallel magnets spaced from said plasma electrode and dividing the chamber into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber, maintaining the chamber wall at a positive voltage relative to the filament and at a magnitude for an optimum percentage of N[sup +] ions in the extracted ion beams, disposing a hot liner within the chamber and near the chamber wall to limit recombination of N[sup +] ions into the N[sub 2][sup +] ions, spacing the magnets of the magnetic filter from each other for optimum percentage of N[sup 3] ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8[times]10[sup [minus]4] torr) for an optimum percentage of N[sup +] ions in the extracted ion beam.

  4. Vacancy defect and defect cluster energetics in ion-implanted ZnO

    NASA Astrophysics Data System (ADS)

    Dong, Yufeng; Tuomisto, F.; Svensson, B. G.; Kuznetsov, A. Yu.; Brillson, Leonard J.

    2010-02-01

    We have used depth-resolved cathodoluminescence, positron annihilation, and surface photovoltage spectroscopies to determine the energy levels of Zn vacancies and vacancy clusters in bulk ZnO crystals. Doppler broadening-measured transformation of Zn vacancies to vacancy clusters with annealing shifts defect energies significantly lower in the ZnO band gap. Zn and corresponding O vacancy-related depth distributions provide a consistent explanation of depth-dependent resistivity and carrier-concentration changes induced by ion implantation.

  5. Comparison of various stopping gases for 3He-based position sensitive neutron detectors

    NASA Astrophysics Data System (ADS)

    Doumas, A.; Smith, G. C.

    2012-05-01

    A range of solid state, scintillator and gas based detectors are being developed for use at the next generation of high flux neutron facilities. Since gas detectors are expected to continue to play a key role in future specific thermal neutron experiments, a comparison of the performance characteristics of prospective stopping gases is beneficial. Gas detectors typically utilize the reaction 3He(n,p)t to detect thermal neutrons; the 3He gas is used in a mixture containing a particular stopping gas in order to maintain relatively short ranges for the proton and triton pair emitted from the n-3He reaction. Common stopping gases include hydrocarbons (e.g. propane), carbon tetrafluoride, and noble gases such as argon and xenon. For this study, we utilized the Monte Carlo simulation code "Stopping and Range of Ions in Matter" to analyze the expected behavior of argon, xenon, carbon dioxide, difluoroethane and octafluoropropane as stopping gases for thermal neutron detectors. We also compare these findings to our previously analyzed performance of propane, butane and carbon tetrafluoride. A discussion of these gases includes their behavior in terms of proton and triton range, ionization distribution and straggle.

  6. Investigation of microstructure and properties of ultrathin graded ZrNx self-assembled diffusion barrier in deep nano-vias prepared by plasma ion immersion implantation

    NASA Astrophysics Data System (ADS)

    Zou, Jianxiong; Liu, Bo; Lin, Liwei; Lu, Yuanfu; Dong, Yuming; Jiao, Guohua; Ma, Fei; Li, Qiran

    2018-01-01

    Ultrathin graded ZrNx self-assembled diffusion barriers with controllable stoichiometry was prepared in Cu/p-SiOC:H interfaces by plasma immersion ion implantation (PIII) with dynamic regulation of implantation fluence. The fundamental relationship between the implantation fluence of N+ and the stoichiometry and thereby the electrical properties of the ZrNx barrier was established. The optimized fluence of a graded ZrN thin film with gradually decreased Zr valence was obtained with the best electrical performance as well. The Cu/p-SiOC:H integration is thermally stable up to 500 °C due to the synergistic effect of Cu3Ge and ZrNx layers. Accordingly, the PIII process was verified in a 100-nm-thick Cu dual-damascene interconnect, in which the ZrNx diffusion barrier of 1 nm thick was successfully self-assembled on the sidewall without barrier layer on the via bottom. In this case, the via resistance was reduced by approximately 50% in comparison with Ta/TaN barrier. Considering the results in this study, ultrathin ZrNx conformal diffusion barrier can be adopted in the sub-14 nm technology node.

  7. Third Order Optical Nonlinearity of Colloidal Metal Nanoclusters Formed by MeV Ion Implantation

    NASA Technical Reports Server (NTRS)

    Sarkisov, S. S.; Williams, E.; Curley, M.; Ila, D.; Venkateswarlu, P.; Poker, D. B.; Hensley, D. K.

    1997-01-01

    We report the results of characterization of nonlinear refractive index of the composite material produced by MeV Ag ion implantation of LiNbO(sub 3) crystal (z-cut). The material after implantation exhibited a linear optical absorption spectrum with the surface plasmon peak near 430 nm attributed to the colloidal silver nanoclusters. Heat treatment of the material at 500 deg C caused a shift of the absorption peak to 550 nm. The nonlinear refractive index of the sample after heat treatment was measured in the region of the absorption peak with the Z-scan technique using a tunable picosecond laser source (4.5 ps pulse width).The experimental data were compared against the reference sample made of MeV Cu implanted silica with the absorption peak in the same region. The nonlinear index of the Ag implanted LiNbO(sub 3) sample produced at five times less fluence is on average two times greater than that of the reference.

  8. Effects of positive ion implantation into antireflection coating of silicon solar cells

    NASA Technical Reports Server (NTRS)

    Middleton, A. E.; Harpster, J. W.; Collis, W. J.; Kim, C. K.

    1971-01-01

    The state of technological development of Si solar cells for highest obtained efficiency and radiation resistance is summarized. The various theoretical analyses of Si solar cells are reviewed. It is shown that factors controlling blue response are carrier diffusion length, surface recombination, impurity concentration profile in surface region, high level of surface impurity concentration (degeneracy), reflection coefficient of oxide, and absorption coefficient of Si. The theory of ion implantation of charge into the oxide antireflection coating is developed and side effects are discussed. The experimental investigations were directed at determining whether the blue response of Si solar cells could be improved by phosphorus ion charges introduced into the oxide antireflection coating.

  9. An experiment on the dynamics of ion implantation and sputtering of surfaces

    NASA Astrophysics Data System (ADS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  10. An experiment on the dynamics of ion implantation and sputtering of surfaces.

    PubMed

    Wright, G M; Barnard, H A; Kesler, L A; Peterson, E E; Stahle, P W; Sullivan, R M; Whyte, D G; Woller, K B

    2014-02-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  11. Effects of H-implantation on the optical stability under photo-irradiation of urushi films

    NASA Astrophysics Data System (ADS)

    Awazu, Kaoru; Nishimura, Yoshinori; Ichikawa, Tachio; Sakamoto, Makoto; Watanabe, Hiroshi; Iwaki, Masaya

    1993-06-01

    A study has been made of the effects of H-implantation on the optical stability under photo-irradiation of urushi films. Urushi films of 43 μm in thickness were lacquered on glass plates. Implantation of H +, H 2+, C +, N + and O + ions were performed with an energy of 150 keV and doses of 1 × 10 14 and 1 × 10 15 ions/cm 2 at room temperature. The beam current density used was approximately 1 μA/cm 2 to prevent specimens from heating. The photo-irradiation onto the surfaces of urushi films was carried out to radiative exposure of 190 MJ/m 2, using a Suga sunshine weather meter. The gloss, transmittance and haze of implanted and photo-irradiated urushi films have been investigated in conjunction with chemical bonding states of carbon at the urushi surfaces. Ion implantation induces the surface carbonization of urushi films to inhibit the change in gloss and haze by photo-irradiation. It is concluded that ion implantation is useful for improving the optical stability under photo-irradiation of urushi films.

  12. Formation of mono-layered gold nanoparticles in shallow depth of SiO 2 thin film by low-energy negative-ion implantation

    NASA Astrophysics Data System (ADS)

    Tsuji, H.; Arai, N.; Ueno, K.; Matsumoto, T.; Gotoh, N.; Adachi, K.; Kotaki, H.; Gotoh, Y.; Ishikawa, J.

    2006-01-01

    Mono-layered gold nanoparticles just below the surface of silicon oxide film have been formed by a gold negative-ion implantation at a very low-energy, where the deviation of implanted atoms was sufficiently narrow comparing to the size of nanoparticles. Gold negative ions were implanted into SiO2 thin films on Si substrate at energies of 35, 15 and 1 keV. The samples were annealed in Ar flow for 1 h at 900 or 1000 °C. Cross-sectional TEM observation for the implantation at 1 keV showed existence of Au nanoparticles aligned in the same depth of 5 nm from the surface. The nanoparticles had almost same diameter of 7 nm. The nanoparticles were found to be gold single crystal from a high-resolution TEM image.

  13. Production of N.sup.+ ions from a multicusp ion beam apparatus

    DOEpatents

    Leung, Ka-Ngo; Kunkel, Wulf B.; Walther, Steven R.

    1993-01-01

    A method of generating a high purity (at least 98%) N.sup.+ ion beam using a multicusp ion source (10) having a chamber (11) formed by a cylindrical chamber wall (12) surrounded by a plurality of magnets (13), a filament (57) centrally disposed in said chamber, a plasma electrode (36) having an extraction orifice (41) at one end of the chamber, a magnetic filter having two parallel magnets (21, 22) spaced from said plasma electrode (36) and dividing the chamber (11) into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber (11), maintaining the chamber wall (12) at a positive voltage relative to the filament (57) and at a magnitude for an optimum percentage of N.sup.+ ions in the extracted ion beams, disposing a hot liner (45) within the chamber and near the chamber wall (12) to limit recombination of N.sup.+ ions into the N.sub.2.sup.+ ions, spacing the magnets (21, 22) of the magnetic filter from each other for optimum percentage of N.sup.3 ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8.times.10.sup.-4 torr) for an optimum percentage of N.sup.+ ions in the extracted ion beam.

  14. Photoluminescence from Au ion-implanted nanoporous single-crystal 12CaO•7Al2O3

    NASA Astrophysics Data System (ADS)

    Miyakawa, Masashi; Kamioka, Hayato; Hirano, Masahiro; Kamiya, Toshio; Sushko, Peter V.; Shluger, Alexander L.; Matsunami, Noriaki; Hosono, Hideo

    2006-05-01

    Implantation of Au+ ions into a single crystalline 12CaO•7Al2O3 (C12A7) was performed at high temperatures with fluences from 1×1014 to 3×1016cm-2 . This material is composed of positively charged sub-nanometer-sized cages compensated by extra-framework negatively charged species. The depth profile of concentrations of Au species was analyzed using Rutherford backscattering spectrometry. The measured optical spectra and ab initio embedded cluster calculations show that the implanted Au species are stabilized in the form of negative Au- ions below the fluences of ˜1×1016cm-2 (Au volume concentration of ˜2×1021cm-3 ). These ions are trapped in the cages and exhibit photoluminescence (PL) bands peaking at 3.05 and 2.34eV at temperatures below 150K . At fluences exceeding ˜3×1016cm-2 , the implanted Au atoms form nano-sized clusters. This is manifested in quenching of the PL bands and creation of an optical absorption band at 2.43eV due to the surface plasmon of free carriers in the cluster. The PL bands are attributed to the charge transfer transitions (Au0+e-→Au-) due to recombination of photo-excited electrons (e-) , transiently transferred by ultraviolet excitation into a nearby cages, with Au0 atoms.

  15. Channeling implantation of high energy carbon ions in a diamond crystal: Determination of the induced crystal amorphization

    NASA Astrophysics Data System (ADS)

    Erich, M.; Kokkoris, M.; Fazinić, S.; Petrović, S.

    2018-02-01

    This work reports on the induced diamond crystal amorphization by 4 MeV carbon ions implanted in the 〈1 0 0〉 oriented crystal and its determination by application of RBS/C and EBS/C techniques. The spectra from the implanted samples were recorded for 1.2, 1.5, 1.75 and 1.9 MeV protons. For the two latter ones the strong resonance of the nuclear elastic scattering 12C(p,p0)12C at 1.737 MeV was explored. The backscattering channeling spectra were successfully fitted and the ion beam induced crystal amorphization depth profile was determined using a phenomenological approach, which is based on the properly defined Gompertz type dechanneling functions for protons in the 〈1 0 0〉 diamond crystal channels and the introduction of the concept of ion beam amorphization, which is implemented through our newly developed computer code CSIM.

  16. Effect of Ar Ion Beam Implantation on Morphological and Physiological Characteristics of Liquorice (Glycyrrhiza uralensis Fisch) Under Short-Term Artificial Drought Conditions

    NASA Astrophysics Data System (ADS)

    Zhang, Xiangsheng; Wu, Lijun; Yu, Lixiang; Wei, Shenglin; Liu, Jingnan; Yu, Zengliang

    2007-04-01

    Ar+ ion beam with low energy of 30 keV was implanted into liquorice (Glycyrrhiza uralensis Fisch) seeds at the doses of 0, 600, 900 and 1200 × (2.6 × 1013) ions/cm2, respectively. The seeds were sowed in pots and after one month the plants were subjected to different drought conditions for two months. Then the plants' morphological and physiological characteristics, anti-oxidation enzymes and levels of endogenous hormones were investigated. The results showed that ion implantation at a proper dose can greatly enhance the liquorice seedlings' resistance against drought stress.

  17. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    NASA Astrophysics Data System (ADS)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  18. Temperature-dependent phosphorous dopant activation in ZnO thin film deposited using plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    High band gap (3.34 eV) and large exciton binding energy (60 meV) at room temperature facilitates ZnO as a useful candidate for optoelectronics devices. Presence of zinc interstitial and oxygen vacancies results in n-type ZnO film. Phosphorus implantation was carried out using plasma immersion ion implantation technique (2kV, 900W) for constant duration (50 s) on RF sputtered ZnO thin films (Sample A). For dopant activation, sample A was subjected to Rapid Thermal Annealing (RTA) at 700, 800, 900 and 1000°C for 10 s in Oxygen ambient (Sample B, C, D, E). Low temperature (18 K) photoluminescence measurement demonstrated strong donor bound exciton peak for sample A. Dominant donor to acceptor pair peak (DAP) was observed for sample D at around 3.22 eV with linewidth of 131.3 meV. High resolution x-ray diffraction measurement demonstrated (001) and (002) peaks for sample A. (002) peak with high intensity was observed from all annealed samples. Incorporation of phosphorus in ZnO films leads to peak shift towards higher 2θ angle indicate tensile strain in implanted samples. Scanning electron microscopy images reveals improvement in grain size distribution along with reduction of implantation related defects. Raman spectra measured A1(LO) peak at around 576 cm-1 for sample A. Low intensity E2 (high) peak was observed for sample D indicating formation of (PZn+2VZn) complexes. From room temperature Hall measurement, sample D measured 1.17 x 1018 cm -3 carrier concentration with low resistivity of 0.464 Ω.

  19. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    NASA Astrophysics Data System (ADS)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  20. Semi-insulating 4H-SiC layers formed by the implantation of high-energy (53 MeV) argon ions into n-type epitaxial films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ivanov, P. A., E-mail: Pavel.Ivanov@mail.ioffe.ru; Kudoyarov, M. F.; Kozlovski, M. A.

    It is shown that 9-μm-thick semi-insulating surface layers can be formed in moderately doped n-type silicon carbide (donor concentration 2 × 10{sup 16} cm{sup –3}) via the comparatively low-dose (7 × 10{sup 11} cm{sup –2}) implantation of high-energy (53 MeV) argon ions. The free-carrier removal rate is estimated at ~10{sup 4} cm{sup –1}. The resistivity of the semi-insulator is no less than 7 × 10{sup 12} Ω cm. Analysis of the monopolar current of electron injection into the semi-insulator shows that the impurity-conductivity compensation is due to radiation induced defects pinning the equilibrium Fermi level at a depth of 1.16more » eV below the conduction-band bottom. The density of defect states at the Fermi level is 2.7 × 10{sup 16} cm{sup 2} eV{sup –1}.« less